TWI392011B - 高移除速率之下游腔室 - Google Patents

高移除速率之下游腔室 Download PDF

Info

Publication number
TWI392011B
TWI392011B TW95110523A TW95110523A TWI392011B TW I392011 B TWI392011 B TW I392011B TW 95110523 A TW95110523 A TW 95110523A TW 95110523 A TW95110523 A TW 95110523A TW I392011 B TWI392011 B TW I392011B
Authority
TW
Taiwan
Prior art keywords
injection member
cavity
gas
section
gas source
Prior art date
Application number
TW95110523A
Other languages
English (en)
Other versions
TW200710985A (en
Inventor
Ing-Yann Wang
Jaroslaw W Winniczek
David J Cooperberg
Erik A Edelberg
Robert P Chebi
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200710985A publication Critical patent/TW200710985A/zh
Application granted granted Critical
Publication of TWI392011B publication Critical patent/TWI392011B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C25/00Surface treatment of fibres or filaments made from glass, minerals or slags
    • C03C25/66Chemical treatment, e.g. leaching, acid or alkali treatment
    • C03C25/68Chemical treatment, e.g. leaching, acid or alkali treatment by etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/85938Non-valved flow dividers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Geochemistry & Mineralogy (AREA)
  • General Life Sciences & Earth Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Description

高移除速率之下游腔室 發明領域
本應用關於晶圓製程領域。更特別地,該應用關於用於晶圓製程裏之一蝕刻腔室。
發明背景
在半導體積體電路(IC)製造中,光罩去除(移除)係一頻繁使用製程。光罩用於在晶圓上界定特別圖案。舉例而言,其用於微影、離子移植和電漿蝕刻(在此是移除材料而非光罩)過程中。此等製程之後,在繼續下一製程之前將光罩自晶圓移除。
既然光罩移除頻繁用於半導體製造廠中,因此移除器被設計具有非常短的製程時間,即高處理量,以降低總晶圓製造成本。儘管存在不同途徑以增加一移除器處理量,但是該等途徑可分成兩類:削減管理費用和提高移除速率。管理費用包括晶圓操縱時間、晶圓被載入之腔室的抽氣時間、該腔室內之壓力穩定、晶圓加熱、及用所需氣體回填該腔室,上述所有均準備供該特別製程用之一晶圓。移除速率係衡量光罩多快自晶圓表面移除和洗淨之一標準。移除速率也決定晶圓暴露給電漿多長時間。在移除腔室裏,晶圓對電漿之暴露時間一般被降至最低,從而減小電氣損害晶圓上之各種電路之可能性。移除速率可藉利用較高電漿源功率、較高晶圓溫度、較高製程氣流或改變氣體化學特性而增加。
大多數移除器具有透過其中將氣體注入於包含即將被加工晶圓之腔室內之一進孔。進孔和晶圓間之典型垂直距離為幾英寸。該距離被減至最小,以使腔室緊湊並使製程更經濟。為獲得一均勻移除圖案,在晶圓表面上維持均勻垂直氣流。但是以典型所用流速,氣體將不會在幾英寸內擴散開。因此,為在如此短距離內獲得均勻流量,利用一氣體分散系統以將氣流分散於晶圓上。
如第1圖所示,一習知移除器100包含晶圓130在其中暴露於氣體之一下游腔室102。晶圓130由一夾件120固持。氣體106透過一進孔104進入下游腔室102。當氣體106進入該腔室時,一氣體分散系統,例如一導流板110,分散氣體106以將氣體106均勻分佈於晶圓130上。移除均勻度和移除速率高度依賴該氣體分散系統。如第1圖和第2圖所示,導流板110、200包含大量不同尺寸的孔112、202。更具體地,因為導流板中心比邊緣接納更多氣流,所以該等孔尺寸隨著距導流板中心距離增大而增加。氣體106在晶圓130上作用之後,自一出埠108排出。
其他移除器300包含晶圓330在其中暴露於氣體之一下游腔室302,如第3圖所示。晶圓330由一夾件320固持。氣體306透過一進孔304進入下游腔室302。當氣體306進入該腔室時,一多導流板系統分散氣體306以將氣體306均勻分佈於晶圓330上。第一導流板310包含與上述相似之兩種不同尺寸的孔312、314。第二導流板316僅包含一種尺寸的孔,其等偏離第一導流板310裏的孔,使得穿過第一導流板310上的孔之氣體分子必需轉兩次90°方向後才離開第二導流板316的孔。氣體306在晶圓330上作用之後,自一出埠308排出。
雖然圖中未示,但在分散氣體之另一設計中使用一淋頭。淋頭相似於導流板,但是,該等孔的數量和尺寸使得其等產生背壓。此類一設計可產生約10托或更高之背壓。此等背壓之產生有效減緩淋頭上之氣流並降低流場效應。
但是,最佳化用於單導流板設計之孔尺寸和圖案較複雜。用於單導流板設計之導流板也製造昂貴,肇因於孔的各種尺寸和巨大數量。相似地,儘管多導流板設計可簡化孔圖案,但是多導流板之使用增加腔室尺寸和重量,且若不製造時,會增加材料成本。在淋頭設計裏,較高上游壓力不僅降低氣源之解離效率,而且增加原子團複合,因此降低移除速率。
進言之,由導流板或淋頭所產生之大表面區域和上腔室之內部形狀允許氣體內原子團之快速中和,其實際導致光罩之移除。無導流板時之移除速率為具有一導流板的2至3倍。這就表示導流板中和氣源所產生原子團之一半多。
發明概要
一氣腔設置具有,提高氣體流量和增加移除速率且不利用昂貴單一或多個導流板之所設計成的一腔室設計和氣體分散組件。在一實施例中,僅借助引入包含形成一腔室之上、下腔體之一裝置、為該空腔提供氣體之一氣源、透過其將該空腔內氣體移除之一排氣單元、置於該空腔內之一夾件、及包含延伸穿透其內通道之一注入件。各通道被足夠彎曲以實質阻擋進入通道之光線直接射出通道,即阻擋在通道內不經受至少一次反射就射出該通道。
在另一實施例中,該裝置包含位於該氣源和該空腔之間之一單一固定裝置,氣體穿過該固定裝置進入該空腔。該固定裝置設有具以一實質相互垂直角度彎曲之部分之通道。
在另一實施例中,該裝置包含一注入裝置,其用於將來自該氣源之氣體經過通道引進該空腔,同時阻擋來自該氣源之輻射穿過該等通道。在各種進一步實施例中,通道端部可包含用於將來自該等通道之偏角度氣體以不同於該等通道角度之角度噴射進該腔室內之噴射裝置;該上腔體可包含用於引導由該噴射裝置噴射進該空腔內之氣體之引導裝置;及/或該噴射裝置可包含用於吸收該噴射裝置的熱膨脹之裝置、用於消除該噴射裝置與該上腔體和氣源之至少其中一者之配合表面的摩擦之裝置、及/或用於調整該噴射裝置溫度之裝置。
在另一實施例中,一方法包括:將一氣體透過一注入件裏的通道朝向一晶圓注入一空腔內,該等通道足夠彎曲以防止光直線式穿過該等通道,該空腔由上、下腔體形成;至少利用氣體流經通道之角、氣體自其處噴出之該等通道端部之角、上腔體和下腔體的內表面之角,界定該氣體之流動形狀;以及將撞擊到晶圓上之氣體透過一排氣口移除。
在一進一步實施例中,該等通道之至少其中一者具有位於該注入件一上區段內之一第一傾斜角,其實質垂直該注入件一下區段之一第二傾斜角。該等第一和第二傾斜角之至少其中一者可自該注入件之一中心軸線傾斜。該第一傾斜角可介於距該注入件該中心軸線之約0°至60°範圍內,同時該第二傾斜角可介於距該注入件該中心軸線之約10°至60°範圍內。
在另一實施例中,位於該等通道之至少其中一者的一端部之一噴嘴具有大於該通道餘部的一直徑之一直徑。該噴嘴之該直徑可隨著距該通道該端部之距離減小而增加,且可為漏斗狀。位於該噴嘴該端部且鄰近該上腔體一內表面之一角可配合該內表面之一角。該內表面可為漏斗狀,且鄰近該下腔體一內表面之該上腔體之該內表面向下彎曲。該上腔體之該內表面可為漏斗狀,且向下朝向該夾件彎曲。
在另一實施例中,該注入件具有一漸變錐尖的下部,其可具有以不同斜率成錐狀之第一和第二區域。該上腔體之該內表面可匹配該等第一和第二區域之至少其中一者之一錐狀角。
在另一實施例中,該注入件被置於該氣源和該空腔之間。該注入件可附接和接觸該氣源。O型環可被置於該注入件和該氣源之間與該注入件和該上腔體之間,且該注入件在該等O型環之至少其中一者內包含與位於該注入件之一中心軸線實質平行之一狹槽。作為選擇,該注入件可包含位於該O型環內之一間隙,該O型環介於下列至少其中一組之間:該注入件之一表面和該氣源之一表面;以及該注入件之一表面和該上腔體之一表面。
在另一實施例中,該注入件包含允許手動或自動調整該注入件溫度之一調溫系統。該調溫系統可包含具有處於該注入件內的冷卻液之一冷卻通道、以及感測該注入件溫度之一感溫器和改變該注入件溫度之一電氣加熱器。
下列附圖和較佳實施例之詳述將更加清晰闡釋出本發明之上述和其餘方面。
圖式簡單說明
第1圖揭示一習知單導流板移除器腔室。
第2圖揭示第1圖之導流板。
第3圖揭示一習知多導流板移除器腔室。
第4圖揭示依據一方面之一氣腔。
第5圖揭示依據一方面之一分散組件之一透視圖。
第6圖揭示依據一第二方面之一分散組件之一剖視圖。
第7圖揭示依據一第三方面之一分散組件之一剖視圖。
第8圖揭示依據一第四方面之一分散組件之一剖視圖。
第9圖揭示依據一第五方面之一分散組件之一剖視圖。
較佳實施例之詳細說明
敘述用於改善氣體流動並增加置於腔室內之晶圓上之光罩移除速率之一氣腔。該氣腔具有一裁製的上腔體和一氣體注入件,該氣體注入件當具有暴露氣體之一很小表面區域時就將氣體分散於腔室周圍。另外,該氣體注入件小於習知導流板或淋頭,以及肇因於其較小尺寸與相對簡短機加工製程而使製程更加經濟。該術語氣體,如本文所用之,包括含原子團之氣體,即電漿。
在利用氣體之一移除製程裏,典型地該氣體具有高流速和高壓力。舉例而言,該氣體流速在1托下可為5標準升/分(slm)。對於氣體而言,此壓力下之平均自由程可用下列等式得出:
其中L表示氣體的平均自由程,k表示Boltzmann常數,T為氣體的絕對溫度,P為壓力而d為氣體分子直徑。舉例而言,氧氣分子之平均自由程在室溫時約為0.06 mm。但當氣體點燃時,氣體溫度顯著上升。如果氣體溫度升至1000°K,氧氣之平均自由程則增至約0.2 mm。此類值比晶圓加工腔室內之任何幾何特徵都小得多。因此,該氣流可作為粘滯流體處理,在粘滯流體領域牛頓氣體動力學起主導作用。
高氣體流速係高氣流製程之直接結果。用於將光罩自半導體晶圓表面移除之一典型處理程式需要1托下之5slmO2 /N2 流速。在此等流動和壓力條件下,舉例而言,離開具2.5 cm出口直徑之氣源之該氣體速率約為177 m/sec。為獲得均勻移除圖案,在晶圓表面利用均勻垂直氣流。在177 m/sec時,氣體將不會典型均勻分散於晶圓表面上,除非可分散單元存在於氣流中。
如第4圖所示,氣腔400包含上、下腔體402和404、一遠端氣源440、及一排氣單元450。上、下腔體402和404形成其內產生真空之一空腔416。置於上、下腔體402和404間之一O型環406允許維持真空。氣源440係微波或RF-驅動,且激發進入該源內之製程氣體並產生電漿。典型氣體包括氧氣、氮氣、氯氣、氬氣、氙氣,視所需製程而定。氣源440典型包含含有藍寶石之一輸氣管442。
利用螺桿或螺栓將氣源440附接至氣腔400之上腔體402。氣源440透過一注入埠414與上腔體402相通,以使氣體透過一注入件410內之通道412向下游輸送至上腔體402。在一實施例中,注入埠414具有約2.5 cm之一直徑,與氣源440之一典型輸氣管442尺寸相同。舉例而言,氣源440較佳由水冷卻。
當氣體被注入件410分散時,氣體受到上腔體402內腔室416之周壁限制,並均勻衝擊置於一溫控式夾件430上之一晶圓420。注入件410、晶圓420和夾件430均置於由上、下腔體402和404所形成之腔室416內。在一實施例中,腔室416具有約33 cm至41 cm之一直徑和約10 cm至30 cm之一高度。雖然晶圓420可具有任何直徑,但半導體製造中典型利用6英寸、8英寸或12英寸晶圓。
在一實施例中,該氣體將自一較早製程中餘留之光罩層灰化。該較早製程可為任何半導體製造製程,舉例而言,離子移植、蝕刻、或金屬沉積。然後該氣體由一真空泵458自下腔體404經一出埠408並透過一系列真空組件而吸出。此等真空組件包括,舉例而言,一真空線452、一隔離閥454、及一節流閥456。
在第4圖中,注入件410定位於氣源440正下部和上腔體402正上部。在注入件410裏存在多條流道412。流道412傾斜遠離上腔體402之中心線。該等傾斜流道將氣流分叉並引導自該源均勻流向晶圓420。選擇該等流道之直徑和數量,以使它們在晶圓上提供均勻氣體分佈,但不會在氣源440裏產生巨大背壓。該源內之高背壓可導致不良氣體解離和高原子團複合。
對於1托腔室壓力和5 slm流速,注入件410在氣源440內產生約4托背壓,其遠低於嚴重降低氣源440內所產生之原子團數量之10托背壓。在此示例中,注入件410具有約46 cm2 之一氣體暴露表面區域,其包括注入件410之頂面、流道周壁和底面。相對照,第1圖之單導流板結構具有2000 cm2 多之一表面區域。
儘管因分子和通道周壁碰撞而造成原子團仍舊可在注入件410之流道412內部複合,但是該複合被最小化,肇因於流道412內部之小通道表面和高氣體流速。流道412之直徑雖小,但在所用壓力和溫度下仍舊比流經其中之氣體之平均自由程大得多。流經流道412和處於前述流動條件下之氣體平均流速約為260 m/sec。在該流速時,僅耗費一分子約12 μs就可穿過流道412。因此,當穿過流道412時,僅少量原子團被中和。
在一實施例中,如第5圖之透視圖所示,注入件500包含六條流道502。各流道具有大約0.4 cm之一直徑,並大約2.7 cm長。雖然第5圖顯示一個六條通道注入件,但是若有需要也可利用具有附加或更少通道之一注入件。舉例而言,第6圖顯示一個四條通道注入件600。可從剖開圖示中看出,該注入件之通道包含一個或更多個彎曲。各通道被彎曲一足夠角度,以最小化或消除產生於解離氣體之氣源裏之紫外光(UV)線和帶電分子實質直接自通道進口穿至通道出口。換言之,UV光線不會不反射就自進口實質穿至出口。如果未適當阻擋,UV光線和帶電分子可行至晶圓並損壞電路。
如第6圖所示,注入件600具有一上部610和一下部612。上部610實質圓柱狀,且用於將注入件600耦接遠端氣源和上腔體,後文詳細討論之。下部612具有第一和第二區614和616,其等以不同斜率成錐狀並同時增加距遠端氣源之距離。下部612具有比上部610直徑小之一直徑。第一和第二區614和616可具有其他形狀,例如球狀或圓柱狀。相似地,雖然第一和第二區614和616顯示為成不同斜率錐狀,但是第一和第二區614和616可具有相同錐度(例如,實質為單一圓錐狀或球狀)或無錐度(例如,實質為具有一個或更多個直徑之一個或更多個圓柱體之圓柱狀)。
另外,各通道602具有一上區段604和一下區段606。下區段606包含自其處將氣體噴出之一噴嘴608。除噴嘴608 外,通道602之直徑實質保持為常數。噴嘴608具有隨著至通道602末端之距離減小而增加之一直徑。在所示實施例裏,噴嘴608實質為漏斗狀。
一通道之該上區段604具有自注入件600中心軸線傾斜之一角A,其實質正交於該通道下區段之角B。下區段606之該角決定排出流道602的氣體之角度,且用於調整晶圓上之流體圖案。氣流較多以較小角度,會聚到該中心,而較多以較大角度,擴展開。不同流體和壓力條件及氣體類型可利用不同角度之注入件從而被最佳化以獲得最好總性能。舉例而言,角A介於自注入件600中心軸線之約0°至60°範圍內,而角B介於自注入件600中心軸線之約10°至60°範圍內。
藉利用上、下區段604和606之正交角平面,可避免貫穿通道602之一直視線。因此,UV光線可被阻擋,同時B角為移除均勻度可被改變以最佳化注入件之設計。而且,為減少到達晶圓之離子,注入件強迫解離氣流急劇轉向。急劇轉向便於周壁碰撞且因此有助於中和離子。這就允許對離開注入件之離子數量之一控制式減少。強調指出,雖然僅顯示具一單一彎曲之通道(即,僅有兩個區段),但此等通道可具有多個急劇彎曲(即,多於兩個區段)。作為選擇,此等通道可被曲線化以消除自通道進口看到出口之視線,並且強迫氣體分子與沿該曲線之表面碰撞。
在其餘示例中,注入件之直徑可介於5 cm至13 cm範圍內,而厚度介於約1 cm至13 cm範圍內。注入件裏可存在3至24條流道。此等流道具有可介於約0.3 cm至1 cm範圍內之一直徑,且沿約1 cm至5 cm之長度延伸。
移除均勻度受腔室內之不同特徵影響。注入件較低通道之角控制來自噴嘴的氣流之方向,且因此改變自晶圓中心至邊緣之移除均勻度。噴嘴之漏斗狀出口有助於來自噴嘴之氣流擴散開,且因此提高圓周均勻度。
另外,該漏斗狀上腔體,如第4圖所示,影響氣體自注入件排出之氣流圖案。該上腔體之內表面連續,使得流出注入件之氣體被限制於上腔體內。該漏斗形狀減少氣體離開注入件後之再循環。當到達下腔體(或晶圓邊緣)時,該漏斗表面向下彎曲,其進一步限制和引導氣體以控制晶圓邊緣處之移除速率。
對照第1圖和第3圖所示之由圓柱狀上腔體所利用之容積,該上腔體頂部之漏斗形狀減少由上、下腔體所形成之空間容積。這就減少在該製程過程中將該腔室自大氣壓抽氣至所用壓力之所耗時間總量,以及減少排氣至大氣壓之所耗時間總量。一些移除腔室為每個處理過晶圓都使用抽氣和排氣步驟,導致對一批晶圓之處理量的巨大下降,即加工時間大量增加。其他移除腔室,其等設計成群集於一中心晶圓轉移真空腔室周圍,利用部分排氣至高於加工壓力之一壓力以改善夾件和晶圓之間之熱傳遞。然後該腔室在晶圓加熱完成之後被抽氣至加工壓力。
控制注入件溫度有助於獲得一致製程結果。舉例而言,在注入件表面複合之氣體原子團之表面複合效率隨著表面溫度而改變。依據氣體化學特性,複合率可與溫度成正比例或可與溫度成反比例。但是,肇因於第1圖和第3圖所示典型導流板之尺寸,可很難規定典型導流板溫度。當導流板溫度變化時,晶圓與晶圓間之製程結果可能不同。亦難於保持導流板溫度均勻一致。對第1圖和第3圖所示之腔室而言,導流板溫度在導流板中心較高,原因為該區域直接位於電漿源下且比導流板其餘區域接納更多熱載。一非均勻溫度分佈圖造成導流板表面具有非均勻原子團複合效率,其進一步將該製程複雜化。
但是,因為注入件顯著小於典型導流板,所以更容易控制注入件溫度。第7圖揭示氣腔700之一實施例之一放大剖面圖。氣腔700包含一上腔體702、一注入件710和一氣源750。氣源750被螺桿730耦接至上腔體702。相似地,注入件710被螺桿740耦接至氣源750。氣源750產生電漿752,其透過注入件710裏的通道712被供應給上腔體702。氣源750包含將一上部真空O型環置於其內之一凹槽,同時上腔體702包含將一下部真空O型環722置於其內之一凹槽。注入件710也包括狹槽716和間隙718,後文討論之。
如第7圖所示,為保持溫度處於控制之下,注入件710被設計成具有一大熱量接觸區域,其處於大氣壓下。該熱接觸區域為位於真空O型環720、722外側之注入件710區域。螺桿730和740提供注入件710和氣源750/上腔體702之間之緊密接觸,以產生該熱接觸區域和氣源750之間之一良好熱傳遞。自電漿752接納之熱能透過該熱接觸區域傳遞給氣源750或上腔體702。該能量傳遞足夠有效以維持注入件處於或低於所需溫度。
如第8圖所示,注入件800也可形成具有含冷卻液822之一個或更多條冷卻通道820,這就允許移除更大量熱。為維持注入件800處於一恒溫,冷卻液(冷卻流體)822可透過一溫度控制單元(未示)而循環。然後可藉由在溫度控制單元設置冷卻液822之溫度來控制該注入件溫度。各冷卻通道裏之冷卻液可相同或不同。
如果需要主動溫度控制,則可利用加熱和冷卻之一結合方法。如第9圖所示,電氣加熱器960可與冷卻通道920相分離式地被插入注入件900內。舉例而言,該電氣加熱器可為電阻器。一溫度控制器950可用於控制至電氣加熱器960之電流,以調整注入件900之溫度。加熱器960可被單獨控制或成一組或多組控制。另外,一個或多個感溫器970可被插入注入件900內。舉例而言,感溫器970可為一熱電偶或電阻式溫度感測器(RTD)。作為選擇,熱電元件可用於控制注入件溫度,代替加熱器和冷卻通道。
除製程變化外,氣腔裏各種組件之溫度變化也可引起其他問題。舉例而言,即使具有相對好的熱傳遞,注入件溫度仍舊高於配合部件的(例如,氣源和上腔體)。注入件和配合部件在注入件區域裏之熱膨脹失配產生機械應力。該機械應力可使注入件或配合部件變形或損壞。為緩解此情況,一個或更多個狹槽716形成於注入件710內。狹槽716為位於注入件710各側面上之圓形垂直狹槽,其等作用為熱膨脹緩衝狹槽。
另外,熱失配可引起微粒污染。當注入件加熱和冷卻時,其相對配合部件膨脹和收縮。結果,摩擦就發生於注入件和配合部件之配合表面間。摩擦產生微粒,其等如果被引入則會對腔室內晶圓不利。為避免配合表面之摩擦,約0.13 mm或更小之一小間隙718被引入位於真空O型環720和722內部之配合表面之間。雖然可在O型環720和722外側之區域裏提供間隙,但是在第7圖並沒有顯示它們,原因為O型環720和722將位於O型環720和722外側之微粒有效阻止進入腔室700。
該注入件和該等上、下腔體以及該注入件均可利用全抗電漿材料製成。該抗電漿材料可由金屬或非金屬材料形成。如果一種或更多種金屬用於形成該注入件,則該注入件可包括,舉例而言,鋁和鋁合金、不銹鋼和高鎳合金、石英、氧化鋁陶瓷、氮化鋁陶瓷、及/或氧化釔陶瓷。
用金屬製造之部件可用抗電漿塗層保護不受腐蝕。在一示例中,可使用鋁,原因為其自然表面氧化物提供一優良腐蝕隔層。但是,當利用含氟化學特性並處於特定製程條件時,鋁自然氧化物就不提供避免氟化鋁形成之足夠保護,其在晶圓表面上造成污染。為防止金屬氟化物形成於金屬部件上,具更高抗氟化學特性之塗層可被施加於金屬部件表面。諸如在鋁及其合金及電漿噴射氧化鋁上之陽極氧化、鍍鎳、石英、氧化釔及/或其他陶瓷材料可用於防護不同化學特性。
回看第4圖,晶圓420位於腔室內之一晶圓加熱夾件430上。在可進行移除製程之前,將晶圓加熱至一足夠高溫度以加速化學反應。因為移除均勻度與晶圓上的溫度均勻度直接相關,所以晶圓加熱非無關重要的。晶圓被盡可能快地加熱以減少晶圓在腔室裏、非生產性之時間。雖然靜電夾件可用於移除器用途中,但是其等較貴且可能不可靠。但是,靜電夾件具有電氣誘發夾持力,其推動晶圓更靠近夾件以進行良好熱傳遞,非靜電夾件可能不具備此能力。減輕此類一問題之一途徑是將夾件平坦度控制於一特別數量內。在一示例中,當利用非靜電夾件時,為提供快速熱傳遞和均勻晶圓溫度,該非靜電夾件設有比約27 μm更佳之一總體平坦度。
另外,腔室抽氣影響晶圓上的光罩移除速率。移除製程通常為高流量(例如,若干slm)和高壓力(例如,750 m托或更高)。另外,移除製程並不完全處於粘滯流狀態或分子流狀態。為提供均勻抽氣,一單一抽氣埠408被定位於下腔體404中心處。
其他系統可被結合進該腔室以改良製程結果。舉例而言,另一光譜端點感測器就是一個此類系統。一窄頻帶或一寬頻帶光學波長感測器被附接至位於腔體之直接觀看晶圓平面上的大量電漿之側之一觀察埠。晶圓表面上之光罩和電漿間之化學反應發射一特別標記光譜。當光罩耗盡時,該光譜立即改變。該光學信號變化決定移除製程結束。終點感測已變得足夠複雜以致於能夠決定多層移除製程之轉換,例如高劑量植入光阻的移除。此類型光阻因該移植製程而具有一堅硬外殼。設計突破該外殼之化學,是不同於設計移除該外殼下之光阻殘餘物的。藉由合適設置,當外殼蝕刻穿透,一光感測器能夠決定光譜改變時之該轉換。此信號變化容許軟體改變電漿裏的化學特性,並切換至供大量光阻移除用之一不同處理程式。但是,例如上述之光譜端點感測器之系統增加成本、重量和尺寸。
已敘述包含,設有氣體穿過其等進入一真空腔室內之通道之一單一注入件,之一氣腔。該等通道具有實質相互正交之部分。該等部分被佈置於自注入件一中心軸線旋轉直到大約60°之角度處。該等通道具有漏斗狀端部。該腔室具有一錐狀上部,其配合注入件的漏斗狀端部之該角度並分散自注入件噴出之氣體。該注入件較小並相對容易製造。
儘管敘述具體實施例,但是本文敘述內容僅為揭示性而不能理解為限制本發明之意。在不脫離由所呈申請專利範圍所界定之本發明之真實精神和範圍時,熟於此技術領域者可想到各種修正,例如材料及/或尺寸,和應用。
100...習知移除器
102...下游腔室
104...進孔
106...氣體
108...出埠
110...導流板
112...孔
120...夾件
130...晶圓
200...導流板
202...孔
300...移除器
302...下游腔室
304...進孔
306...氣體
308...出埠
310...第一導流板
312...孔
314...孔
316...第二導流板
320...夾件
330...晶圓
400...氣腔
402...上腔體
404...下腔體
406...O型環
408...出埠
410...注入件
412...通道
414...注入埠
416...空腔
420...晶圓
430...溫控式夾件
440...遠端氣源
442...輸氣管
450...排氣單元
452‧‧‧真空線
454‧‧‧隔離閥
456‧‧‧節流閥
458‧‧‧真空泵
500‧‧‧注入件
502‧‧‧流道
600‧‧‧注入件
602‧‧‧通道
604‧‧‧上區段
606‧‧‧下區段
608‧‧‧噴嘴
610‧‧‧上部
612‧‧‧下部
614‧‧‧第一區
616‧‧‧第二區
700‧‧‧氣腔
702‧‧‧上腔體
710‧‧‧注入件
712‧‧‧通道
716‧‧‧狹槽
718‧‧‧間隙
720‧‧‧上部真空O型環
722‧‧‧下部真空O型環
730‧‧‧螺桿
740‧‧‧螺桿
750‧‧‧氣源
752‧‧‧電漿
800‧‧‧注入件
820‧‧‧冷卻通道
822‧‧‧冷卻液
900‧‧‧注入件
920‧‧‧冷卻通道
950‧‧‧溫度控制器
960‧‧‧電氣加熱器
970‧‧‧感溫器
A‧‧‧角
B‧‧‧角
第1圖揭示一習知單導流板移除器腔室。
第2圖揭示第1圖之導流板。
第3圖揭示一習知多導流板移除器腔室。
第4圖揭示依據一方面之一氣腔。
第5圖揭示依據一方面之一分散組件之一透視圖。
第6圖揭示依據一第二方面之一分散組件之一剖視圖。
第7圖揭示依據一第三方面之一分散組件之一剖視圖。
第8圖揭示依據一第四方面之一分散組件之一剖視圖。
第9圖揭示依據一第五方面之一分散組件之一剖視圖。
400...氣腔
402...上腔體
404...下腔體
406...O型環
408...出埠
410...注入件
412...通道
414...注入埠
416...空腔
420...晶圓
430...溫控式夾件
440...遠端氣源
442...輸氣管
450...排氣單元
452...真空線
454...隔離閥
456...節流閥
458...真空泵

Claims (28)

  1. 一種將氣體遞送至一基材之裝置,該裝置包含:形成一空腔之上腔體和下腔體;與該空腔流體式相通之一氣源;適於移除該空腔中氣體之一排氣單元;置於該空腔中之一夾件;以及含有延伸穿過其中之多數連續通道之一注入件,各通道係與該空腔及該氣源流體式相通,其中各通道係彼此獨立並包含:一第一區段,其具有一第一直徑及一沿該第一區段之方向的縱軸,該第一區段連接至一第二區段,該第二區段具有一第二直徑及一沿該第二區段之方向的縱軸,其中該第一區段及該第二區段之間之相交處係呈一角度,其足以防止沿該第一區段之縱軸方向進入該第一區段的光線未由該通道中之一表面反射而離開該第二區段,並且其中於該相交處該第一直徑係與該第二直徑實質相等。
  2. 如申請專利範圍第1項之裝置,其中該等通道之至少一者在該注入件之該第一區段中具有一第一傾斜角,該第一傾斜角實質上與該注入件之該第二區段之一第二傾斜角垂直。
  3. 如申請專利範圍第2項之裝置,其中該等第一和第二傾斜角之至少一者係自該注入件之一中心軸線傾斜。
  4. 如申請專利範圍第3項之裝置,其中該第一傾斜角從該 注入件的該中心軸線係大約0°至60°範圍,同時該第二傾斜角從該注入件的該中心軸線係大約10°至60°範圍內。
  5. 如申請專利範圍第1項之裝置,其中位於該等通道之至少一者的一端部之一噴嘴係實質為漏斗狀。
  6. 如申請專利範圍第5項之裝置,其中位於鄰近該上腔體一內表面之該噴嘴之端部的一角與該內表面之一角相匹配。
  7. 如申請專利範圍第6項之裝置,其中該內表面為漏斗狀。
  8. 如申請專利範圍第1項之裝置,其中該注入件具有一錐縮的下部。
  9. 如申請專利範圍第8項之裝置,其中該錐縮的下部具有以不同比率錐縮之第一和第二區域。
  10. 如申請專利範圍第9項之裝置,其中該上腔體之一內表面匹配該等第一和第二區域之至少一者之一錐狀角。
  11. 如申請專利範圍第1項之裝置,其中該注入件接觸該氣源。
  12. 如申請專利範圍第11項之裝置,其進一步在介於該注入件和該氣源之間及介於該注入件和該上腔體之間包含O型環,其中該注入件含有位於該等O型環之至少一者內側之一狹槽,該狹槽實質上平行於該注入件之一中心軸線。
  13. 如申請專利範圍第11項之裝置,其進一步在介於該注入件和該氣源之間及介於該注入件和該上腔體之間包含O 型環,其中該注入件含有一間隙位在介於下列至少一組之間的該O型環內側:該注入件之一表面和該氣源之一表面;以及該注入件之一表面和該上腔體之一表面。
  14. 如申請專利範圍第1項之裝置,其中該注入件進一步包含允許手動或自動調整該注入件之一溫度之一調溫系統。
  15. 如申請專利範圍第14項之裝置,其中該調溫系統包含位於該注入件內之一冷卻通道,該冷卻通道含有一冷卻液。
  16. 如申請專利範圍第15項之裝置,其中該調溫系統進一步包含感測該注入件之該溫度之一感溫器和改變該注入件之該溫度之一電氣加熱器。
  17. 一種將氣體遞送至一基材之裝置,該裝置包含:形成一空腔之上腔體和下腔體;與該空腔流體式相通之一氣源;適於移除該空腔中氣體之一排氣單元;置於該空腔中之一夾件;以及含有延伸穿過其中之多數連續通道之一注入件,各通道係與該空腔及該氣源流體式相通,其中各通道係彼此獨立並足夠彎曲以防止進入該通道的任何光線未由該通道中之一表面反射而離開該通道。
  18. 如申請專利範圍第17項之裝置,其中一漏斗狀噴嘴被置 於該等通道之至少一者之一端部。
  19. 如申請專利範圍第17項之裝置,其中位於該噴嘴鄰近該上腔體一內表面之一端部的一角配合該內表面之一角。
  20. 如申請專利範圍第19項之裝置,其中該內表面為漏斗狀。
  21. 如申請專利範圍第17項之裝置,其進一步包含在介於該注入件和該氣源之間與在介於該注入件和該上腔體之間之O型環,其中該注入件含有位於該等O型環之至少一者內側之一狹槽,該狹槽實質平行於該固定裝置之一中心軸線。
  22. 如申請專利範圍第17項之裝置,其進一步包含在介於該注入件和該氣源之間與在介於該注入件和該上腔體之間之O型環,其中該注入件含有一間隙,其位在介於下列至少一組之間的該O型環內側:該注入件之一表面和該氣源之一表面;以及該注入件之一表面和該上腔體之一表面。
  23. 如申請專利範圍第17項之裝置,其中該注入件進一步包含允許手動或自動調整該注入件之一溫度之一調溫系統。
  24. 如申請專利範圍第23項之裝置,其中該調溫系統包含位於該注入件內之一冷卻通道,該冷卻通道含有一冷卻液。
  25. 如申請專利範圍第24項之裝置,其中該調溫系統進一步包含感測該注入件之該溫度之一感溫器和改變該注入 件之該溫度之一電氣加熱器。
  26. 一種將氣體遞送至一基材之裝置,該裝置包含:形成一空腔之上腔體和下腔體;與該空腔流體式相通之一氣源;適於移除該空腔中氣體之一排氣單元;置於該空腔中之一夾件;以及包含一單元結構之注入件,其含有延伸穿過其中之多數通道,各通道係與該空腔及該氣源流體式相通,其中各通道具有位在該單元結構中之一上區段及一下區段,而該上區段及該下區段之間之相交處係呈一角度,其足以防止進入該通道的該上區段的任何光線未由該通道中之一表面反射而離開該通道的該下區段。
  27. 如申請專利範圍第26項之裝置,其中該等通道之至少一者在該注入件之上區段中具有一第一傾斜角,該第一傾斜角係實質上與該注入件之下區段之一第二傾斜角垂直。
  28. 如申請專利範圍第27項之裝置,其中該等第一及第二傾斜角之至少一者係自該注入件之一中心軸線傾斜。
TW95110523A 2005-04-01 2006-03-27 高移除速率之下游腔室 TWI392011B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/096,820 US8298336B2 (en) 2005-04-01 2005-04-01 High strip rate downstream chamber

Publications (2)

Publication Number Publication Date
TW200710985A TW200710985A (en) 2007-03-16
TWI392011B true TWI392011B (zh) 2013-04-01

Family

ID=37068918

Family Applications (2)

Application Number Title Priority Date Filing Date
TW102101514A TWI487021B (zh) 2005-04-01 2006-03-27 加工晶圓之方法
TW95110523A TWI392011B (zh) 2005-04-01 2006-03-27 高移除速率之下游腔室

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW102101514A TWI487021B (zh) 2005-04-01 2006-03-27 加工晶圓之方法

Country Status (6)

Country Link
US (2) US8298336B2 (zh)
JP (1) JP5227788B2 (zh)
KR (1) KR101235500B1 (zh)
CN (1) CN101589172B (zh)
TW (2) TWI487021B (zh)
WO (1) WO2006107573A2 (zh)

Families Citing this family (282)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US8298336B2 (en) * 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
US7562638B2 (en) * 2005-12-23 2009-07-21 Lam Research Corporation Methods and arrangement for implementing highly efficient plasma traps
US7679024B2 (en) * 2005-12-23 2010-03-16 Lam Research Corporation Highly efficient gas distribution arrangement for plasma tube of a plasma processing chamber
US7476291B2 (en) * 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
JP4160104B1 (ja) * 2007-08-16 2008-10-01 株式会社アルバック アッシング装置
NL1035846A1 (nl) * 2007-08-23 2009-02-24 Asml Netherlands Bv Radiation source.
CN101802254B (zh) * 2007-10-11 2013-11-27 瓦伦斯处理设备公司 化学气相沉积反应器
JP5474291B2 (ja) * 2007-11-05 2014-04-16 株式会社アルバック アッシング装置
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
US20090217950A1 (en) * 2008-03-03 2009-09-03 Multimetrixs, Llc Method and apparatus for foam-assisted wafer cleaning
US8110068B2 (en) * 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
US9591738B2 (en) 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
WO2010004836A1 (ja) * 2008-07-09 2010-01-14 東京エレクトロン株式会社 プラズマ処理装置
US8425977B2 (en) * 2008-09-29 2013-04-23 Applied Materials, Inc. Substrate processing chamber with off-center gas delivery funnel
US8043434B2 (en) * 2008-10-23 2011-10-25 Lam Research Corporation Method and apparatus for removing photoresist
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
WO2011100293A2 (en) * 2010-02-12 2011-08-18 Applied Materials, Inc. Process chamber gas flow improvements
JP5697389B2 (ja) * 2010-09-27 2015-04-08 東京エレクトロン株式会社 プラズマエッチング用の電極板及びプラズマエッチング処理装置
US9082593B2 (en) * 2011-03-31 2015-07-14 Tokyo Electron Limited Electrode having gas discharge function and plasma processing apparatus
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9120344B2 (en) * 2011-08-09 2015-09-01 Kateeva, Inc. Apparatus and method for control of print gap
US9574268B1 (en) * 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9941100B2 (en) * 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
DE102012107282A1 (de) * 2012-01-17 2013-07-18 Reinhausen Plasma Gmbh Vorrichtung und verfahren zur plasmabehandlung von oberflächen
JP5965680B2 (ja) * 2012-03-08 2016-08-10 東京エレクトロン株式会社 処理室内部品の冷却方法、処理室内部品冷却プログラム、及び記憶媒体
KR20220039837A (ko) * 2012-08-08 2022-03-29 카티바, 인크. 프린팅 시스템
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103871815A (zh) * 2012-12-11 2014-06-18 旺宏电子股份有限公司 半导体处理装置及处理半导体晶圆的方法
JP6046752B2 (ja) * 2013-01-30 2016-12-21 京セラ株式会社 ガスノズルおよびこれを用いたプラズマ装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9761416B2 (en) * 2013-03-15 2017-09-12 Applied Materials, Inc. Apparatus and methods for reducing particles in semiconductor process chambers
JP6115244B2 (ja) 2013-03-28 2017-04-19 東京エレクトロン株式会社 成膜装置
JP6237264B2 (ja) 2014-01-24 2017-11-29 東京エレクトロン株式会社 縦型熱処理装置、熱処理方法及び記憶媒体
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150345019A1 (en) * 2014-05-30 2015-12-03 Applied Materials, Inc. Method and apparatus for improving gas flow in a substrate processing chamber
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10465288B2 (en) * 2014-08-15 2019-11-05 Applied Materials, Inc. Nozzle for uniform plasma processing
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9865437B2 (en) 2014-12-30 2018-01-09 Applied Materials, Inc. High conductance process kit
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6545053B2 (ja) * 2015-03-30 2019-07-17 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
WO2016158054A1 (ja) * 2015-03-30 2016-10-06 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN106935466B (zh) * 2015-12-30 2018-10-30 中微半导体设备(上海)有限公司 气体分配系统及等离子体祛光刻胶装置及其气体分配方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
JP2019161165A (ja) * 2018-03-16 2019-09-19 東京エレクトロン株式会社 プラズマ処理装置
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11629409B2 (en) * 2019-05-28 2023-04-18 Applied Materials, Inc. Inline microwave batch degas chamber
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11814716B2 (en) * 2019-11-27 2023-11-14 Applied Materials, Inc. Faceplate having blocked center hole
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
JP7115783B2 (ja) * 2020-03-30 2022-08-09 ピーエスケー インコーポレイテッド 基板処理装置
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11810764B2 (en) 2020-04-23 2023-11-07 Applied Materials, Inc. Faceplate with edge flow control
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115502067B (zh) * 2022-10-25 2023-09-26 长鑫存储技术有限公司 加热装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5643394A (en) * 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US6453992B1 (en) * 1999-05-10 2002-09-24 Hyundai Electronics Industries Co., Ltd. Temperature controllable gas distributor

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58170982A (ja) * 1982-03-30 1983-10-07 Matsushita Seiko Co Ltd 磁力弁
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JP3339200B2 (ja) 1994-09-28 2002-10-28 ソニー株式会社 プラズマ発生装置、プラズマ加工方法および薄膜トランジスタの製造方法
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
US5792269A (en) * 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
US5993679A (en) * 1997-11-06 1999-11-30 Anelva Corporation Method of cleaning metallic films built up within thin film deposition apparatus
JP4049423B2 (ja) 1997-11-06 2008-02-20 キヤノンアネルバ株式会社 成膜処理装置内の付着金属膜のクリーニング方法
JP4124383B2 (ja) 1998-04-09 2008-07-23 財団法人国際科学振興財団 マイクロ波励起プラズマ装置用のシャワープレート及びマイクロ波励起プラズマ装置
US6894245B2 (en) 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
KR100413145B1 (ko) * 2001-01-11 2003-12-31 삼성전자주식회사 가스 인젝터 및 이를 갖는 식각 장치
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6586886B1 (en) * 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
CN1278393C (zh) * 2003-04-14 2006-10-04 华邦电子股份有限公司 半导体机台气体反应室的气体配送系统及方法
US7758699B2 (en) * 2003-06-26 2010-07-20 Superpower, Inc. Apparatus for and method of continuous HTS tape buffer layer deposition using large scale ion beam assisted deposition
US20050092245A1 (en) * 2003-11-03 2005-05-05 Ahn-Sik Moon Plasma chemical vapor deposition apparatus having an improved nozzle configuration
KR100782369B1 (ko) * 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US8298336B2 (en) * 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5643394A (en) * 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6453992B1 (en) * 1999-05-10 2002-09-24 Hyundai Electronics Industries Co., Ltd. Temperature controllable gas distributor
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces

Also Published As

Publication number Publication date
CN101589172A (zh) 2009-11-25
JP5227788B2 (ja) 2013-07-03
TW200710985A (en) 2007-03-16
US20060219361A1 (en) 2006-10-05
US8298336B2 (en) 2012-10-30
US20130025693A1 (en) 2013-01-31
CN101589172B (zh) 2013-10-23
TW201320182A (zh) 2013-05-16
WO2006107573A3 (en) 2009-05-07
US8425682B2 (en) 2013-04-23
KR101235500B1 (ko) 2013-02-20
JP2008538256A (ja) 2008-10-16
KR20070122524A (ko) 2007-12-31
TWI487021B (zh) 2015-06-01
WO2006107573A2 (en) 2006-10-12

Similar Documents

Publication Publication Date Title
TWI392011B (zh) 高移除速率之下游腔室
JP4995915B2 (ja) フォトレジスト剥離および金属エッチング後パッシベーション用の高チャンバ温度プロセスおよびチャンバ設計
EP1706889B1 (en) Gas distribution plate assembly for plasma reactors
KR100954257B1 (ko) 고밀도 플라즈마 용도를 위한 고진공하의 자체-냉각식 가스전달 장치
KR101374332B1 (ko) 화학적 산화물 제거 처리 시스템 및 방법
TWI650815B (zh) 具有多個電漿配置構件之半導體處理系統
US9157152B2 (en) Vapor deposition system
KR102487725B1 (ko) 모듈형 기화기
KR20200028041A (ko) 플라즈마 식각 프로세스들에서, 코팅된 부분들을 사용한 프로세스 윈도우 확장
US8377213B2 (en) Slit valve having increased flow uniformity
KR20040079993A (ko) 반응기 어셈블리 및 처리 방법
KR20160028360A (ko) 샤워헤드 능동 가열 및/또는 페데스탈 냉각에 의한 저온 ald 시스템들에서의 안정된 증착 레이트 제어를 위한 방법들 및 장치들
US20140261803A1 (en) High strip rate downstream chamber
JP4502639B2 (ja) シャワープレート、プラズマ処理装置、及び、製品の製造方法
JPH11152573A (ja) ガス混合装置及び方法
KR20140043781A (ko) 증착 시스템용 프로세스 가스 디퓨저 어셈블리
US20240141482A1 (en) Nozzle for remote plasma cleaning of process chambers
JPH09326398A (ja) ガスフロー形成装置