JP2008504678A - 圧縮歪Ge層内にPMOSデバイスを作製する構造および方法(先端CMOS技術への歪Geの統合) - Google Patents

圧縮歪Ge層内にPMOSデバイスを作製する構造および方法(先端CMOS技術への歪Geの統合) Download PDF

Info

Publication number
JP2008504678A
JP2008504678A JP2007518061A JP2007518061A JP2008504678A JP 2008504678 A JP2008504678 A JP 2008504678A JP 2007518061 A JP2007518061 A JP 2007518061A JP 2007518061 A JP2007518061 A JP 2007518061A JP 2008504678 A JP2008504678 A JP 2008504678A
Authority
JP
Japan
Prior art keywords
layer
sige
strained
approximately
concentration
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007518061A
Other languages
English (en)
Other versions
JP2008504678A5 (ja
Inventor
シャン、フイリン
ユン、メイケイ
チュー、ジャック、オーン
グァリーニ、キャスリン、ダブリュ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2008504678A publication Critical patent/JP2008504678A/ja
Publication of JP2008504678A5 publication Critical patent/JP2008504678A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7841Field effect transistors with field effect produced by an insulated gate with floating body, e.g. programmable transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

【課題】 圧縮歪Ge層内にPMOSデバイスを作製する構造および方法を開示する。
【解決手段】 このようなデバイスの作製方法は、標準的なCMOS技術に適合し、完全にスケーリング可能である。該処理は、50%を超えるGe含有量のバッファ層、純粋Ge層、およびSiGe最上層の選択的なエピタキシャル堆積を含む。圧縮歪Ge層にホスティングされるように作製された埋め込みチャネル型PMOSは、同様のSiデバイスに対して優位のデバイス特性を示す。
【選択図】 図1

Description

本発明は半導体技術に関し、より具体的には、歪GeにホスティングされるPMOSデバイスに関する。このデバイスは有効にスケーリングされ、高性能シリコンベースのCMOS技術に統合可能に作製される。
今日の集積回路には膨大な数のデバイスが含まれ、デバイスの小型化は性能および信頼性向上の鍵となる。MOSFET(金属酸化物半導体電解効果トランジスタ、一般に絶縁ゲート電解効果トランジスタの意味を歴史的に含む名称である)デバイスがスケールダウンするにつれ、技術は複雑化し、ある世代から次世代のデバイスに求められる性能向上を維持するために新しい方法が必要とされる。
潜在的なデバイス性能を示す最重要指標の1つがキャリア移動度である。ディープサブミクロン世代のデバイスでは、キャリア移動度を高く保つのに難題が存在する。キャリア移動度を改善するのに有力な手段は、デバイス製造の原材料となる半導体を改質することである。引張り、または、圧縮により歪む半導体が興味深いキャリア特性を有することは知られており、最近ではさらに研究が進められている。特に、本明細書の参照文献である“Strained Si Based Layer Made By UHV-CVD and, Devices Therein”と題するJ.O. Chuに対する米国特許第6,649,492号(B2)に記載されるように、歪シリコン(Si)チャネル型NMOSで90〜95%の電子移動度の改善が達成されている。同様に、正孔向上については、S.J. Koester他による“Extremely high transconductance Ge/Si0.4Ge0.6p-MODFET's grown by UHV-CVD”、IEEE Elect. Dev. Lett. 21, 110(2000)に記載されているように、圧縮歪埋め込みゲルマニウム(Ge)MODFETが高い正孔移動度をもたらしている。同じウェハ内での引張歪SiGe領域と圧縮歪SiGe領域の組み合わせについては、J.O. Chuによる国際特許出願番号PCT/US2004/005481、すなわち2004年9月30日付けで公開された国際公開第2004/084264号“DualStrained-State SiGe Layers for Microelectronics”に記載されている。
正孔移動度が向上したため、高性能CMOSロジック用として、GeベースのMOSFETデバイスに新たな技術的な関心がもたれている。特に、ゲート絶縁体としてオキシナイトライド(GeON)を使用した表面チャネル型GeMOSFETデバイスについては、H. Shang他によってIEDM, p.441, 2002で実証されている。また、ゲート絶縁体としてhigh−Kを使用したGePMOSが以下の参考文献に記載されている。C.Chui他によるIEDM, p.437, 2002、C. H. Huang他によるVLSI symp. P.119, 2003、およびA. Ritenour他によるIEDM,p.433, 2003である。
正孔移動度の向上した埋め込みチャネル型歪GePMOSについても、以下の参照文献、すなわちM. Lee他によるIEDM, p.429, 2003およびH. Shang他によるVLSIsymp. 2004において報告されている。とはいえ、報告されたGeデバイスは、簡易集積化のために、リングタイプゲート構造レイアウト等、単純なデバイス構造を採用しており、通常比較的大きな寸法となる。こうした特徴は先進の高性能CMOS技術への統合に適さない。
標準的なCMOS技術に適合し、正孔移動度を向上する歪Ge構造をPMOSデバイスに組み込むための方法は得られていない。
米国特許第6,649,492号(B2) 国際特許出願番号PCT/US2004/005481 国際公開第2004/084264号 米国特許第5,259,918号 米国特許第6,350,993号(B1) S. J. Koester他による"Extremely high transconductanceGe/Si0.4Ge0.6 p-MODFET's grown by UHV-CVD"、IEEEElect. Dev. Lett. 21, 110(2000) H. Shang他によるIEDM, p.441, 2002 C. Chui他によるIEDM, p.437, 2002 C. H. Huang他によるVLSI symp. P.119, 2003 A. Ritenour他によるIEDM, p.433, 2003
本発明は、高移動度の歪Ge埋め込みチャネル構造を組み込み、PMOSデバイスに改善をもたらす先端CMOS技術のインテグレーションスキームを記載する。本スキームは、寸法の減少に伴って容易にスケーリング可能なものである。
単結晶でおよそ50%〜90%のGe濃度を有するSiGeシード層と、単結晶であり、SiGeシード層とエピタキシャル関係を有してSiGeシード層を被覆する圧縮歪Ge層とを備える積層構造が開示される。
また、圧縮歪Ge層にホスティングされるPMOSデバイスを含むCMOS回路であって、該圧縮歪Ge層はエピタキシャル関係でSiGeシード層を被覆し、該SiGeシード層は単結晶でおよそ50%〜90%のGe濃度を有するCMOS回路が開示される。
さらに、PMOSデバイスを作製する方法が開示され、該方法は、およそ50%〜90%のGe濃度の単結晶SiGeシード層を、最高でおよそ50%のGe濃度を有する緩和SiGe層上にエピタキシャル堆積させる工程と、圧縮歪Ge層をSiGeシード層上にエピタキシャル堆積させる工程と、圧縮歪Ge層にPMOSデバイスをホスティングする工程とを含む。
さらに、CMOS回路を作製する方法が開示され、該方法は、最高でおよそ50%のGe濃度の緩和SiGe層を有するSGOIウェハを準備する工程と、浅いトレンチまたは他の分離手法を用い、SGOIウェハ上でNMOSおよびPMOS領域の範囲を定める工程と、NMOS領域を誘電体材料でキャッピングする工程と、およそ50%〜90%のGe濃度を有する単結晶SiGeシード層を緩和SiGe層上に選択的にエピタキシャル堆積させる工程と、圧縮歪Ge層をSiGeシード層上に選択的にエピタキシャル堆積させる工程と、圧縮歪Ge層にPMOSデバイスをホスティングする工程とを含む。このCMOS回路を作製する方法は、SiGe最上層を圧縮歪Ge層上に選択的にエピタキシャル堆積させる工程をさらに含み、SiGe最上層が最高およそ10%のGe濃度になるよう選択されてもよい。
先端CMOS技術への歪Geの統合において、主流のSiCMOSの標準作製プロセス全般はできる限り維持することが好ましい。本発明は、一般的な先端SiCMOS処理の100をはるかに超える工程の中から数工程のみを変更/付加するようなプロセスに歪Geを統合するものである。例示的な一実施形態においては、歪GeにホスティングされるPMOSを含むCMOS作製の全体プロセスフローは以下の工程に従う。すなわち、シリコン・オン・インシュレータ(SOI)またはシリコン・ゲルマニウム・オン・インシュレータ(SGOI)ウェハから始まり、当業者に公知の標準的な浅いトレンチ分離(STI)プロセスを経て、NMOS領域をマスクでキャッピングし、PMOS領域内のみにSiまたはSiGeアイランドを切り開き、露出したSiまたはSiGe面の上面にGeを選択的に成長させ、NFET領域上のマスキングキャップを剥離し、その後当業者に公知の標準的なCMOS作製工程が続く。また、本発明は、CMOS作製プロセスの枠組み外の新規な工程や構造も教示する。
図1は、デバイス作製に用いられる積層構造の断面略図である。図2は、絶縁分離およびNMOS領域とPMOS領域の上面略図を示す。代表的な一実施形態では、開始点がSOIまたはSGOIウェハである。通常Siである基板151は、当業者には公知であるように、その面全体にいわゆる埋め込み酸化物(BOX)150を有する。BOXの上面には、最高でおよそ50%のGe濃度を有する緩和単結晶SiGe層130が存在するが、この層が実質的に純粋Siの場合もあり得る。最初はこの緩和SiGeがBOX150上面のブランケット層であるが、図1では、絶縁誘電体140が既に付加され緩和SiGe層130が分割された処理状態が示されている。例示的な一実施形態における絶縁分離は、いわゆる浅いトレンチ分離(STI)と呼ばれるが、当業者には公知であるように、他の様々な種類のものであってもよい。絶縁分離140は、NMOSデバイス220に指定された領域からPMOSデバイス210に指定された領域を分離する、すなわち、それぞれの範囲を定める。好ましくは、本発明に導入される歪Ge層は、PMOS領域210内のPMOSデバイスのために使用される。NMOS領域は当業者に公知の方法で処理されるが、場合によっては材料層190が緩和SiGe130を覆っている状態で処理される。層190はプロセスのこの段階では存在すらない、あるいは実装されることすらない可能性もあるため、NMOS領域内の1層または複数層の材料層190は単に記号化されたものとして図示されている。本発明は、NFET領域およびデバイスの処理において公知の方法を使用する。図に示すこの段階では、NMOS領域はマスク160で被覆されている。マスク160は、好ましくは、当業者に公知のSiO、窒化物、あるいはその他の誘電体である。
PMOSまたはP−MODFET等、Ge正孔伝導型デバイス用の層導入は、およそ50%〜90%のGe濃度を有する単結晶SiGeシード層101を、緩和SiGe層130の上にエピタキシャル堆積させることから始まる。好ましくは、SiGeシード層101のエピタキシャル成長はおよそ70%のGe濃度前後で選択的に行われる。堆積における選択は、STI誘電体140等の誘電体材料やNMOSマスク160に対してなされる。このSiGeシード層101におけるGe濃度は必ずしも均一ではなく、個々の実施形態の要求に応じて多種多様なGe濃度勾配を有する可能性がある。不均一な濃度は、通常、材料品質を改善する目的を果たす。このSiGeシード層101の好ましい厚さ範囲はおよそ0.3nm〜3nmである。このSiGeシード層101を選択する理由の一部は、SGOIウェハの緩和SiGe130の表面品質を改善することにある。SiGeシード層の比較的高いGe濃度は、圧縮歪デバイス品質のGe層100の導入を可能にする特徴である。
圧縮歪単結晶Ge層100は、SiGeシード層101上を覆うようにエピタキシャル堆積される。好ましくは、圧縮歪Ge層100のエピタキシャル成長が選択的に行われる。堆積における選択は、STI誘電体140等の誘電体材料やNMOSマスク160に対してなされる。この圧縮歪Ge層100の好ましい厚さ範囲はおよそ5nm〜20nmである。Geの緩和格子定数がSiGeの緩和格子定数より大きいため、Ge層100は圧縮歪を生じ、それら層を貫くエピタキシャル関係によって、Ge層100の結晶格子は、Geより小さい緩和格子定数を有する下層との関係に従ったものとなる。圧縮歪Ge層100は、PMOSなどの正孔型伝導デバイスのホスティング(hosting)層である。ある材料や層にデバイスをホスティングする(hosting)という用語は、たとえば、MOSデバイスのチャネル等、キャリア特性に主として敏感なデバイスの重要な部分がその材料や層内に存在しており、その材料や層から構成されると共に、その材料や層内に収容されていることを意味する。
表面チャネル型PMOSデバイスが選択される場合、材料の堆積が圧縮歪Ge層100の堆積で終了する場合もある。しかしながら、p−チャネルの界面品質を好適に向上させるだけでなく、埋め込みチャネル型PMOSを有する場合には、単結晶SiGe最上層120がエピタキシャル堆積されて圧縮歪Ge層100を被覆する。SiGe最上層120は最高でおよそ10%のGe濃度を有する。例示的な一実施形態では、このSiGe最上層120は実質的に純粋Siであり、この場合、厚さはおよそ0.3nm〜10nmが好ましい。また、SiGe最上層120のエピタキシャル成長が選択的に行われるのが好ましい。堆積における選択は、STI誘電体140等の誘電体材料やNMOSマスク160に対してなされる。SiGe最上層120か圧縮歪Ge層100のいずれかが最後に堆積される層であり、この積層構造は境界が明瞭な上面121を有する。処理を容易にするため、この上面121が分離誘電体の上面141と同一平面にあることが好ましい。しかし、こうした共平面性が欠けていたとしても制限要因にはならない。
選択された範囲のPMOS領域内で埋め込みGeチャネルヘテロ構造を局所的に形成または成長させるには、選択的CVD成長プロセスの使用が必要となるため、デバイス層の成長は、Si0、Si3、SiON等、既知の誘電体材料に対して選択的である。Si、SiGe、およびGe膜に対して一般的、あるいは利用可能な選択的成長プロセスは、当業者には公知であるRT−CVD、UHV−CVD、LP−CVD、AP−CVD等、種々の成長技法から得られる。超高真空化学気相蒸着(UHV−CVD)の好ましい選択的成長プロセスにおいては、SiGeシード層101および圧縮歪Ge層の成長温度が250℃〜350℃の範囲である。
エピタキシャル層を成長させるUHV−CVD技法の詳細については、本明細書の譲受人に譲渡され参照として本明細書に組み込まれる、1993年11月9日に発行されたS. Akbar他に対する米国特許第5,259,918号“Heteroepitaxial Growth of Germanium onSilicon by UHV/CVD”に説明されている。さらに多くのUHV−CVD成長技法について、本明細書の譲受人に譲渡され参照として本明細書に組み込まれる、2003年2月26日に発行されたJ. O. Chu他に対する米国特許第6,350,993号(B1)“HeteroepitaxialGrowth of Germanium on Silicon by UHV/CVD”に説明されている。SiGeシード層101、圧縮歪Ge層100、およびSiGe最上層120の積層構造のエピタキシャル堆積は、超高真空圧の一貫性を保って、すなわち、エピタキシャル堆積に先立つ約10−9Torrの範囲において行われる。特に、ホットウォール等温CVD装置を利用することにより、シラン(SiH)またはゲルマン(GeH4)原料ガス等、シリコンおよび/またはゲルマニウム前駆物質の実質非均質な気相熱分解が、1秒未満の滞留時間中、好ましい成長プロセスが操作される選択温度および圧力状況内で起こる。通常、予めパターン化された1回処理分のSGOIウェハがUHV−CVDリアクタにロードされ、300℃〜480℃の温度範囲で加熱される。成長圧力は、通常1〜5 mm Torrの範囲である。代表的な一実施形態のSiGeシード層101は、流量25sccmのSiHと流量95sccmのGeHの組み合わせを用いてSGOI領域を覆うように成長する。そして、圧縮歪Ge層100を成長させるために、成長温度は300℃近くに下げられ、GeHが50sccmの流量で供給される。そして、層100の完成後、成長温度を上昇させ、SiHが30sccmの流量で供給されると共にGeHが0〜15sccmの流量で供給され、圧縮歪Ge層100を覆うように薄いSiGe最上層120が形成される。例示的な一実施形態の薄い最上層120は実質純粋Siである。
必ずとは言えないが、通常、当業者には公知なように、選択的CVD成長技法では、HCl、Cl、SiCl、SiHCl、SiHCl等の塩素ベースの前駆体またはガス源が追加投入され、それによって、標準的なマスキング材料上の膜成長をなくして選択的成長が促される。
図3は、圧縮歪Ge層100にホスティングされる埋め込みチャネル型PMOSデバイスの断面略図を示す。図1の積層構造の構築に続き、デバイス作製は当業者に公知の工程をたどる。そして、ある時点で、ソース/ドレイン接合部380が作成される。図に示されるソース/ドレイン380は下方に延び、BOX層150に接しているが、これは一説明図にすぎず、例示的な一実施形態においては、ソース/ドレイン380が下方に伸びてBOX層の界面150内に達していても、達していなくてもよく、あるいは、BOX層150を貫通していたとしてもよい。プロセスの別の時点では、ゲート絶縁体310が必要とされる。好ましいゲート絶縁体は、通常プラズマ低温プロセスによって堆積される酸化物、ならびに、HfO、HfSiO、あるいはその他当業者には公知材料等のいわゆるhigh−K(高誘電率)材料を含むが、それらに限定されるものではない。同様に、当業者に公知の様々な材料をゲート390に用いることができる。デバイスのさらなる作製段階、例えばゲート絶縁体310の処理中など、Si/SiGe最上層120の材料から消費してもよいし、消費しなくてもよい。説明のため、本図では、層120がわずかに消費(破壊)された状態が概略的に示されている。そして正孔は、SiまたはSiGe最上層120との境界面である圧縮歪Ge層100の上面301上のチャネル内で伝導される。チャネルは、ここでは、GeおよびSi間の公知のバンドギャップアライメントによって形成される。
図4は、圧縮歪Ge層にホスティングされた埋め込みチャネル型PMOSデバイスについて、移動度の測定値を反転電荷の関数としたプロット図を示す。ここに開示されたデバイスの最大正孔移動度は、比較のために示されたSiのユニバーサル正孔移動度の6倍を超えている。
図5は、圧縮歪Ge層にホスティングされた埋め込みチャネル型PMOSデバイスについて、トランスコンダクタンスの測定値のプロット図を示す。表示された特性は、堆積酸化物のゲート絶縁体を備えるデバイスからのものである。図示のように、開示されたデバイスのトランスコンダクタンスは、Siデバイスのトランスコンダクタンス対し2倍を超えて向上する。
図6は、圧縮歪Ge層にホスティングされた埋め込みチャネル型PMOSデバイスについて測定した導電プロット図を示す。high−Kを有する埋め込みチャネル型PMOS、具体的にはHfOゲート絶縁体の閾値下の導電特性が、低ドレイン電圧と高ドレイン電圧について示されている。また、同じHfOをゲート絶縁体として備えるSi対照デバイスが比較のために示されている。ここで、s−Ge(歪Ge)デバイスは、駆動電流において2倍を超える向上を示している。
上記教示の観点から、本発明に対して数々の変更および変形が可能であることは当業者にとって明らかである。本発明の範囲は「請求の範囲」によって定義されるものである。
デバイス作製に用いられる積層構造の断面略図である。 絶縁分離およびNMOS領域とPMOS領域の上面略図である。 圧縮歪Ge層にホスティングされた埋め込みチャネル型PMOSデバイスの断面略図である。 圧縮歪Ge層にホスティングされた埋め込みチャネルPMOSデバイスについての移動度測定値のプロット図である。 圧縮歪Ge層にホスティングされた埋め込みチャネルPMOSデバイスについてのトランスコンダクタンス測定値のプロット図である。 圧縮歪Ge層にホスティングされた埋め込みチャネルPMOSデバイスについての測定導電プロット図である。

Claims (32)

  1. 単結晶でおよそ50%〜90%のGe濃度を有するSiGeシード層[101]と、
    前記SiGeシード層[101]とエピタキシャル関係を有して前記SiGeシード層を被覆する単結晶の圧縮歪Ge層[100]と、
    を備える積層構造。
  2. 前記圧縮歪Ge層[100]がおよそ5nm〜20nm厚である請求項1に記載の積層構造。
  3. 前記SiGeシード層[101]がおよそ0.3nm〜3nm厚である請求項1に記載の積層構造。
  4. 前記SiGeシード層[101]内のGeが濃度勾配を有する請求項3に記載の積層構造。
  5. 単結晶で、前記SiGeシード層[101]とエピタキシャル関係にあり、最高でおよそ50%のGe濃度を有する緩和SiGe層[130]を前記SiGeシード層の下層にさらに備える請求項1に記載の積層構造。
  6. 単結晶で、前記圧縮歪Ge層[100]とエピタキシャル関係にあり、最高で10%のGe濃度を有して前記圧縮歪Ge層を被覆するSiGe最上層[120]をさらに備える請求項1に記載の積層構造。
  7. 前記SiGe最上層[120]が、およそ0.3nm〜10nm厚の実質的に純粋Siである請求項6に記載の積層構造。
  8. 前記積層構造は、誘電体[140]によって囲まれ絶縁分離される請求項1に記載の積層構造。
  9. 前記積層構造および前記分離誘電体[140]は、同一平面上にある上面[121,141]を有する請求項1に記載の積層構造。
  10. 前記圧縮歪Ge層[100]にホスティングされる正孔伝導型デバイスをさらに備える請求項1に記載の積層構造。
  11. 前記正孔伝導型デバイスがPMOS[210]デバイスである請求項10に記載の積層構造。
  12. 前記PMOS[210]デバイスが埋め込みチャネル型PMOSデバイスである請求項11に記載の積層構造。
  13. 前記PMOSデバイス用のゲート絶縁体[310]がhigh−K材料からなる請求項10に記載の積層構造。
  14. 前記PMOSデバイス用のゲート絶縁体[310]が堆積酸化物からなる請求項10に記載の積層構造。
  15. CMOS回路であって、
    単結晶でおよそ50%〜90%のGe濃度を有するSiGeシード層[101]とエピタキシャル関係を有して該SiGeシード層を被覆している圧縮歪Ge層[100]にホホスティングされるPMOS[210]デバイスを備えるCMOS回路。
  16. 単結晶で、前記圧縮歪Ge層とエピタキシャル関係にあり、最高でおよそ10%のGe濃度を有するSiGe最上層[120]によって前記圧縮歪Ge層[100]が被覆されると共に、単結晶で、前記SiGeシード層[101]とエピタキシャル関係にあり、最高でおよそ50%のGe濃度を有する緩和SiGe層[130]を前記SiGeシード層の下層に備える請求項15に記載のCMOS回路。
  17. 前記SiGe最上層[120]が、およそ0.3nm〜10nm厚の実質的に純粋Siである請求項16に記載のCMOS回路。
  18. 前記PMOSデバイスが埋め込みチャネル型PMOSデバイスである請求項16に記載のCMOS回路。
  19. 前記PMOSデバイスがゲート絶縁体[310]を有し、該ゲート絶縁体がhigh−K材料からなる請求項18に記載のCMOS回路。
  20. 前記PMOSデバイスがゲート絶縁体[310]を有し、該ゲート絶縁体が堆積酸化物からなる請求項18に記載のCMOS回路。
  21. PMOSデバイスを作製する方法であって、
    およそ50%〜90%のGe濃度を有する単結晶SiGeシード層[101]を、最高でおよそ50%のGe濃度を有する緩和SiGe層[130]上にエピタキシャル堆積させる工程と、
    圧縮歪Ge層[100]を前記SiGeシード層上にエピタキシャル堆積させる工程と、
    前記圧縮歪Ge層[100]に前記PMOSデバイスをホスティングする工程とを含む方法。
  22. 前記SiGeシード層[101]がおよそ0.3nm〜3nm厚となり、前記圧縮歪Ge層[100]がおよそ5nm〜20nm厚となるように選択される請求項21に記載の方法。
  23. 最高でおよそ10%のGe濃度を有すように選択されたSiGe最上層[120]を前記圧縮歪Ge層[100]上にエピタキシャル堆積させる工程をさらに含む請求項21に記載の方法。
  24. 前記SiGe最上層[120]が、およそ0.3nm〜10nm厚の実質的に純粋Siであるように選択される請求項23に記載の方法。
  25. 前記SiGeシード層[101]および前記圧縮歪Ge層[100]をエピタキシャル堆積させる工程は、誘電体材料に対して選択的に実行される請求項21に記載の方法。
  26. 前記SiGe最上層[120]をエピタキシャル堆積させる工程は、誘電体材料に対して選択的に実行される請求項23に記載の方法。
  27. 前記PMOSデバイス用のゲート絶縁体[310]にhigh−K材料を使用する工程をさらに含む請求項21に記載の方法。
  28. 前記PMOSデバイス用のゲート絶縁体[310]に堆積酸化物を使用する工程をさらに含む請求項21に記載の方法。
  29. CMOS回路を作製する方法であって、
    最高でおよそ50%のGe濃度の緩和SiGe層[130]を有するSGOIウェハ[151,150,130]を準備する工程と、
    前記SGOIウェハ上にNMOS[220]およびPMOS[210]領域の範囲を定める工程と、
    前記NMOS領域を誘電体材料[160]でキャッピングする工程と、
    およそ50%〜90%のGe濃度を有する単結晶SiGeシード層[101]を前記緩和SiGe層上に選択的にエピタキシャル堆積させる工程と、
    圧縮歪Ge層[100]を前記SiGeシード層[101]上に選択的にエピタキシャル堆積させる工程と、
    前記圧縮歪Ge層[100]にPMOSデバイスホスティングする工程とを含む方法。
  30. 前記圧縮歪Ge層[100]を覆うように、最高で10%のGe濃度を有するに選択されたSiGe最上層[120]を選択的にエピタキシャル堆積させる工程をさらに含む請求項29に記載の方法。
  31. 前記SiGe最上層[120]が、およそ0.3nm〜10nm厚の実質的に純粋Siであるように選択される請求項30に記載の方法。
  32. 前記誘電体材料[160]を剥離する工程と、前記NMOS領域をキャッピングする工程と、前記NMOS領域内にNMOSデバイスを作製する工程とをさらに含む請求項29に記載の方法。
JP2007518061A 2004-06-24 2005-05-10 圧縮歪Ge層内にPMOSデバイスを作製する構造および方法(先端CMOS技術への歪Geの統合) Pending JP2008504678A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/876,155 US7244958B2 (en) 2004-06-24 2004-06-24 Integration of strained Ge into advanced CMOS technology
PCT/US2005/016223 WO2006007068A2 (en) 2004-06-24 2005-05-10 Integration of strained ge into advanced cmos technology

Publications (2)

Publication Number Publication Date
JP2008504678A true JP2008504678A (ja) 2008-02-14
JP2008504678A5 JP2008504678A5 (ja) 2008-05-22

Family

ID=35504643

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007518061A Pending JP2008504678A (ja) 2004-06-24 2005-05-10 圧縮歪Ge層内にPMOSデバイスを作製する構造および方法(先端CMOS技術への歪Geの統合)

Country Status (5)

Country Link
US (3) US7244958B2 (ja)
JP (1) JP2008504678A (ja)
CN (1) CN100481490C (ja)
TW (1) TW200605269A (ja)
WO (1) WO2006007068A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010505274A (ja) * 2006-09-29 2010-02-18 東京エレクトロン株式会社 歪みゲルマニウム含有層を有するデバイスのためのuv支援による誘電層形成
JP2016028447A (ja) * 2009-12-23 2016-02-25 インテル コーポレイション 非平面ゲルマニウム量子井戸デバイス

Families Citing this family (351)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101131418B1 (ko) * 2004-12-07 2012-04-03 주성엔지니어링(주) 반도체 소자 및 이의 제조 방법
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US7656049B2 (en) 2005-12-22 2010-02-02 Micron Technology, Inc. CMOS device with asymmetric gate strain
US7560318B2 (en) * 2006-03-13 2009-07-14 Freescale Semiconductor, Inc. Process for forming an electronic device including semiconductor layers having different stresses
WO2007112066A2 (en) 2006-03-24 2007-10-04 Amberwave Systems Corporation Lattice-mismatched semiconductor structures and related methods for device fabrication
EP2062290B1 (en) 2006-09-07 2019-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction using aspect ratio trapping
WO2008039495A1 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Tri-gate field-effect transistors formed by aspect ratio trapping
WO2008039534A2 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Quantum tunneling devices and circuits with lattice- mismatched semiconductor structures
US20080187018A1 (en) 2006-10-19 2008-08-07 Amberwave Systems Corporation Distributed feedback lasers formed via aspect ratio trapping
FR2914783A1 (fr) 2007-04-03 2008-10-10 St Microelectronics Sa Procede de fabrication d'un dispositif a gradient de concentration et dispositif correspondant.
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US9508890B2 (en) 2007-04-09 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photovoltaics on silicon
US7897480B2 (en) * 2007-04-23 2011-03-01 International Business Machines Corporation Preparation of high quality strained-semiconductor directly-on-insulator substrates
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US8344242B2 (en) 2007-09-07 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-junction solar cells
KR20090038653A (ko) * 2007-10-16 2009-04-21 삼성전자주식회사 Cmos 소자 및 그 제조방법
US7948008B2 (en) * 2007-10-26 2011-05-24 Micron Technology, Inc. Floating body field-effect transistors, and methods of forming floating body field-effect transistors
KR101505494B1 (ko) * 2008-04-30 2015-03-24 한양대학교 산학협력단 무 커패시터 메모리 소자
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
WO2010033813A2 (en) 2008-09-19 2010-03-25 Amberwave System Corporation Formation of devices by epitaxial layer overgrowth
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8247285B2 (en) * 2008-12-22 2012-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. N-FET with a highly doped source/drain and strain booster
US20100181626A1 (en) * 2009-01-21 2010-07-22 Jing-Cheng Lin Methods for Forming NMOS and PMOS Devices on Germanium-Based Substrates
SG171987A1 (en) 2009-04-02 2011-07-28 Taiwan Semiconductor Mfg Devices formed from a non-polar plane of a crystalline material and method of making the same
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
DE102009055368A1 (de) * 2009-12-29 2012-03-29 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Siliziumbasiertes Halbleiterbauelement mit E-Sicherungen, die durch eine eingebettete Halbleiterlegierung hergestellt sind
US8242510B2 (en) * 2010-01-28 2012-08-14 Intersil Americas Inc. Monolithic integration of gallium nitride and silicon devices and circuits, structure and method
CN101819996B (zh) * 2010-04-16 2011-10-26 清华大学 半导体结构
CN101859771B (zh) * 2010-05-07 2012-03-28 清华大学 一种具有应变沟道的cmos器件结构及其形成方法
DE102010030765B4 (de) 2010-06-30 2018-12-27 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement mit Metallgateelektrodenstrukturen mit großem ε und Präzisions-eSicherungen, die in dem aktiven Halbleitermaterial hergestellt sind, und Herstellungsverfahren
US8486776B2 (en) 2010-09-21 2013-07-16 International Business Machines Corporation Strained devices, methods of manufacture and design structures
US8021950B1 (en) 2010-10-26 2011-09-20 International Business Machines Corporation Semiconductor wafer processing method that allows device regions to be selectively annealed following back end of the line (BEOL) metal wiring layer formation
US8629426B2 (en) * 2010-12-03 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stressor having enhanced carrier mobility manufacturing same
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9761666B2 (en) 2011-06-16 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel field effect transistor
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8785291B2 (en) * 2011-10-20 2014-07-22 International Business Machines Corporation Post-gate shallow trench isolation structure formation
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8610172B2 (en) 2011-12-15 2013-12-17 International Business Machines Corporation FETs with hybrid channel materials
CN102810568B (zh) * 2012-07-16 2014-12-31 西安电子科技大学 一种应变Si垂直沟道PMOS集成器件及制备方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP2014187259A (ja) 2013-03-25 2014-10-02 Toshiba Corp 半導体装置の製造方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9466670B2 (en) * 2014-03-12 2016-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Sandwich epi channel for device enhancement
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102083632B1 (ko) * 2014-04-25 2020-03-03 삼성전자주식회사 반도체 장치 및 그 제조 방법
EP2978016B1 (en) 2014-07-25 2018-06-13 IMEC vzw A method for providing an nMOS device and a pMOS device on a silicon substrate and silicon substrate comprising an nMOS device and a pMOS device
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
CN105336793B (zh) * 2014-07-29 2018-09-07 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10374042B2 (en) 2015-08-31 2019-08-06 International Business Machines Corporation Semiconductor device including epitaxially formed buried channel region
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10529738B2 (en) * 2016-04-28 2020-01-07 Globalfoundries Singapore Pte. Ltd. Integrated circuits with selectively strained device regions and methods for fabricating same
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9735062B1 (en) 2016-06-03 2017-08-15 International Business Machines Corporation Defect reduction in channel silicon germanium on patterned silicon
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
KR102629466B1 (ko) * 2016-09-21 2024-01-26 에스케이하이닉스 주식회사 반도체 장치의 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10522418B2 (en) * 2017-10-30 2019-12-31 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method for manufacturing the same
US10923344B2 (en) * 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US20190312109A1 (en) * 2018-04-05 2019-10-10 Globalfoundries Inc. Field-effect transistors with a composite channel
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02196436A (ja) * 1989-01-25 1990-08-03 Hitachi Ltd 半導体装置
WO2003015160A2 (en) * 2001-08-09 2003-02-20 Amberwave Systems Corporation Dual layer cmos devices

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5534713A (en) 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US5847419A (en) 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US5906951A (en) 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
JP2000243854A (ja) 1999-02-22 2000-09-08 Toshiba Corp 半導体装置及びその製造方法
US6350993B1 (en) 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
US6235567B1 (en) 1999-08-31 2001-05-22 International Business Machines Corporation Silicon-germanium bicmos on soi
US6339232B1 (en) * 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
US6633066B1 (en) 2000-01-07 2003-10-14 Samsung Electronics Co., Ltd. CMOS integrated circuit devices and substrates having unstrained silicon active layers
US7301180B2 (en) * 2001-06-18 2007-11-27 Massachusetts Institute Of Technology Structure and method for a high-speed semiconductor device having a Ge channel layer
WO2003001671A2 (en) 2001-06-21 2003-01-03 Amberwave Systems Corporation Improved enhancement of p-type metal-oxide-semiconductor field-effect transistors
EP1415331A2 (en) 2001-08-06 2004-05-06 Massachusetts Institute Of Technology Formation of planar strained layers
AU2002331077A1 (en) 2001-08-13 2003-03-03 Amberwave Systems Corporation Dram trench capacitor and method of making the same
US6515335B1 (en) * 2002-01-04 2003-02-04 International Business Machines Corporation Method for fabrication of relaxed SiGe buffer layers on silicon-on-insulators and structures containing the same
US6649492B2 (en) 2002-02-11 2003-11-18 International Business Machines Corporation Strained Si based layer made by UHV-CVD, and devices therein
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6963078B2 (en) 2003-03-15 2005-11-08 International Business Machines Corporation Dual strain-state SiGe layers for microelectronics
US6906360B2 (en) * 2003-09-10 2005-06-14 International Business Machines Corporation Structure and method of making strained channel CMOS transistors having lattice-mismatched epitaxial extension and source and drain regions

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02196436A (ja) * 1989-01-25 1990-08-03 Hitachi Ltd 半導体装置
WO2003015160A2 (en) * 2001-08-09 2003-02-20 Amberwave Systems Corporation Dual layer cmos devices

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010505274A (ja) * 2006-09-29 2010-02-18 東京エレクトロン株式会社 歪みゲルマニウム含有層を有するデバイスのためのuv支援による誘電層形成
JP2016028447A (ja) * 2009-12-23 2016-02-25 インテル コーポレイション 非平面ゲルマニウム量子井戸デバイス
US10236369B2 (en) 2009-12-23 2019-03-19 Intel Corporation Techniques for forming non-planar germanium quantum well devices

Also Published As

Publication number Publication date
US20070218621A1 (en) 2007-09-20
TW200605269A (en) 2006-02-01
WO2006007068A3 (en) 2006-09-28
CN1954439A (zh) 2007-04-25
US20050285097A1 (en) 2005-12-29
US7244958B2 (en) 2007-07-17
US20080248616A1 (en) 2008-10-09
US7790538B2 (en) 2010-09-07
WO2006007068A2 (en) 2006-01-19
US7387925B2 (en) 2008-06-17
CN100481490C (zh) 2009-04-22

Similar Documents

Publication Publication Date Title
JP2008504678A (ja) 圧縮歪Ge層内にPMOSデバイスを作製する構造および方法(先端CMOS技術への歪Geの統合)
US7566606B2 (en) Methods of fabricating semiconductor devices having strained dual channel layers
US7494884B2 (en) SiGe selective growth without a hard mask
JP4678877B2 (ja) Si:C−OIおよびSGOI上のシリコン・デバイスならびに製造方法
US7408227B2 (en) Apparatus and method of manufacture for integrated circuit and CMOS device including epitaxially grown dielectric on silicon carbide
US7101742B2 (en) Strained channel complementary field-effect transistors and methods of manufacture
US6882025B2 (en) Strained-channel transistor and methods of manufacture
US7560328B2 (en) Strained Si on multiple materials for bulk or SOI substrates
US7498229B1 (en) Transistor and in-situ fabrication process
US20070132032A1 (en) Selective stress relaxation of contact etch stop layer through layout design
GB2469240A (en) Fabrication of a stressed MOS device
US7018882B2 (en) Method to form local “silicon-on-nothing” or “silicon-on-insulator” wafers with tensile-strained silicon
JP2013506289A (ja) 酸素拡散バリア層を有する半導体デバイスおよびそれを製造するための方法
JP2002270826A (ja) 半導体装置
US8741721B2 (en) Semiconductor device and manufacturing method thereof
Olsen et al. Study of single-and dual-channel designs for high-performance strained-Si-SiGe n-MOSFETs
JPH11163343A (ja) 半導体装置およびその製造方法
Reiche et al. Strained silicon-on-insulator-fabrication and characterization
Jung et al. Tradeoff between mobility and subthreshold characteristics in dual-channel heterostructure n-and p-MOSFETs
WO2003015160A2 (en) Dual layer cmos devices
Zang et al. Tensile-strained germanium CMOS integration on silicon
JP2011009580A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080331

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080331

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111124

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111129

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120424