CN1954439A - 在先进CMOS技术中应变Ge的集成 - Google Patents

在先进CMOS技术中应变Ge的集成 Download PDF

Info

Publication number
CN1954439A
CN1954439A CNA2005800155904A CN200580015590A CN1954439A CN 1954439 A CN1954439 A CN 1954439A CN A2005800155904 A CNA2005800155904 A CN A2005800155904A CN 200580015590 A CN200580015590 A CN 200580015590A CN 1954439 A CN1954439 A CN 1954439A
Authority
CN
China
Prior art keywords
layer
sige
compression strain
inculating crystal
laminated construction
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005800155904A
Other languages
English (en)
Other versions
CN100481490C (zh
Inventor
尚慧玲
M·艾昂
J·O·舒
K·W·古亚里尼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1954439A publication Critical patent/CN1954439A/zh
Application granted granted Critical
Publication of CN100481490C publication Critical patent/CN100481490C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7841Field effect transistors with field effect produced by an insulated gate with floating body, e.g. programmable transistors

Abstract

本发明公开了一种在压缩应变Ge层(100)中制造PFET器件的结构和方法。该器件的制造方法与标准CMOS技术兼容,并且完全可升级。该方法包括选择性外延沉积Ge含量大于50%的缓冲层(101)、纯Ge层(100)和SiGe顶层(120)。制造的在压缩应变Ge层中寄宿的掩埋沟道PMOS器件相对于类似的Si器件显示出较好的器件特性。

Description

在先进CMOS技术中应变Ge的集成
技术领域
本发明涉及半导体技术,更具体地说,涉及在应变Ge中寄宿的PMOS器件。该器件以这种方式制造,其能够有效缩小并且集成到基于CMOS技术的高性能硅中。
背景技术
今天的集成电路包括大量的器件。较小的器件是增强性能和提高可靠性的关键。随着MOSFET(金属氧化物半导体场效应晶体管,名称的历史内涵通常意指隔离栅极场效应晶体管)器件正在缩小尺寸,技术变得越来越复杂,并且需要新的方法以保持器件从一代到下一代的期望性能增强。
潜在器件性能最重要的指示之一是载流子迁移率。在深亚微米代保持器件中的高载流子迁移率具有很大的困难。为了较好的载流子迁移率,一种有希望的途径是改进作为器件制造原材料的半导体。公知并近期还在研究的是,拉伸或压缩应变半导体具有引起兴趣的载流子特性。特别是,在应变硅(Si)沟道NMOS中获得电子迁移率90~95%的改进,详细描述见J.O.Chu的美国专利No.6,649,492 B2,题为“Strained Si Based LayerMade By UHV-CVD,and Device Therein”,这里通过参考引入其内容。类似的对于空穴增强,压缩应变掩埋锗(Ge)MODFET已经产生高空穴迁移率,详细描述见S.J.Koester等人的“Extremely high transconductanceGe/Si0.4Ge0.6 p-MODFET’s grown by UHV-CVD”,IEEE Elect.Dev.Lett.21,110(2000)。在相同晶片中结合拉伸和压缩应变SiGe区域,详细描述见J.O.Chu的PCT专利申请“Dual Strain-State SiGe Layer forMicroelectronics”,No.PCT/US2004/005481,于09/30/2004公开为WO2004084264。
由于增强的空穴迁移率,针对高性能CMOS逻辑电路,在基于Ge的MOSFET器件中具有更新技术的注意。特别是,采用氮氧化物(GeON)作为栅极绝缘体的表面沟道Ge MOSFET器件,已经被H.Shang等人论证,IEDM,p.441,2002。或者,采用高-K作为栅极绝缘体的Ge PMOS在以下文献中详细描述:C.Chui等人,IEDM,p.437,2002,C.H.Huang等人,VLSI symp.p.119,2003,还有A.Ritenour等人,IEDM,p.433,2003,以上三篇文献在这里通过参考引入其内容。
掩埋沟道应变Ge PMOS也已经报道具有空穴迁移率增强,详细描述见以下文献,M.Lee等人,IEDM,p.429 2003和H.Shang等人,VLSI symp.2004,两篇文献在这里通过参考引入其内容。虽然如此,报道的Ge器件使用简单器件结构,例如环型栅极结构布图来简化集成,并且通常具有相对大的尺寸。这样的特征不适合集成在先进高性能CMOS技术中。
为了在PMOS器件中结合应变Ge结构来增强空穴迁移率,与标准CMOS技术兼容的工艺不可获得。
发明内容
本发明描述了一种先进CMOS技术的集成方案,其结合了高迁移率应变Ge掩埋沟道结构,导致PMOS器件改进。该方案随着尺寸减小易于升级。
公开了一种叠层结构,包括SiGe籽晶层,其中SiGe籽晶层是单晶,并且Ge浓度大约在50%到90%之间,以及压缩应变Ge层,覆盖SiGe籽晶层,其中压缩应变Ge层是单晶,并且与SiGe籽晶层有外延关系。
还公开了一种CMOS电路,包括寄宿在压缩应变Ge层中的PMOS器件,其中压缩应变Ge层以外延关系覆盖SiGe籽晶层,其中SiGe籽晶层是单晶,并且Ge浓度大约在50%到90%之间。
还公开了一种制造PMOS器件的方法,包括以下步骤:在Ge浓度大约高达50%的驰豫SiGe层上外延沉积Ge浓度大约在50%到90%之间的单晶SiGe籽晶层;在SiGe籽晶层上外延沉积压缩应变Ge层;以及在压缩应变Ge层中寄宿所述PMOS器件。
还公开了一种制造CMOS电路的方法,包括以下步骤:提供SGOI晶片,具有Ge浓度大约高达50%的驰豫SiGe层;采用浅沟槽,或者其它,隔离技术在SGOI晶片上限定NMOS和PMOS区域;用介质材料覆盖所述NMOS区域;以选择性方式在驰豫SiGe层上外延沉积Ge浓度大约在50%到90%之间的单晶SiGe籽晶层;以选择性方式在SiGe籽晶层上外延沉积压缩应变Ge层;以及在压缩应变Ge层中寄宿PMOS器件。还公开了制造CMOS电路的方法还包括在压缩应变Ge层上选择性外延沉积SiGe顶层的步骤,其中SiGe顶层的Ge浓度选择为大约高达10%。
附图说明
通过下面的详细说明和附图本发明上述和其它特征将显而易见,其中:
图1示出了用于制造器件的叠层结构的示意截面图;
图2示出了隔离和NMOS和PMOS区域的示意顶视图;
图3示出了寄宿在压缩应变Ge层中的掩埋沟道PMOS器件的示意截面图;
图4示出了寄宿在压缩应变Ge层中的掩埋沟道PMOS器件的测量迁移率值的曲线图;
图5示出了寄宿在压缩应变Ge层中的掩埋沟道PMOS器件的测量跨导值的曲线图;以及
图6示出了寄宿在压缩应变Ge层中的掩埋沟道PMOS器件的测量导电性的曲线图。
具体实施方式
在先进CMOS技术中应变Ge的集成中,优选保持尽可能可行的主要Si CMOS的全部标准制造工艺。本发明在这种工艺中集成压缩应变Ge,在典型先进Si CMOS工艺的百余步骤上只改变/增加了很少步骤。在一个示例性实施例中,CMOS制造的全部工艺流程,包括应变Ge寄宿PMOS,将参照以下步骤:以绝缘体上硅(SOI)或绝缘体上硅锗(SGOI)晶片开始;通过标准浅沟槽隔离(STI)工艺,如本领域的技术人员所公知;用掩模覆盖NMOS区域;仅在PMOS区域中打开Si或SiGe岛;在暴露的Si或SiGe表面顶部选择性生长Ge;在NFET区域上剥离掩模覆盖;继续标准CMOS制造,如本领域的技术人员所公知。本发明还教导了CMOS制造工艺构架以外新颖的步骤和结构。
图1示出了用于制造器件的叠层结构的示意截面图。图2示出了隔离和NMOS和PMOS区域的示意顶视图。在代表性实施例中,开始点是SOI或SGOI晶片。衬底151,通常是Si,具有所谓的掩埋氧化物(BOX)150在其上覆盖,如本领域的技术人员所公知。在BOX顶部,具有驰豫单晶SiGe层130,典型Ge浓度大约高达50%,具有基本上是纯硅的可能性。开始的时候,驰豫SiGe是在BOX150顶部的覆盖层,但是图1示出了已经施加隔离介质140并且SiGe层130成为片断的工艺状态。在示例性实施例中的隔离是所谓的浅沟槽隔离(STI),但是也可以是其它不同种类,如本领域的技术人员所公知。隔离140从用于NMOS器件220的区域分开或限定了用于PMOS器件210的区域。在本发明中引入的应变Ge层优选用于PMOS区域210中的PMOS器件。NMOS区域可能以本领域的技术人员所公知的方式处理,在驰豫SiGe130上具有材料层190。仅象征性示出在NMOS区域中的层或叠层190,因为层190在工艺的此状态中可能甚至不存在,或者可能从未使用。本发明采用在NFET区域和器件的工艺中本领域的技术人员所公知的的方法。在此状态中,如图所示,NMOS区域被掩模160覆盖。掩模160优选是介质,例如SiO2,或者氮化物,或者如本领域的技术人员所公知的其它物质。
引入多层用于Ge空穴传导型器件,例如PMOS,或者P-MODFET,开始于在驰豫SiGe层130上外延沉积Ge浓度大约在50%到90%之间的单晶SiGe籽晶层101。SiGe籽晶层101的外延生长优选以选择性方式进行,并且Ge浓度大约在70%左右。沉积选择性是相对于介质材料,例如STI介质140,或者NMOS掩模160。在SiGe籽晶层101中的Ge浓度没有必要均匀,可以依据特定实施例需要具有不同Ge浓度梯度。非均匀浓度通常用于提高材料质量。SiGe籽晶层101的优选厚度范围大约在0.3nm到3nm之间。SiGe籽晶层101的一些优先选择是提高SGOI晶片的驰豫SiGe 130的表面质量。SiGe籽晶层的相对高Ge浓度对于压缩应变器件质量Ge层100的引入是有利方面。
压缩应变单晶Ge层100在SiGe籽晶层101上外延沉积。压缩应变Ge层100的外延生长优选以选择性方式进行。沉积选择性是相对于介质材料,例如STI介质140,或者NMOS掩模160。压缩应变Ge层100的优选厚度范围大约在5nm到20nm之间。Ge层100是压缩应变的,因为Ge的驰豫晶格常数比SiGe的大,并且通过多层的外延关系促使Ge层100的晶格与下面的层一致,所有这些层的驰豫晶格常数都比Ge的小。压缩应变Ge层用来寄宿空穴传导型器件,例如PMOS。术语在特定材料或层中寄宿(host)器件,意味着器件的关键部分,即主要对载流子性质敏感的部分,举例来说,MOS器件的沟道,存在于特定材料或层中、由特定材料或层组成、或位于特定材料或层中。
如果需要表面沟道PMOS器件,材料沉积可以利用压缩应变Ge层100停止。为了具有掩埋沟道PMOS,也为了优选提高p-沟道的界面质量,外延沉积单晶SiGe顶层120,覆盖压缩应变Ge层100。SiGe顶层120的Ge浓度大约高达10%。在一个示例性实施例中,SiGe顶层基本上是纯硅,其中优选厚度大约在0.3nm到10nm之间。SiGe顶层120的外延生长优选以选择性方式进行。沉积选择性是相对于介质材料,例如STI介质140,或者NMOS掩模160。或者SiGe顶层120,或者压缩应变Ge层100是沉积的最后一层,这种叠层结构具有限定好的顶表面121。优选简单的是表面121与隔离介质的顶表面141共面的工艺。然而,缺乏这样的共面性并不是限制因素。
在PMOS区域的选择区域中局部形成或生长掩埋Ge沟道异质结构必需采用选择性CVD生长工艺,其中器件层的生长对于已知介质材料SiO2、Si3N4、SiON等具有选择性。典型的或可用的针对Si、SiGe或Ge薄膜的选择性生长工艺可以在不同的生长技术中找到,例如RT-CVD、UHV-CVD、LP-CVD、AP-CVD等,如本领域的技术人员所公知。在超高真空化学气相沉淀(UHV-CVD)的优选选择性生长工艺中,针对SiGe籽晶层101和压缩应变Ge层的生长温度范围是250~350℃。
关于针对生长外延层的UHV-CVD技术的详细介绍,参照S.Akbar等人的美国专利No.5,259,918“Heteroepitaxial Growth of Germanium onSilicon by UHV/CVD”,公开日11/09/1993,转让给这里的受让人并且通过参考引入其内容。更多关于UHV-CVD生长技术的讨论见J.O.Chu等人的美国专利No.US6,350,993 B1“High Speed Composite p-Channel Si/SiGeHeterostructure for Field Effect Devices”,公开日02/26/2003,转让给这里的受让人并且通过参考引入其内容。SiGe籽晶层101、压缩应变Ge层100和SiGe顶层120的叠层结构的外延沉积以超高真空完整性进行:在外延沉积之前约10-9Torr的范围内。特别是,使用热墙等温CVD设备,其中在进行优选生长工艺的选择温度和压力情况下,在少于1秒的停留时间期间,基板上没有硅和/或锗前体例如硅烷(SiH4)或锗烷(GeH4)源气体的均匀气相热解发生。通常,将一批预构图的SGOI晶片加载到UHV-CVD反应室中,然后在300℃到480℃的范围内加热。典型生长压力在1~5毫托的范围。在代表性实施例中,在SGOI区域上生长SiGe籽晶层101,采用SiH4 25 sccm和GeH4 95 sccm的流量组合。为了生长压缩应变Ge层100,降低生长温度接近300℃,然后GeH4以50 sccm的流量开始。层100完成后,生长温度升到较高,并且SiH4以30 sccm的流量开始和GeH4以0到15 secm的流量开始,以在压缩应变Ge层100上形成薄SiGe顶层120。在代表性实施例中,薄SiGe顶层120基本上是纯硅。
典型地,但不是必要地,如本领域的技术人员所公知,选择性CVD生长技术优选另外采用基于氯的前体或气体源,例如HCl、Cl2、SiCl4、SiHCl3、SiH2Cl2,以通过除去在标准掩模材料上的任何薄膜生长促使选择性生长。
图3示出了寄宿在压缩应变Ge层100中的掩埋沟道PMOS器件的示意截面图。在形成图1的叠层结构后,器件制造进行如本领域的技术人员所公知的步骤。在一刻,形成源极/漏极结380。如图所示的源极/漏极380向下延伸接触到BOX层150。这里仅是图解说明,在代表性实施例中,源极/漏极380可以或者没有向下达到BOX层界面150,或者可能甚至发生穿透进入BOX层150。在工艺中的另一刻,采用栅极绝缘体310。优选的栅极绝缘体包括但不限于典型地通过等离子体低温工艺形成的沉积氧化物,并且所谓的高-K(高介质)材料,例如HfO2、HfSiO,还有如本领域的技术人员所公知的其它材料。同样,大量不同种类的材料可以用于栅极390,如本领域的技术人员所公知。在器件更进一步制造中,例如在栅极绝缘体310工艺期间,可能,或者可能没有,从Si/SiGe顶层120消耗。例如,附图示出了层120的微小消耗。空穴在压缩应变Ge层100的顶表面301上的沟道中传导,其是与Si或SiGe顶层120的界面。这里形成沟道,由于众所周知的在Ge和Si之间的带隙对准。
图4示出了寄宿在压缩应变Ge层中的掩埋沟道PMOS器件的测量迁移率值作为反型电荷的函数的曲线图。在公开的器件中,最大空穴迁移率是Si通常空穴迁移率的六倍,在图中示出以作比较。
图5示出了寄宿在压缩应变Ge层中的掩埋沟道PMOS器件的测量跨导值的曲线图。显示的特性是具有沉积氧化物栅极绝缘体的器件。如图所示,公开的器件的跨导比起Si器件增强了两倍。
图6示出了寄宿在压缩应变Ge层中的掩埋沟道PMOS器件的测量导电性的曲线图。示出了具有高-K、具体是HfO2的栅极绝缘体的掩埋沟道PMOS的亚阈值和传导特性,用于低和高漏极电压。示出具有相同HfO2作为栅极绝缘体的Si控制器件,以作比较。s-Ge(应变-Ge)器件示出驱动电流大约两倍的增强。
根据上述教导,本发明的许多改进和变化是可能的,并且对于本领域的技术人员来说显而易见。本发明的范围将通过附加的权利要求限定。
x-SEM图像示出了只在SGOI顶部上选择性生长Ge层,    TEM图像示出了只在SGOI顶部上选择性生长Ge层,
没有发现在STI区域顶部上的Ge生长。                没有发现在STI区域顶部上的Ge生长。
低温SPA氧化物                                    低温SPA氧化物
栅极偏压(V)                                      栅极偏压(V)
在Si衬底和掩埋应变Ge衬底上具有低Dit的在500℃     在Si衬底和掩埋应变Ge衬底上具有低Dit的在
形成的低温SPA氧化物的C-V特性。该技术对于应变     500℃形成的低温SPA氧化物的I-V特性。在两个
Ge掩埋沟道高性能MOSFET的说明是关键的。           衬底上获得了可比较的泄漏特性。
                                             A2
Figure A20058001559000141
栅极电压VGS(V)
在选择性形成的应变-Ge掩埋沟道衬底和具有STI
隔离的Si控制上的PMOSFET的亚阈值特性。获得了
>2X的驱动电流增强。较高截止电流大概与缺陷有关。
栅极偏压(V)
在应变Ge掩埋沟道PMOSFET上测量的线性驱动电流
和反型层电容,用于进行有效迁移率提取。
                                  DTSS测试图形,HfO2栅极氧化物
栅极电压VGG(V)
具有HfO2栅极介质的应变Ge掩埋沟道PMOSFET
的线性跨导特性。示出了具有相同HfO2的Si
控制器件用于比较。S-Ge示出了~2X的跨导增强。
A3

Claims (32)

1.一种叠层结构,包括:
SiGe籽晶层(101),其中所述SiGe籽晶层是单晶,并且Ge浓度大约在50%到90%之间;以及
压缩应变Ge层(100),覆盖所述SiGe籽晶层(101),其中所述压缩应变Ge层是单晶,并且与所述SiGe籽晶层有外延关系。
2.根据权利要求1的叠层结构,其中所述压缩应变Ge层(100)的厚度大约在5nm到20nm之间。
3.根据权利要求1的叠层结构,其中所述SiGe籽晶层(101)的厚度大约在0.3nm到3nm之间。
4.根据权利要求3的叠层结构,其中在所述SiGe籽晶层(101)中的所述Ge有浓度梯度。
5.根据权利要求1的叠层结构,还包括在所述SiGe籽晶层(101)下面的驰豫SiGe层(130),其中所述驰豫SiGe层是单晶,并且与所述SiGe籽晶层有外延关系,其中所述驰豫SiGe层的Ge浓度大约高达50%。
6.根据权利要求1的叠层结构,还包括覆盖所述压缩应变Ge层(100)的SiGe顶层(120),其中所述SiGe顶层是单晶,并且与所述压缩应变Ge层有外延关系,其中所述SiGe顶层的Ge浓度大约高达10%。
7.根据权利要求6的叠层结构,其中所述SiGe顶层(120)基本上是纯硅,并且厚度大约在0.3nm到10nm之间。
8.根据权利要求1的叠层结构,其中所述叠层结构被介质(140)包围,其中所述介质为所述叠层结构提供隔离。
9.根据权利要求1的叠层结构,其中所述叠层结构和所述隔离介质(140)具有共面顶表面(121,141)。
10.根据权利要求1的叠层结构,还包括寄宿在所述压缩应变Ge层(100)中的空穴传导型器件。
11.根据权利要求10的叠层结构,其中所述空穴传导型器件是PMOS(210)器件。
12.根据权利要求11的叠层结构,其中所述PMOS(210)器件是掩埋沟道PMOS器件。
13.根据权利要求10的叠层结构,其中所述PMOS器件的栅极绝缘体(310)包括高K材料。
14.根据权利要求10的叠层结构,其中所述PMOS器件的栅极绝缘体(310)包括沉积氧化物。
15.一种CMOS电路,包括:
寄宿在压缩应变Ge层(100)中的PMOS(210)器件,其中所述压缩应变Ge层以外延关系覆盖SiGe籽晶层(101),其中所述SiGe籽晶层是单晶,并且Ge浓度大约在50%到90%之间。
16.根据权利要求15的CMOS电路,其中所述压缩应变Ge层(100)被SiGe顶层(120)覆盖,其中所述SiGe顶层是单晶,并且与所述压缩应变Ge层有外延关系,其中所述SiGe顶层的Ge浓度大约高达10%,并且其中在所述SiGe籽晶层(101)下面具有驰豫SiGe层(130),其中所述驰豫SiGe层是单晶,并且与所述SiGe籽晶层有外延关系,并且其中所述驰豫SiGe层的Ge浓度大约高达50%。
17.根据权利要求16的CMOS电路,其中所述SiGe顶层(120)基本上是纯硅,并且厚度大约在0.3nm到10nm之间。
18.根据权利要求16的CMOS电路,其中所述PMOS器件是掩埋沟道PMOS器件。
19.根据权利要求18的CMOS电路,其中所述PMOS器件具有栅极绝缘体(310),并且所述栅极绝缘体包括高K材料。
20.根据权利要求18的CMOS电路,其中所述PMOS器件具有栅极绝缘体(310),并且所述栅极绝缘体包括沉积氧化物。
21.一种制造PMOS器件的方法,包括以下步骤:
在Ge浓度大约高达50%的驰豫SiGe层(130)上外延沉积Ge浓度大约在50%到90%之间的单晶SiGe籽晶层(101);
在所述SiGe籽晶层上外延沉积压缩应变Ge层(100);以及
在所述压缩应变Ge层(100)中寄宿所述PMOS器件。
22.根据权利要求21的方法,其中所述SiGe籽晶层(101)的厚度选择为大约在0.3nm到3nm之间,并且所述压缩应变Ge层(100)的厚度选择为大约在5nm到20nm之间。
23.根据权利要求21的方法,还包括在所述压缩应变Ge层(100)上外延沉积SiGe顶层(120)的步骤,其中所述SiGe顶层的Ge浓度选择为大约高达10%。
24.根据权利要求23的方法,其中所述SiGe顶层(120)选择为基本上是纯硅,并且厚度大约在0.3nm到10nm之间。
25.根据权利要求21的方法,其中以对介质材料具有选择性的方式实施外延沉积所述SiGe籽晶层(101)和所述压缩应变Ge层(100)的步骤。
26.根据权利要求23的方法,其中以对介质材料具有选择性的方式实施外延沉积所述SiGe顶层(120)的步骤。
27.根据权利要求21的方法,还包括在所述PMOS器件的栅极绝缘体(310)中采用高K材料的步骤。
28.根据权利要求21的方法,还包括在所述PMOS器件的栅极绝缘体(310)中采用沉积氧化物的步骤。
29.一种制造CMOS电路的方法,包括以下步骤:
提供SGOI晶片(151,150,130),具有Ge浓度大约高达50%的驰豫SiGe层(130);
在所述SGOI晶片上限定NMOS(220)和PMOS(210)区域;
用介质材料(160)覆盖所述NMOS区域;
以选择性方式在所述驰豫SiGe层上外延沉积Ge浓度大约在50%到90%之间的单晶SiGe籽晶层(101);
以选择性方式在所述SiGe籽晶层(101)上外延沉积压缩应变Ge层(100);以及
在所述压缩应变Ge层(100)中寄宿所述PMOS器件。
30.根据权利要求29的方法,还包括以选择性方式在所述压缩应变Ge层(100)上外延沉积SiGe顶层(120)的步骤,其中所述SiGe顶层的Ge浓度选择为大约高达10%。
31.根据权利要求30的方法,其中所述SiGe顶层(120)选择为基本上是纯硅,并且厚度大约在0.3nm到10nm之间。
32.根据权利要求29的方法,还包括剥离覆盖所述NMOS区域的所述介质材料(160),并且在所述NMOS区域中制造NMOS器件的步骤。
CNB2005800155904A 2004-06-24 2005-05-10 在先进CMOS技术中应变Ge的集成 Expired - Fee Related CN100481490C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/876,155 2004-06-24
US10/876,155 US7244958B2 (en) 2004-06-24 2004-06-24 Integration of strained Ge into advanced CMOS technology

Publications (2)

Publication Number Publication Date
CN1954439A true CN1954439A (zh) 2007-04-25
CN100481490C CN100481490C (zh) 2009-04-22

Family

ID=35504643

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005800155904A Expired - Fee Related CN100481490C (zh) 2004-06-24 2005-05-10 在先进CMOS技术中应变Ge的集成

Country Status (5)

Country Link
US (3) US7244958B2 (zh)
JP (1) JP2008504678A (zh)
CN (1) CN100481490C (zh)
TW (1) TW200605269A (zh)
WO (1) WO2006007068A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102810568A (zh) * 2012-07-16 2012-12-05 西安电子科技大学 一种应变Si垂直沟道PMOS集成器件及制备方法
CN105336793A (zh) * 2014-07-29 2016-02-17 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法

Families Citing this family (337)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101131418B1 (ko) * 2004-12-07 2012-04-03 주성엔지니어링(주) 반도체 소자 및 이의 제조 방법
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US7656049B2 (en) 2005-12-22 2010-02-02 Micron Technology, Inc. CMOS device with asymmetric gate strain
US7560318B2 (en) * 2006-03-13 2009-07-14 Freescale Semiconductor, Inc. Process for forming an electronic device including semiconductor layers having different stresses
US7777250B2 (en) 2006-03-24 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
EP2062290B1 (en) 2006-09-07 2019-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction using aspect ratio trapping
WO2008039495A1 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Tri-gate field-effect transistors formed by aspect ratio trapping
US7875958B2 (en) 2006-09-27 2011-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
US8168548B2 (en) * 2006-09-29 2012-05-01 Tokyo Electron Limited UV-assisted dielectric formation for devices with strained germanium-containing layers
US8502263B2 (en) 2006-10-19 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Light-emitter-based devices with lattice-mismatched semiconductor structures
FR2914783A1 (fr) * 2007-04-03 2008-10-10 St Microelectronics Sa Procede de fabrication d'un dispositif a gradient de concentration et dispositif correspondant.
WO2008124154A2 (en) 2007-04-09 2008-10-16 Amberwave Systems Corporation Photovoltaics on silicon
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US7897480B2 (en) * 2007-04-23 2011-03-01 International Business Machines Corporation Preparation of high quality strained-semiconductor directly-on-insulator substrates
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US8344242B2 (en) 2007-09-07 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-junction solar cells
KR20090038653A (ko) * 2007-10-16 2009-04-21 삼성전자주식회사 Cmos 소자 및 그 제조방법
US7948008B2 (en) * 2007-10-26 2011-05-24 Micron Technology, Inc. Floating body field-effect transistors, and methods of forming floating body field-effect transistors
KR101505494B1 (ko) * 2008-04-30 2015-03-24 한양대학교 산학협력단 무 커패시터 메모리 소자
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
WO2010033813A2 (en) 2008-09-19 2010-03-25 Amberwave System Corporation Formation of devices by epitaxial layer overgrowth
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8247285B2 (en) * 2008-12-22 2012-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. N-FET with a highly doped source/drain and strain booster
US20100181626A1 (en) * 2009-01-21 2010-07-22 Jing-Cheng Lin Methods for Forming NMOS and PMOS Devices on Germanium-Based Substrates
SG171987A1 (en) 2009-04-02 2011-07-28 Taiwan Semiconductor Mfg Devices formed from a non-polar plane of a crystalline material and method of making the same
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8283653B2 (en) * 2009-12-23 2012-10-09 Intel Corporation Non-planar germanium quantum well devices
DE102009055368A1 (de) * 2009-12-29 2012-03-29 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Siliziumbasiertes Halbleiterbauelement mit E-Sicherungen, die durch eine eingebettete Halbleiterlegierung hergestellt sind
US8242510B2 (en) * 2010-01-28 2012-08-14 Intersil Americas Inc. Monolithic integration of gallium nitride and silicon devices and circuits, structure and method
CN101819996B (zh) * 2010-04-16 2011-10-26 清华大学 半导体结构
CN101859771B (zh) * 2010-05-07 2012-03-28 清华大学 一种具有应变沟道的cmos器件结构及其形成方法
DE102010030765B4 (de) 2010-06-30 2018-12-27 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement mit Metallgateelektrodenstrukturen mit großem ε und Präzisions-eSicherungen, die in dem aktiven Halbleitermaterial hergestellt sind, und Herstellungsverfahren
US8486776B2 (en) 2010-09-21 2013-07-16 International Business Machines Corporation Strained devices, methods of manufacture and design structures
US8021950B1 (en) 2010-10-26 2011-09-20 International Business Machines Corporation Semiconductor wafer processing method that allows device regions to be selectively annealed following back end of the line (BEOL) metal wiring layer formation
US8629426B2 (en) * 2010-12-03 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stressor having enhanced carrier mobility manufacturing same
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9761666B2 (en) 2011-06-16 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel field effect transistor
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8785291B2 (en) 2011-10-20 2014-07-22 International Business Machines Corporation Post-gate shallow trench isolation structure formation
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8610172B2 (en) 2011-12-15 2013-12-17 International Business Machines Corporation FETs with hybrid channel materials
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP2014187259A (ja) 2013-03-25 2014-10-02 Toshiba Corp 半導体装置の製造方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9466670B2 (en) * 2014-03-12 2016-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Sandwich epi channel for device enhancement
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102083632B1 (ko) * 2014-04-25 2020-03-03 삼성전자주식회사 반도체 장치 및 그 제조 방법
EP2978016B1 (en) 2014-07-25 2018-06-13 IMEC vzw A method for providing an nMOS device and a pMOS device on a silicon substrate and silicon substrate comprising an nMOS device and a pMOS device
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10374042B2 (en) 2015-08-31 2019-08-06 International Business Machines Corporation Semiconductor device including epitaxially formed buried channel region
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10529738B2 (en) * 2016-04-28 2020-01-07 Globalfoundries Singapore Pte. Ltd. Integrated circuits with selectively strained device regions and methods for fabricating same
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9735062B1 (en) 2016-06-03 2017-08-15 International Business Machines Corporation Defect reduction in channel silicon germanium on patterned silicon
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
KR102629466B1 (ko) * 2016-09-21 2024-01-26 에스케이하이닉스 주식회사 반도체 장치의 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) * 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10522418B2 (en) * 2017-10-30 2019-12-31 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method for manufacturing the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US20190312109A1 (en) * 2018-04-05 2019-10-10 Globalfoundries Inc. Field-effect transistors with a composite channel
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02196436A (ja) * 1989-01-25 1990-08-03 Hitachi Ltd 半導体装置
US5534713A (en) * 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US5847419A (en) * 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US5906951A (en) * 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
JP2000243854A (ja) * 1999-02-22 2000-09-08 Toshiba Corp 半導体装置及びその製造方法
US6350993B1 (en) * 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
US6235567B1 (en) * 1999-08-31 2001-05-22 International Business Machines Corporation Silicon-germanium bicmos on soi
US6339232B1 (en) * 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
US6633066B1 (en) * 2000-01-07 2003-10-14 Samsung Electronics Co., Ltd. CMOS integrated circuit devices and substrates having unstrained silicon active layers
US7301180B2 (en) * 2001-06-18 2007-11-27 Massachusetts Institute Of Technology Structure and method for a high-speed semiconductor device having a Ge channel layer
US6916727B2 (en) 2001-06-21 2005-07-12 Massachusetts Institute Of Technology Enhancement of P-type metal-oxide-semiconductor field effect transistors
WO2003015142A2 (en) * 2001-08-06 2003-02-20 Massachusetts Institute Of Technology Formation of planar strained layers
ATE449420T1 (de) * 2001-08-09 2009-12-15 Amberwave Systems Corp Cmos bauelemente mit doppelter schicht
WO2003017336A2 (en) 2001-08-13 2003-02-27 Amberwave Systems Corporation Dram trench capacitor and method of making the same
US6515335B1 (en) * 2002-01-04 2003-02-04 International Business Machines Corporation Method for fabrication of relaxed SiGe buffer layers on silicon-on-insulators and structures containing the same
US6649492B2 (en) * 2002-02-11 2003-11-18 International Business Machines Corporation Strained Si based layer made by UHV-CVD, and devices therein
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US6963078B2 (en) 2003-03-15 2005-11-08 International Business Machines Corporation Dual strain-state SiGe layers for microelectronics
US6906360B2 (en) * 2003-09-10 2005-06-14 International Business Machines Corporation Structure and method of making strained channel CMOS transistors having lattice-mismatched epitaxial extension and source and drain regions

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102810568A (zh) * 2012-07-16 2012-12-05 西安电子科技大学 一种应变Si垂直沟道PMOS集成器件及制备方法
CN102810568B (zh) * 2012-07-16 2014-12-31 西安电子科技大学 一种应变Si垂直沟道PMOS集成器件及制备方法
CN105336793A (zh) * 2014-07-29 2016-02-17 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN105336793B (zh) * 2014-07-29 2018-09-07 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法

Also Published As

Publication number Publication date
JP2008504678A (ja) 2008-02-14
US20070218621A1 (en) 2007-09-20
US7790538B2 (en) 2010-09-07
US20080248616A1 (en) 2008-10-09
WO2006007068A3 (en) 2006-09-28
TW200605269A (en) 2006-02-01
US7244958B2 (en) 2007-07-17
US20050285097A1 (en) 2005-12-29
CN100481490C (zh) 2009-04-22
WO2006007068A2 (en) 2006-01-19
US7387925B2 (en) 2008-06-17

Similar Documents

Publication Publication Date Title
CN100481490C (zh) 在先进CMOS技术中应变Ge的集成
US7029994B2 (en) Strained channel on insulator device
US7138310B2 (en) Semiconductor devices having strained dual channel layers
US6974735B2 (en) Dual layer Semiconductor Devices
US7098057B2 (en) Ultra high-speed Si/SiGe modulation-doped field effect transistors on ultra thin SOI/SGOI substrate
Maiti et al. Strained-Si heterostructure field effect transistors
JP4678877B2 (ja) Si:C−OIおよびSGOI上のシリコン・デバイスならびに製造方法
CN100397659C (zh) 具混成应变诱导层的应变晶体管及其形成方法
JP4912123B2 (ja) 電界効果トランジスタを形成するための層状構造
US7960798B2 (en) Structure and method to form multilayer embedded stressors
US6902965B2 (en) Strained silicon structure
US8643061B2 (en) Structure of high-K metal gate semiconductor transistor
US7175709B2 (en) Epitaxy layer and method of forming the same
US20060151787A1 (en) LOW CONCENTRATION SiGe BUFFER DURING STRAINED Si GROWTH OF SSGOI MATERIAL FOR DOPANT DIFFUSION CONTROL AND DEFECT REDUCTION
WO2006007394A2 (en) Strained tri-channel layer for semiconductor-based electronic devices
CN1989602A (zh) 用于在半导体器件中增强迁移率的方法和装置
JPH11163343A (ja) 半導体装置およびその製造方法
Collaert et al. High performance Si/SiGe pMOSFETs fabricated in a standard CMOS process technology
US20230163127A1 (en) Stacked nanosheet devices with matched threshold voltages for nfet/pfet
EP1415337B1 (en) Dual layer cmos devices
CN102446853A (zh) 应变半导体沟道形成方法和半导体器件
Verheyen et al. The Combination of Embedded Si1-xGex S/D and Metal Gate Options for High Performance pMOS Transistors
Patton Discontinuous Innovation: Strained Silicon Technology

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171102

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171102

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090422

Termination date: 20190510

CF01 Termination of patent right due to non-payment of annual fee