JP2003519443A - 高k誘電体層を形成するための化学気相堆積法及びキャパシタ形成方法 - Google Patents

高k誘電体層を形成するための化学気相堆積法及びキャパシタ形成方法

Info

Publication number
JP2003519443A
JP2003519443A JP2001550422A JP2001550422A JP2003519443A JP 2003519443 A JP2003519443 A JP 2003519443A JP 2001550422 A JP2001550422 A JP 2001550422A JP 2001550422 A JP2001550422 A JP 2001550422A JP 2003519443 A JP2003519443 A JP 2003519443A
Authority
JP
Japan
Prior art keywords
reactor
capacitor
chemical vapor
forming
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001550422A
Other languages
English (en)
Other versions
JP3564456B2 (ja
Inventor
セム バスセリ,
Original Assignee
マイクロン テクノロジー, インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロン テクノロジー, インク. filed Critical マイクロン テクノロジー, インク.
Publication of JP2003519443A publication Critical patent/JP2003519443A/ja
Application granted granted Critical
Publication of JP3564456B2 publication Critical patent/JP3564456B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/409Oxides of the type ABO3 with A representing alkali, alkaline earth metal or lead and B representing a refractory metal, nickel, scandium or a lanthanide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31691Inorganic layers composed of oxides or glassy oxides or oxide based glass with perovskite structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Materials Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Memories (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】 高k誘電体層を形成する化学気相堆積方法は、基板を化学気相堆積リアクタ内に配置する過程を有する。前駆体を有する少なくとも一つの金属とNOが、酸素と前記少なくとも一つの金属前駆体の金属とを有する高k誘電体層を前記基板上に堆積するの有効な条件の下で、前記リアクタ内に提供される。NOは、前記堆積期間の一部の期間、リアクタ内に導入されたO,O,NO,NOの何れかと比較して、体積濃度が少なくとも90%と同等又はそれよりも高い濃度で前記リアクタ内に存在する。一つの実施例では、前記堆積作用中の一部の期間、O,O,NO,NOの何れもリアクタ内に導入されない。一つの実施例では、上記過程によりキャパシタが形成される。好ましい実施例では、上記技術は、ヘイズや分離した島状の核部分の無い、平滑且つ連続性のある誘電体層を形成するのに利用することができる。

Description

【発明の詳細な説明】
【0001】
【技術分野】
本発明は、高k誘電体層を形成するための化学気相堆積法と、キャパシタを形
成する方法に関する。
【0002】
【背景技術】
DRAMのメモリセル密度が増加するにつれて、セル領域の減少にもかかわら
ず、十分高い蓄積容量を確保するための試みが絶えず行われている。それに加え
て、セル領域を更に減少するための努力もなされている。セル容量を増加させる
ための主たる方法は、セル構造技術によると言える。そのような技術には、例え
ばトレンチ状又はスタック状キャパシタである三次元構造のセルキャパシタが含
まれる。さらに形状サイズが小さくなればなるほど、セル誘電体のための改善さ
れた材料の開発とセル構造の開発が重要となる。256メガビット及びそれ以上
のDRAMの形状サイズは、およそ0.25μ又はそれ以下であり、SiO
Si等の従来の誘電体は、誘電率が小さ過ぎて不適切なものとなっている
【0003】 256メガビットDRAM等の高集積度メモリ装置は、円筒状スタック又はト
レンチ構造の三次元キャパシタのためには、非常に薄い誘電体膜が要求されるこ
とが予想される。この要求を満足させるためには、そのキャパシタ誘電体膜の厚
さは、SiOの等価厚さで2.5nm以下とならなければならない。
【0004】 絶縁性無機金属酸化物材料(例えば、強誘電体材料,ペロブスカイト材料、五
酸化物)は、高い誘電率を有していることにより、通常、“高k”材料と称され
、例えば高密度DRAM及び不揮発性メモリ等のキャパシタにおける誘電体材料
としてそれらを魅力的にする。本明細書において、“高k”とは、誘電率が少な
くとも20である材料を意味する。そのような材料には、例えば五酸化タンタル
,チタン酸バリウムストロンチウム,チタン酸ストロンチウム,チタン酸バリウ
ム,チタン酸鉛ジルコニウム,タンタル酸ストロンチウムビスマスが含まれる。
そのような材料の使用は、所定の蓄積電荷要求に対して、より小さく且つ簡単な
キャパシタ構造を形成することを可能とし、それにより、将来の回路設計を指向
した集積密度を可能とするものである。
【0005】
【発明の開示及び発明を実施するための最良の形態】
本発明は、高k誘電体層を形成する化学気相堆積方法、およびキャパシタを形
成する方法に関する。一つの実施態様では、高k誘電体層を形成する化学気相堆
積方法は、化学気相堆積リアクタ内に基板を配置する過程を含む。前駆体を有す
る少なくとも一つの金属とNOが、酸素と少なくとも一つの金属前駆体の金属
とを有する高k誘電体層を基板上へ堆積するのに有効な条件の下で、リアクタ内
に提供される。NOは、堆積作用中の少なくとも一部の期間、リアクタ内に導
入されたO,O,NO,NOの何れかと比較して、少なくとも体積濃度9
0%と同等又はそれよりも高い濃度で、リアクタ内に存在する。一つの実施例に
おいては、堆積作用中の一部の期間、リアクタ内にO,O,NO,NO
何れの導入も無い状態である。
【0006】 一つの実施例では、キャパシタを形成する方法は、基板の上に第1キャパシタ
電極層を形成する過程を含む。第1キャパシタ電極層を具えた基板は、化学気相
堆積リアクタ内に配置される。前駆体をなす少なくとも一つの金属とNOが、
酸素と少なくとも一つの金属前駆体の金属とを有する高k誘電体層を、第1キャ
パシタ電極上へ堆積するのに有効な条件の下で、リアクタ内に提供される。一部
の期間が終了した時点で、原子間力顕微鏡によるRMS平均粗さによって決まる
20オングストロームより大きくない粗さを有した、高k誘電体層の最外側表面
を形成するために、NOが、堆積作用中の少なくとも一部の期間、リアクタ内
に導入されたO,O,NO,NOの何れかと比較してその体積濃度が少な
くも90%と同等又はそれよりも高い濃度で、リアクタ内に存在する。第2キャ
パシタ誘電体層は、高kキャパシタ誘電体層の上に形成される。
【0007】 好適実施例では、ヘイズ又は分離した島状の核部分の無い、平滑な連続性のあ
る誘電体層を形成するための技術を用いることができる。
【0008】 本発明は、一対のキャパシタ電極の間に受け入れられるキャパシタ誘電体領域
の一部又は全てとしてチタン酸バリウムストロンチウム(BST)を堆積する際
に、薄い連続した膜を達成することに関連した問題に鑑みてなされたものである
。膜の不連続性は、膜厚が300オングストロームまで減少しそれ以下になった
ときに、ある種の有機金属化学気相堆積(MOCVD)プロセスにおいて生じた
。膜の不連続性は、不連続なキャパシタ誘電体層を通して致命的な電極間短絡が
起こり得るので、特にキャパシタにおいては、典型的には極めて好ましくないこ
とである。
【0009】 これらの不連続な膜が現れた既存の、必ずしも従来技術ではないプロセスは、
その中にバリウム、ストロンチウム、チタンを集合的に有した複数のMOCVD
前駆体を用いていた。基板上に所望のBST膜を堆積するために、キャリアガス
と一つ又はそれ以上の酸化剤が、リアクタ内にMOCVD前駆体と一緒に提供さ
れていた。用いられた酸化剤は、O100%か、OとNOの50−50%
混合物の何れかであった。問題となる層の不連続性は、多くの場合、堆積厚さが
300オングストローム又はそれ以下となったときに現れた。そのような不連続
性は、走査電子顕微鏡により、また、そのような膜における不連続性の指標であ
ることが分かった表面の粗さを測定することによって決められていた。
【0010】 堆積中の堆積温度がサセプタ上で600℃を超え始めると、不連続性の問題は
、300オングストローム又はそれ以下の堆積厚さのところで消失し始めた。し
かしながら、そのような高い温度は、堆積層の連続性は改善されるも、適応性に
おいて好ましくない状態になり易かった。さらに、そのような高い温度による堆
積は、堆積層においてヘイズの出現と粗さをもたらし始めた。不連続性又は高い
温度によるヘイズの何れかによる粗さは、原子間力顕微鏡によるRMS平均粗さ
によって決まるように、100オングストロームより大きく、ある場合には10
00オングストローム付近となる傾向があった。
【0011】 上述したことは、本発明が案出された背景を示すものである。しかしながら、
本発明は決して限定的なものではなく、添付の請求の範囲によってのみ制限され
且つ定義されるものであり、また均等の原則に従って適当に解釈されるべきもの
である。本発明のある局面としては、チタン酸塩、チタン酸バリウムストロンチ
ウム以外の、そしてキャパシタの形成以外の高k誘電体層を形成する化学気相堆
積法に適用することができる。さらに、本発明は、添付の特許請求の範囲によっ
て、または、添付の特許請求の範囲に文言上含まれていない場合には、均等の原
則により、上述した目的の幾つか又は全てが達成されるか否かにかかわらず、読
み取れ且つ支持されている。好適実施例の説明は、典型的なキャパシタの製造に
関して図1−図3を参照しながら進める。
【0012】 図1は、基板12を有するウェーハ片10を示す。基板12は、一つ又はそれ
以上の、絶縁性材料、半導体材料、導電性材料からなる。本明細書において、用
語“半導体基板”又は“半導電性基板”は、半導電性材料からなる如何なる構造
体をも意味するものであり、それには、勿論これらに限定されるものではないが
、半導電性ウェーハ(単体又はその上の他の材料を含む組合体の何れであっても
良い)などのバルク半導電性材料、及び半導電性材料層(単体又は他の材料との
組合体の何れであっても良い)を含むものである。用語“基板”は、上で説明し
た半導電性基板に限定される訳ではないが、これを含む如何なる支持構造体をも
意味するものである。第1導電性キャパシタ電極層14が、基板12上に形成さ
れる。導電性材料として適切なものは種々考えられ、例えば単なる例として、導
電性ドープトポリシリコン、白金、窒化チタン及び他の現存する又は将来開発さ
れる材料がある。層14の例示的な厚さの範囲は、50オングストロームから1
000オングストロームである。
【0013】 図2を参照すると、基板10は、化学気相堆積リアクタ(図示せず)内に配置
される。少なくとも一つの金属前駆体とNOが、基板10上に、酸素と前記少
なくとも一つの金属前駆体の金属からなる高k誘電体層16を効果的に堆積する
ような条件の下で、リアクタ内に提供される。NOは、リアクタ内に導入され
たO,O,NO,NOの何れかと比較して、少なくとも体積濃度90%と
同等又はそれよりも高い濃度で、堆積作用中の一部期間リアクタ内に存在する。
好ましくは、NOは、堆積作用中の一部期間において、それが、リアクタ内に
導入されたO,O,NO,NOの何れかと比較して、少なくとも体積濃度
95%と同等又はそれよりも高い濃度で、より好ましくは、少なくとも99%濃
度と同等又はそれよりも高い濃度で、リアクタ内に存在する。さらに好ましくは
、NOは、堆積作用中の一部期間において、それが、O,O,NO,NO の何れかと比較して、濃度100%のとき、換言すれば、堆積作用中の一部期
間の間、如何なるそのような材料がリアクタ内に全く無い状態で、リアクタ内に
存在する。
【0014】 好適実施例では、高k誘電体層はチタン酸塩からなり、BSTが好適なチタン
酸塩である。好ましい他の高k誘電体材料には以下のものが含まれる。 SrTiO3 − ST BaTiO3 − BT Pb(Zr,Ti)O3 − PZT (Pb,La)(Zr,Ti)O3 − PLZT SrBi2Ta2O9 − SBT SrBi2Nb2O9 − SBN SrBi2(Nb,Ta)2O9 − SBNT Ta2O5(ドープトTa2O5も同様、例えば、TiドープトTa2O5) ZrO2(ケイ酸ジルコニウムも同様) HfO2(ケイ酸ハフニウムも同様)
【0015】 BSTの堆積のための前駆体は、あくまでも例であるが、以下のものを含む。 Ba(thd)2 − ビス(テトラメチルヘプタンジオネート) Sr(thd)2 − ビス(テトラメチルヘプタンジオネート) Ti(thd)2(O-i-Pr)2 − (イソプロポキシド)ビス(テトラメチル ヘプタンジオネート) Ba(thd)2 − ビス(テトラメチルヘプタンジオネート) Sr(thd)2 − ビス(テトラメチルヘプタンジオネート) Ti(dmae)4 − ビス(ジメチルアミノエトキシド) Ba(methd)2 − ビス(メトキシエトキシ, テトラメチルヘプタンジオネート) Sr(methd)2 − ビス(メトキシエトキシ, テトラメチルヘプタンジオネート) Ti(mpd)(thd)2 − ビス(メチルペンタンジオル, テトラメチルヘプタンジオネート) Ba(dpm)2 − ビス(ジピバロイルメタネート) Sr(dpm)2 − ビス(ジピバロイルメタネート) TiO(dpm)2 − (チタニル)ビス(ジピバロイルメタネート) Ba(dpm)2 − ビス(ジピバロイルメタネート) Sr(dpm)2 − ビス(ジピバロイルメタネート) Ti(t-BuO)2(dpm)2 − (t-ブトキシ)ビス(ジピバロイルメタネート) Ba(dpm)2 − ビス(ジピバロイルメタネート) Sr(dpm)2 − ビス(ジピバロイルメタネート) Ti(OCH3)2(dpm)2 − (メトキシ)ビス(ジピバロイルメタネート) アダクト(即ち、テトラグライム,トリエーテルジアミン,ペンタメチルジエチ
レントリアミン)、溶媒(ブチルアセテート,メタノール,テトラハイドロフラ
ン)及び/又は他の材料が前駆体と一緒に用いられても構わない。
【0016】 導電性又は絶縁性バリア、または他の材料が、層16を堆積する前に電極層1
4の上に設けられても良い。さらに、導電性又は絶縁性バリア、または他の層が
、さらにその後のキャパシタ電極層の形成前に、高k誘電体層16の上にその形
成後に設けられても良い。
【0017】 上述した高濃度のNOを有した堆積作用中の一部の期間は、例示的に図示さ
れた層16を形成する堆積作用中のごく一部分、殆どの部分又はその全部の部分
であっても構わない。さらに、堆積中の条件は、プラズマ又はリモートプラズマ
の何れか一方の条件であっても、またはプラズマ又はリモートプラズマの何れも
が無い条件であっても構わない。好ましくは、層16の堆積膜厚は、500オン
グストロームより厚くなく、より好ましくは300オングストロームより厚くな
く、そして更に好ましくは、200オングストロームより厚くない。
【0018】 例えば、前駆体が有機金属前駆体を含む場合には、そのような各種前駆体の例
示的流量は、適当な気化器に対して10mg/minから1000mg/min
の間のどれかの液体供給量である。好ましいNO流量は、100sccmから
4000sccmであり、より好ましくは500sccmから2000sccm
であり、さらに最も好ましくは、750sccmと1250sccmの間である
。このような流量及び本発明の具体例は、アプライドマテリアルズ社のCent
ura Frame(商標)の処理装置に関係するところである。好ましい圧力
範囲は、100mTorrから20Torrであり、より好ましいのは1Tor
rから6Torrの範囲である。サセプタの温度は、好ましくは400℃から7
00℃であり、堆積される層の厚さの連続性を200オングストローム又はそれ
以下、より好ましくは少なくともそれより50オングストローム低くするには、
550℃と同等又はそれよりも低くするのがより好ましい。最も好ましくは、サ
セプタの温度は、NOが上述した濃度において存在するか否かに関わらず、層
16を形成するために、堆積作用中の全期間において、550℃と同等又はそれ
よりも低く維持される。
【0019】 そのような条件はまた、堆積作用の終了時に、原子間力顕微鏡によるRMS平
均粗さによって決まる粗さが20オングストロームより大きくない、さらに好ま
しくは15オングストロームより大きくない高k誘電体層の最外側表面を形成す
るのにも有効である。図2は、高k誘電体層16が最外側表面18を有するもの
として示している。図3は、高k誘電体層16の上に、好適実施例では図示の如
く最外側表面18上に(接触して)第2キャパシタ電極層20が形成されている
状態を示す。層20の例示的な厚さは200オングストロームである。上でも若
干言及した通り、導電性又は絶縁性バリアまたは他の材料を、高kキャパシタ誘
電体材料16と第2キャパシタプレート20との間に設けるような別の処理方法
も考えられる。さらに、最外側表面18は、おそらくNOの好ましい高濃度が
層16を形成するための堆積作用中の終了時に存在するか否かにより、前述した
好ましい粗さを有していても又は有していなくても構わない。
【0020】 好ましくは、第1キャパシタ電極層14と第2キャパシタ電極層20との間の
全キャパシタ誘電体材料の厚さは、500オングストロームより厚くなく、より
好ましくは300オングストロームより厚くなく、更に好ましくは、200オン
グストロームより厚くない。
【0021】 アプライドマテリアルズ社のCentura Frame(商標)の処理装置
における具体例では、サセプタ温度が500℃、チャンバ内の圧力が2Torr
であった。気化器への前駆体の流量は、Ba(thd)とSr(thd)
25mg/min、Ti(thd)(O−i−Pr)が85mg/min、
気化器へのArの流量は150sccmで、Arは気化器からの流量200sc
cmのキャリアであり、リアクタへのNOの流量は1200sccmであった
。前駆体の液体アンプルは室温に置かれ、また気化器は280℃であった。堆積
速度は、厚さ250オングストロームの層を形成するのに、約20オングストロ
ーム/分であった。測定された表面粗さが10オングストロームである形成され
た膜は、連続性があり均一に堆積されていた。
【0022】 法令に則り、本発明は、構造的及び方法的特徴について多かれ少なかれ述べて
きた。しかしながら、本発明は、本発明が最も効果的である好ましい形態を開示
しただけであり、図示し且つ説明した特定の実施例に限定されるものではない。
したがって、本発明は、均等の原則により、添付特許請求の範囲の範囲内におい
て改変、変更されたものも当然に含まれるものである。
【図面の簡単な説明】
【図1】 図1は、本発明の一態様に従ったある処理過程における半導体ウェーハ片の概
略断面図である。
【図2】 図2は、図1に示される過程の後に続く、ある過程での図1のウェーハ片の図
である。
【図3】 図3は、図2に示される過程の後に続く、ある過程での図1のウェーハ片の図
である。
【手続補正書】特許協力条約第34条補正の翻訳文提出書
【提出日】平成13年9月24日(2001.9.24)
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】特許請求の範囲
【補正方法】変更
【補正の内容】
【特許請求の範囲】
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE,TR),OA(BF ,BJ,CF,CG,CI,CM,GA,GN,GW, ML,MR,NE,SN,TD,TG),AP(GH,G M,KE,LS,MW,MZ,SD,SL,SZ,TZ ,UG,ZW),EA(AM,AZ,BY,KG,KZ, MD,RU,TJ,TM),AE,AG,AL,AM, AT,AU,AZ,BA,BB,BG,BR,BY,B Z,CA,CH,CN,CR,CU,CZ,DE,DK ,DM,DZ,EE,ES,FI,GB,GD,GE, GH,GM,HR,HU,ID,IL,IN,IS,J P,KE,KG,KP,KR,KZ,LC,LK,LR ,LS,LT,LU,LV,MA,MD,MG,MK, MN,MW,MX,MZ,NO,NZ,PL,PT,R O,RU,SD,SE,SG,SI,SK,SL,TJ ,TM,TR,TT,TZ,UA,UG,UZ,VN, YU,ZA,ZW Fターム(参考) 4K030 AA11 AA14 AA24 BA01 BA46 LA01 LA02 LA15 5F058 BA11 BA20 BC03 BC20 BF07 BF27 BF29 BF37 BJ01 5F083 AD11 JA14 PR21

Claims (34)

    【特許請求の範囲】
  1. 【請求項1】 高k誘電体層を形成する化学気相堆積方法であって、該方法
    は、 化学気相堆積リアクタ内に基板を配置する過程と、 前記基板上に、酸素と少なくとも一つの金属前駆体の金属を有する高k誘電体
    層を堆積するのに有効な条件の下で、前記リアクタ内に前駆体をなす少なくとも
    一つの金属とNOを提供する過程であって、前記NOは、堆積作用中の少な
    くとも一部の期間、前記リアクタ内に導入されたO,O,NO,NOの何
    れかと比較して、少なくとも体積濃度が90%と同等又はそれよりも高い濃度で
    リアクタ内に存在する過程と、 を具備することを特徴とする化学気相堆積方法。
  2. 【請求項2】 請求項1に記載の方法において、前記NOは、堆積作用中
    の一部の期間、前記リアクタ内に導入されたO,O,NO,NOの何れか
    と比較して、少なくとも体積濃度が95%と同等又はそれよりも高い濃度でリア
    クタ内に存在することを特徴とする化学気相堆積方法。
  3. 【請求項3】 請求項1に記載の方法において、前記NOは、堆積作用中
    の一部の期間、前記リアクタ内に導入されたO,O,NO,NOの何れか
    と比較して、少なくとも体積濃度が99%と同等又はそれよりも高い濃度でリア
    クタ内に存在することを特徴とする化学気相堆積方法。
  4. 【請求項4】 請求項1に記載の方法において、前記一部の期間は、殆どの
    部分からなることを特徴とする化学気相堆積方法。
  5. 【請求項5】 請求項1に記載の方法において、前記一部の期間は、堆積作
    用の全てからなることを特徴とする化学気相堆積方法。
  6. 【請求項6】 請求項1に記載の方法において、前記一部の期間における条
    件は、サセプタの温度が550℃と同等又はそれよりも低いことを含むことを特
    徴とする化学気相堆積方法。
  7. 【請求項7】 請求項1に記載の方法において、前記堆積作用の全部の期間
    における条件は、サセプタの温度が550℃と同等又はそれよりも低いことを含
    むことを特徴とする化学気相堆積方法。
  8. 【請求項8】 請求項1に記載の方法において、前記条件は、前記堆積作用
    の一部の期間が終了した時点で、原子間力顕微鏡によるRMS平均粗さによって
    決まる20オングストロームより大きくない粗さを有した、高k誘電体層の最外
    側表面を形成するのに有効であることを特徴とする化学気相堆積方法。
  9. 【請求項9】 請求項1に記載の方法において、前記条件は、前記堆積作用
    の一部の期間が終了した時点で、原子間力顕微鏡によるRMS平均粗さによって
    決まる15オングストロームより大きくない粗さを有した、高k誘電体層の最外
    側表面を形成するのに有効であることを特徴とする化学気相堆積方法。
  10. 【請求項10】 請求項1に記載の方法において、前記条件は、プラズマ及
    びリモートプラズマが無いことを特徴とする化学気相堆積方法。
  11. 【請求項11】 請求項1に記載の方法において、前記条件は、プラズマ及
    びリモートプラズマの少なくとも何れか一方を有することを特徴とする化学気相
    堆積方法。
  12. 【請求項12】 請求項1に記載の方法において、前記高k誘電体層はチタ
    ン酸塩からなることを特徴とする化学気相堆積方法。
  13. 【請求項13】 請求項1に記載の方法において、前記条件は、前記堆積作
    用の一部の期間、サセプタ温度が550℃と同等又はそれよりも低く、前記堆積
    作用の一部の期間が終了した時点で、原子間力顕微鏡によるRMS平均粗さによ
    って決まる20オングストロームより大きくない粗さを有した、高k誘電体層の
    最外側表面を形成するのに有効であることを特徴とする化学気相堆積方法。
  14. 【請求項14】 請求項1に記載の方法において、前記条件は、前記堆積作
    用の一部の期間、サセプタ温度が550℃と同等又はそれよりも低く、前記堆積
    作用の一部期間が終了した時点で、原子間力顕微鏡によるRMS平均粗さによっ
    て決まる15オングストロームより大きくない粗さを有した、高k誘電体層の最
    外側表面を形成するのに有効であることを特徴とする化学気相堆積方法。
  15. 【請求項15】 高k誘電体層を形成する化学気相堆積方法であって、該方
    法は、 化学気相堆積リアクタ内に基板を配置する過程と、 前記基板上に、酸素と少なくとも一つの金属前駆体の金属を有する高k誘電体
    層を堆積するのに有効な条件の下で、前記リアクタ内に前駆体をなす少なくとも
    一つの金属とNOを提供する過程であって、前記条件は、堆積作用の少なくと
    も一部の期間は、前記リアクタ内にO,O,NO,NOの何れもが導入さ
    れない過程と、 を具備することを特徴とする化学気相堆積方法。
  16. 【請求項16】 請求項15に記載の方法において、前記堆積作用の一部の
    期間の条件は、サセプタ温度が550℃と同等又はそれよりも低いことを特徴と
    する化学気相堆積方法。
  17. 【請求項17】 請求項15に記載の方法において、前記条件は、前記堆積
    作用の一部の期間が終了した時点で、原子間力顕微鏡によるRMS平均粗さによ
    って決まる20オングストロームより大きくない粗さを有した、高k誘電体層の
    最外側表面を形成するのに有効であることを特徴とする化学気相堆積方法。
  18. 【請求項18】 請求項15に記載の方法において、前記条件は、前記堆積
    作用の一部の期間、サセプタ温度が550℃と同等又はそれよりも低く、前記堆
    積作用の一部の期間が終了した時点で、原子間力顕微鏡によるRMS平均粗さに
    よって決まる20オングストロームより大きくない粗さを有した、高k誘電体層
    の最外側表面を形成するのに有効であることを特徴とする化学気相堆積方法。
  19. 【請求項19】 請求項15に記載の方法において、前記条件は、前記堆積
    作用の一部の期間が終了した時点で、原子間力顕微鏡によるRMS平均粗さによ
    って決まる15オングストロームより大きくない粗さを有した、高k誘電体層の
    最外側表面を形成するのに有効であることを特徴とする化学気相堆積方法。
  20. 【請求項20】 請求項15に記載の方法において、前記条件は、前記堆積
    作用の一部の期間、サセプタ温度が550℃と同等又はそれよりも低く、前記堆
    積作用の一部の期間が終了した時点で、原子間力顕微鏡によるRMS平均粗さに
    よって決まる15オングストロームより大きくない粗さを有した、高k誘電体層
    の最外側表面を形成するのに有効であることを特徴とする化学気相堆積方法。
  21. 【請求項21】 キャパシタを形成する方法であって、該方法は、 基板上に第1キャパシタ電極層を形成する過程と、 前記第1キャパシタ電極層を具えた前記基板を、化学気相堆積リアクタ内に配
    置する過程と、 前駆体を有する少なくとも一つの金属とNOを、前記第1キャパシタ電極上
    に酸素と前記少なくと一つの金属前駆体の金属とを有する高kキャパシタ誘電体
    層を堆積するのに有効な条件の下で、前記リアクタ内に提供する過程であって、
    前記NOは、前記堆積作用中の一部の期間が終了した時点で、原子間力顕微鏡
    によるRMS平均粗さにより決まる20オングストロームより大きくない粗さを
    有するキャパシタ誘電体層の最外側表面を形成するために、リアクタ内に導入さ
    れたO,O,NO,NOの何れかと比較して、体積濃度が少なくとも90
    %と同等又はそれよりも高い濃度で前記リアクタ内に前記堆積作用中の少なくと
    も一部の期間存在する過程と、 前記高kキャパシタ誘電体層上に第2キャパシタ電極層を形成する過程と、 を具備することを特徴とするキャパシタ形成方法。
  22. 【請求項22】 請求項21に記載の方法において、前記第2キャパシタ電
    極層は前記高kキャパシタ誘電体層の上に形成されることを特徴とするキャパシ
    タ形成方法。
  23. 【請求項23】 請求項21に記載の方法において、前記第2キャパシタ電
    極層は前記最外側表面上に形成されることを特徴とするキャパシタ形成方法。
  24. 【請求項24】 請求項21に記載の方法において、前記第1及び第2キャ
    パシタ電極層の間のキャパシタ誘電体材料の総厚は、500オングストロームよ
    り厚くないことを特徴とするキャパシタ形成方法。
  25. 【請求項25】 請求項21に記載の方法において、前記第1及び第2キャ
    パシタ電極層の間のキャパシタ誘電体材料の総厚は、300オングストロームよ
    り厚くないことを特徴とするキャパシタ形成方法。
  26. 【請求項26】 請求項21に記載の方法において、前記第1及び第2キャ
    パシタ電極層の間のキャパシタ誘電体材料の総厚は、200オングストロームよ
    り厚くないことを特徴とするキャパシタ形成方法。
  27. 【請求項27】 請求項21に記載の方法において、前記条件は、前記粗さ
    が15オングストロームより大きくならないのに有効な条件であることを特徴と
    するキャパシタ形成方法。
  28. 【請求項28】 請求項21に記載の方法において、前記NOは、前記堆
    積作用中の一部の期間、リアクタ内に導入されたO,O,NO,NOの何
    れかと比較して、体積濃度が少なくとも95%と同等又はそれよりも高い濃度で
    前記リアクタ内に存在することを特徴とするキャパシタ形成方法。
  29. 【請求項29】 請求項21に記載の方法において、前記NOは、前記堆
    積作用中の一部の期間、リアクタ内に導入されたO,O,NO,NOの何
    れかと比較して、体積濃度が少なくとも99%と同等又はそれよりも高い濃度で
    前記リアクタ内に存在することを特徴とするキャパシタ形成方法。
  30. 【請求項30】 請求項21に記載の方法において、前記第1及び第2キャ
    パシタ電極層の間のキャパシタ誘電体材料の総厚は、500オングストロームよ
    り厚くなく、また、前記NOは、前記堆積作用中の一部の期間、リアクタ内に
    導入されたO,O,NO,NOの何れかと比較して、体積濃度が少なくと
    も95%と同等又はそれよりも高い濃度で前記リアクタ内に存在することを特徴
    とするキャパシタ形成方法。
  31. 【請求項31】 請求項21に記載の方法において、前記第1及び第2キャ
    パシタ電極層の間のキャパシタ誘電体材料の総厚は、500オングストロームよ
    り厚くなく、また、前記NOは、前記堆積作用中の一部の期間、リアクタ内に
    導入されたO,O,NO,NOの何れかと比較して、体積濃度が少なくと
    も99%と同等又はそれよりも高い濃度で前記リアクタ内に存在することを特徴
    とするキャパシタ形成方法。
  32. 【請求項32】 キャパシタを形成する方法であって、該方法は、 基板上に第1キャパシタ電極層を形成する過程と、 前記第1キャパシタ電極層を具えた前記基板を、化学気相堆積リアクタ内に配
    置する過程と、 前駆体を有する少なくとも一つの金属とNOを、前記第1キャパシタ電極上
    に酸素と前記少なくと一つの金属前駆体の金属とを有する高kキャパシタ誘電体
    層を堆積するのに有効な条件の下で、前記リアクタ内に提供する過程であって、
    前記NOは、前記堆積作用中の一部の期間が終了した時点で、原子間力顕微鏡
    によるRMS平均粗さにより決まる15オングストロームより大きくない粗さを
    有するキャパシタ誘電体層の最外側表面を形成するために、リアクタ内に導入さ
    れたO,O,NO,NOの何れかと比較して、体積濃度が少なくとも90
    %と同等又はそれよりも高い濃度で前記リアクタ内に前記堆積作用中の少なくと
    も一部の期間存在する過程と、 前記高kキャパシタ誘電体層上に第2キャパシタ電極層を形成する過程であり
    、前記第1及び第2キャパシタ電極層の間のキャパシタ誘電体材料の総厚は、3
    00オングストロームより厚くなく形成する過程と、 を具備することを特徴とするキャパシタ形成方法。
  33. 【請求項33】 請求項32に記載の方法において、前記NOは、前記堆
    積作用中の一部の期間、リアクタ内に導入されたO,O,NO,NOの何
    れかと比較して、体積濃度が少なくとも99%と同等又はそれよりも高い濃度で
    前記リアクタ内に存在することを特徴とするキャパシタ形成方法。
  34. 【請求項34】 請求項32に記載の方法において、前記条件は、前記堆積
    作用中の一部の期間、O,O,NO,NOの何れの導入も無いことを特徴
    とするキャパシタ形成方法。
JP2001550422A 2000-01-03 2001-01-03 高k誘電体層を形成するための化学気相堆積法及びキャパシタ形成方法 Expired - Fee Related JP3564456B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/476,516 US6335049B1 (en) 2000-01-03 2000-01-03 Chemical vapor deposition methods of forming a high K dielectric layer and methods of forming a capacitor
US09/476,516 2000-01-03
PCT/US2001/000269 WO2001049896A1 (en) 2000-01-03 2001-01-03 Methods of forming a high k dielectric layer and a capacitor

Publications (2)

Publication Number Publication Date
JP2003519443A true JP2003519443A (ja) 2003-06-17
JP3564456B2 JP3564456B2 (ja) 2004-09-08

Family

ID=23892162

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001550422A Expired - Fee Related JP3564456B2 (ja) 2000-01-03 2001-01-03 高k誘電体層を形成するための化学気相堆積法及びキャパシタ形成方法

Country Status (6)

Country Link
US (1) US6335049B1 (ja)
JP (1) JP3564456B2 (ja)
KR (1) KR100519146B1 (ja)
AU (1) AU2628901A (ja)
DE (1) DE10194692B4 (ja)
WO (1) WO2001049896A1 (ja)

Families Citing this family (297)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6273951B1 (en) 1999-06-16 2001-08-14 Micron Technology, Inc. Precursor mixtures for use in preparing layers on substrates
US6943392B2 (en) * 1999-08-30 2005-09-13 Micron Technology, Inc. Capacitors having a capacitor dielectric layer comprising a metal oxide having multiple different metals bonded with oxygen
JP3540234B2 (ja) * 2000-02-14 2004-07-07 Necエレクトロニクス株式会社 半導体装置の製造方法
US6558517B2 (en) * 2000-05-26 2003-05-06 Micron Technology, Inc. Physical vapor deposition methods
CN100342500C (zh) 2000-09-19 2007-10-10 马特森技术公司 形成介电薄膜的方法
US6566147B2 (en) * 2001-02-02 2003-05-20 Micron Technology, Inc. Method for controlling deposition of dielectric films
JP3863391B2 (ja) * 2001-06-13 2006-12-27 Necエレクトロニクス株式会社 半導体装置
US20030017266A1 (en) * 2001-07-13 2003-01-23 Cem Basceri Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers, including such layers having a varied concentration of barium and strontium within the layer
US6838122B2 (en) * 2001-07-13 2005-01-04 Micron Technology, Inc. Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers
US7011978B2 (en) * 2001-08-17 2006-03-14 Micron Technology, Inc. Methods of forming capacitor constructions comprising perovskite-type dielectric materials with different amount of crystallinity regions
US6794284B2 (en) * 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6995081B2 (en) * 2002-08-28 2006-02-07 Micron Technology, Inc. Systems and methods for forming tantalum silicide layers
US6730164B2 (en) * 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US7112485B2 (en) * 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US6958300B2 (en) * 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US6967159B2 (en) * 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US8617312B2 (en) * 2002-08-28 2013-12-31 Micron Technology, Inc. Systems and methods for forming layers that contain niobium and/or tantalum
US7041609B2 (en) * 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US7115528B2 (en) * 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US7092234B2 (en) * 2003-05-20 2006-08-15 Micron Technology, Inc. DRAM cells and electronic systems
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2605465B2 (ja) 1990-08-31 1997-04-30 日本電気株式会社 容量絶縁膜の形成方法
US5470398A (en) 1990-09-25 1995-11-28 Matsushita Electric Industrial Co., Ltd. Dielectric thin film and method of manufacturing same
JP3013455B2 (ja) * 1991-02-07 2000-02-28 日本電気株式会社 酸化タンタル膜のプラズマ化学気相成長法
EP0617440B1 (en) * 1993-03-25 1997-03-05 Matsushita Electric Industrial Co., Ltd. Laminated thin film capacitor and method for producing the same
JP2643833B2 (ja) 1994-05-30 1997-08-20 日本電気株式会社 半導体記憶装置及びその製造方法
JP3152859B2 (ja) * 1994-09-16 2001-04-03 株式会社東芝 半導体装置の製造方法
US5776254A (en) * 1994-12-28 1998-07-07 Mitsubishi Denki Kabushiki Kaisha Apparatus for forming thin film by chemical vapor deposition
US5798903A (en) 1995-12-26 1998-08-25 Bell Communications Research, Inc. Electrode structure for ferroelectric capacitor integrated on silicon
US5731948A (en) * 1996-04-04 1998-03-24 Sigma Labs Inc. High energy density capacitor
KR100223939B1 (ko) 1996-09-07 1999-10-15 구본준 고유전막의 제조방법 및 그를 이용한 캐패시터의 제조방법
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
KR100282413B1 (ko) 1996-10-24 2001-03-02 김영환 아산화질소 가스를 이용한 박막 형성 방법
JPH10242426A (ja) 1996-12-26 1998-09-11 Sony Corp 半導体メモリセルのキャパシタ構造及びその作製方法
KR19980071011A (ko) 1997-01-24 1998-10-26 조셉 제이. 스위니 고온 및 고 흐름 속도의 화학적 기상 증착 장치 및 관련증착 방법
GB9704550D0 (en) 1997-03-05 1997-04-23 Secr Defence Deposition of thin films
JP3103916B2 (ja) 1997-07-09 2000-10-30 ソニー株式会社 強誘電体キャパシタおよびその製造方法並びにそれを用いたメモリセル
US6156638A (en) 1998-04-10 2000-12-05 Micron Technology, Inc. Integrated circuitry and method of restricting diffusion from one material to another
JPH11302286A (ja) * 1998-04-17 1999-11-02 Kojundo Chem Lab Co Ltd バリウムストロンチウムβ−ジケトネートとその製造 方法及びそれを用いたバリウムストロンチウム含有酸 化物誘電体薄膜の製造方法
TW383494B (en) * 1998-04-21 2000-03-01 United Microelectronics Corp Structure and manufacturing method for capacitors
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
JPH11330411A (ja) 1998-05-13 1999-11-30 Matsushita Electric Ind Co Ltd 半導体記憶装置及びその製造方法
US20020009861A1 (en) 1998-06-12 2002-01-24 Pravin K. Narwankar Method and apparatus for the formation of dielectric layers

Also Published As

Publication number Publication date
KR20020073340A (ko) 2002-09-23
AU2628901A (en) 2001-07-16
US6335049B1 (en) 2002-01-01
JP3564456B2 (ja) 2004-09-08
DE10194692T1 (de) 2002-12-19
KR100519146B1 (ko) 2005-10-06
DE10194692B4 (de) 2007-11-29
WO2001049896A1 (en) 2001-07-12

Similar Documents

Publication Publication Date Title
JP2003519443A (ja) 高k誘電体層を形成するための化学気相堆積法及びキャパシタ形成方法
US6884475B2 (en) Chemical vapor deposition method for depositing a high k dielectric film
US6982103B2 (en) Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers, including such layers having a varied concentration of barium and strontium within the layer
US7309889B2 (en) Constructions comprising perovskite-type dielectric
US7488514B2 (en) Methods of forming barium strontium titanate layers
US7759717B2 (en) Capacitors comprising dielectric regions having first and second oxide material portions of the same chemical compositon but different densities
US20050051828A1 (en) Methods of forming metal thin films, lanthanum oxide films, and high dielectric films for semiconductor devices using atomic layer deposition
US6855971B2 (en) Haze-free BST films
KR20040016155A (ko) 반도체 메모리 소자의 커패시터 및 그 제조 방법
JP2003508902A (ja) チタン含有誘電体膜及びその形成方法
US8649154B2 (en) Method for producing a metal-insulator-metal capacitor for use in semiconductor devices
JPH1041486A (ja) 半導体装置の強誘電体膜及びその形成方法
US6573150B1 (en) Integration of CVD tantalum oxide with titanium nitride and tantalum nitride to form MIM capacitors
KR100450470B1 (ko) 플라즈마 도움을 받는 Ru 박막형성방법
KR20010036043A (ko) 반도체 장치의 고유전막 형성 방법

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040212

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040224

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040430

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20040601

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20040607

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080611

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090611

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100611

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110611

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110611

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120611

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120611

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130611

Year of fee payment: 9

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees