JP2003509836A - Trench semiconductor device with gate oxide layer having multiple thicknesses and method of manufacturing the same - Google Patents

Trench semiconductor device with gate oxide layer having multiple thicknesses and method of manufacturing the same

Info

Publication number
JP2003509836A
JP2003509836A JP2000620671A JP2000620671A JP2003509836A JP 2003509836 A JP2003509836 A JP 2003509836A JP 2000620671 A JP2000620671 A JP 2000620671A JP 2000620671 A JP2000620671 A JP 2000620671A JP 2003509836 A JP2003509836 A JP 2003509836A
Authority
JP
Japan
Prior art keywords
trench
polysilicon
oxide layer
layer
oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000620671A
Other languages
Japanese (ja)
Other versions
JP4834228B2 (en
JP2003509836A5 (en
Inventor
ウィリアムス、リチャード・ケイ
グラボウスキー、ウェイン・ビー
Original Assignee
ウィリアムス、リチャード・ケイ
グラボウスキー、ウェイン・ビー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ウィリアムス、リチャード・ケイ, グラボウスキー、ウェイン・ビー filed Critical ウィリアムス、リチャード・ケイ
Publication of JP2003509836A publication Critical patent/JP2003509836A/en
Publication of JP2003509836A5 publication Critical patent/JP2003509836A5/ja
Application granted granted Critical
Publication of JP4834228B2 publication Critical patent/JP4834228B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7813Vertical DMOS transistors, i.e. VDMOS transistors with trench gate electrode, e.g. UMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • H01L29/0852Source or drain regions of field-effect devices of field-effect transistors with insulated gate of DMOS transistors
    • H01L29/0873Drain regions
    • H01L29/0878Impurity concentration or distribution
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • H01L29/42368Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity the thickness being non-uniform
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66712Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/66734Vertical DMOS transistors, i.e. VDMOS transistors with a step of recessing the gate electrode, e.g. to form a trench gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7803Vertical DMOS transistors, i.e. VDMOS transistors structurally associated with at least one other device
    • H01L29/7808Vertical DMOS transistors, i.e. VDMOS transistors structurally associated with at least one other device the other device being a breakdown diode, e.g. Zener diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7811Vertical DMOS transistors, i.e. VDMOS transistors with an edge termination structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • H01L29/7828Vertical transistors without inversion channel, e.g. vertical ACCUFETs, normally-on vertical MISFETs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1095Body region, i.e. base region, of DMOS transistors or IGBTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/4238Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the surface lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/739Transistor-type devices, i.e. able to continuously respond to applied control signals controlled by field-effect, e.g. bipolar static induction transistors [BSIT]
    • H01L29/7393Insulated gate bipolar mode transistors, i.e. IGBT; IGT; COMFET
    • H01L29/7395Vertical transistors, e.g. vertical IGBT
    • H01L29/7396Vertical transistors, e.g. vertical IGBT with a non planar surface, e.g. with a non planar gate or with a trench or recess or pillar in the surface of the emitter, base or collector region for improving current density or short circuiting the emitter and base regions
    • H01L29/7397Vertical transistors, e.g. vertical IGBT with a non planar surface, e.g. with a non planar gate or with a trench or recess or pillar in the surface of the emitter, base or collector region for improving current density or short circuiting the emitter and base regions and a gate structure lying on a slanted or vertical surface or formed in a groove, e.g. trench gate IGBT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/80Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier
    • H01L29/808Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier with a PN junction gate, e.g. PN homojunction gate
    • H01L29/8083Vertical transistors

Abstract

(57)【要約】 パワーMOSFETのようなトレンチ半導体デバイスにおいて、トレンチ(250)のコーナーにおける大きな電界はトレンチ(250)の底部におけるゲート酸化物層(244)の厚さを増大することによって低減される。そのようなデバイスを製造するためのいくつかのプロセスが開示される。プロセスの1グループでは、トレンチ(268)がエッチングされた後に酸化ケイ素(272)の方向性被着が行われ、トレンチ(268)の底に厚い酸化物層(270)が形成される。トレンチ(268)の壁に被着した酸化物は、壁上に薄いゲート酸化物層(276)が成長される前に除去される。続いてトレンチ(268)に1段階または2段階でポリシリコン(278)が充填される。プロセスの変形例では、トレンチ(268)の壁をエッチングする前に、トレンチ(268)の底部の酸化物(270)上に少量のフォトレジストが被着される。別の方法として、ポリシリコン(320)をトレンチ(268)中に被着させた後、一部(322)のみがトレンチ(268)の底に残るまでエッチバックすることもできる。続いてポリシリコン(320)は酸化され、トレンチ(268)はポリシリコンで満たされる。これらのプロセスは、酸化物の方向性被着に続いてポリシリコンの充填及び酸化を行うようにして、組み合わせることができる。“鍵穴”形ゲート電極(634)の形成方法は、トレンチ(606)の底にポリシリコンを被着する過程と、ポリシリコンの上面を酸化する過程と、酸化されたポリシリコンをエッチングする過程と、トレンチ(606)をポリシリコンで充填する過程とを含む。 In trench semiconductor devices such as power MOSFETs, large electric fields at the corners of trench (250) are reduced by increasing the thickness of gate oxide layer (244) at the bottom of trench (250). You. Several processes for manufacturing such devices are disclosed. In one group of processes, a directional deposition of silicon oxide (272) is performed after the trench (268) is etched, forming a thick oxide layer (270) at the bottom of the trench (268). The oxide deposited on the walls of the trench (268) is removed before a thin gate oxide layer (276) is grown on the walls. Subsequently, the trench (268) is filled with polysilicon (278) in one or two stages. In a process variation, a small amount of photoresist is deposited on the oxide (270) at the bottom of the trench (268) before etching the walls of the trench (268). Alternatively, after the polysilicon (320) is deposited in the trench (268), it may be etched back until only a portion (322) remains at the bottom of the trench (268). Subsequently, the polysilicon (320) is oxidized and the trench (268) is filled with polysilicon. These processes can be combined, with directional deposition of oxide followed by polysilicon filling and oxidation. The method of forming the "keyhole" type gate electrode (634) includes a step of depositing polysilicon at the bottom of the trench (606), a step of oxidizing the upper surface of the polysilicon, and a step of etching the oxidized polysilicon. Filling the trench 606 with polysilicon.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】 (技術分野) 本発明は、トレンチに埋め込まれたゲート電極を有する半導体素子に関し、特
に、その様な素子がオフ状態の間に大きな電圧差に曝される場合に、ゲート酸化
物層のダメージに対して素子を保護する構造及び方法に関する。本発明は特にト
レンチMOSFETに関する。
TECHNICAL FIELD The present invention relates to semiconductor devices having gate electrodes buried in trenches, and more particularly to gate oxide layers when such devices are exposed to large voltage differentials during the off state. The present invention relates to a structure and a method for protecting an element against damage of the element. The invention particularly relates to trench MOSFETs.

【0002】 (背景技術) 半導体チップの表面より延在するトレンチ中にゲート電極が形成されるような
種類の半導体素子が存在する。一例として、トレンチゲートMOSFETがあり
、その他の例としては絶縁ゲートバイポーラトランジスタ(IGBT)、接合電
界効果トランジスタ(JFET)及び集積モード電界効果トランジスタ(ACC
UFET)がある。これら素子の全ては、何らかの理由でトレンチの底が強い電
界に曝される場合があり、またトレンチの底に、ゲート電極及びトレンチを外囲
する半導体材料を含む寄生キャパシタを形成される場合があるという、トレンチ
構造に共通の特性を有している。
BACKGROUND ART There is a type of semiconductor device in which a gate electrode is formed in a trench extending from the surface of a semiconductor chip. One example is a trench gate MOSFET, and other examples are an insulated gate bipolar transistor (IGBT), a junction field effect transistor (JFET) and an integrated mode field effect transistor (ACC).
UFET). All of these devices may for some reason be exposed to a strong electric field at the bottom of the trench, or may be formed at the bottom of the trench with a parasitic capacitor containing the gate electrode and the semiconductor material surrounding the trench. That is, the trench structure has common characteristics.

【0003】 図1から10は、公知のトレンチゲート素子の断面図及び特性を表す。図1は
上部金属層102、トレンチ106中に形成され、ゲート酸化物層110によっ
てエピタキシャルシリコン層108より隔離されたゲート104を有するトレン
チゲートMOSFET100を表している。MOSFET100はまた、N+ソ
ース領域112及びP−ボディ114を有する。MOSFET100のドレイン
は、epi層108及びN+基板116を有する。深いP+領域118はP−ボデ
ィ114の下に形成され、それはBuluceaらによって米国特許番号5,072,266にお
いて示される。深いP+領域118及びNepi層108の間のPN接合は、通常
なだれ降状が発生するような電圧クランプダイオード117を形成する。P+ボ
ディ接触領域119は、金属層102及びP−ボディ114の間の接触を実現す
る。通常はポリシリコンで形成されるゲート104は、ゲート104上にあって
、通常はコンタクトマスクにより、トレンチ自身には対応しない特徴をもってパ
ターン化された酸化物層120によって金属層102より保護される。
1 to 10 show cross-sectional views and characteristics of known trench gate devices. FIG. 1 illustrates a trench gate MOSFET 100 having an upper metal layer 102, a gate 104 formed in a trench 106 and separated from an epitaxial silicon layer 108 by a gate oxide layer 110. MOSFET 100 also has an N + source region 112 and a P- body 114. The drain of MOSFET 100 has epi layer 108 and N + substrate 116. A deep P + region 118 is formed below the P-body 114, which is shown by Bulucea et al. In US Pat. No. 5,072,266. The PN junction between the deep P + region 118 and the Nepi layer 108 forms a voltage clamp diode 117 such that a normal avalanche occurs. The P + body contact region 119 provides a contact between the metal layer 102 and the P− body 114. A gate 104, typically formed of polysilicon, is overlying the gate 104 and is protected from the metal layer 102 by an oxide layer 120, which is typically patterned by a contact mask with features that do not correspond to the trench itself.

【0004】 図示されるように、ゲート酸化物層110はポリシリコンゲート104の3つ
の側面に沿った酸化物の一様な薄い層より成る。すなわち、トレンチの側壁上の
ゲート酸化物層110の部分及びトレンチの底におけるゲート酸化物層110の
湾曲し、或いは直線的部分は、(トレンチの底において見られる、応力に関連す
る変化及びエッチングに関連する酸化物の厚さのばらつきを除いて)例えば15
0Åから1200Åの範囲の一様な厚さを有する。
As shown, the gate oxide layer 110 comprises a uniform thin layer of oxide along the three sides of the polysilicon gate 104. That is, the portion of the gate oxide layer 110 on the sidewalls of the trench and the curved or straight portion of the gate oxide layer 110 at the bottom of the trench (are subject to stress-related changes and etching found at the bottom of the trench). 15 (excluding associated oxide thickness variations)
It has a uniform thickness in the range of 0Å to 1200Å.

【0005】 このような一般的な形式のMOSFETには多くのバリエーションが存在する
。例えば、図2には、概ねMOSFET100と類似するが、深いP+領域11
8を含まないMOSFET130が示される。P−ボディ132の深さ及びトレ
ンチ134の深さが、2つの互いに関係のないプロセスにより決定されることか
ら、MOSFET130のゲートは、P−ボディ132内に向けて僅かに突入し
ている。このような垂直素子に於いては、ドレイン領域へのポリシリコンゲート
のネットオーバーラップ量は何ら保証されない。このようなばらつきは、素子の
動作に影響し、しかも、その信頼性に影響を与え得ることが知られている。また
図2においては、電圧をクランプさせるべき、深いP+領域118によって形成
される追加的なダイオードが存在しないので、素子が電子なだれに進むようなレ
ベルに電圧が上昇すれば、ブレークダウンがいつでも起こり得る。
There are many variations in such a general type MOSFET. For example, in FIG. 2 it is generally similar to MOSFET 100 but with a deep P + region 11
MOSFET 130 not including 8 is shown. The gate of MOSFET 130 projects slightly into P-body 132 because the depth of P-body 132 and the depth of trench 134 are determined by two unrelated processes. In such a vertical device, the amount of net overlap of the polysilicon gate with the drain region is not guaranteed. It is known that such variations affect the operation of the device and can also affect its reliability. Also, in FIG. 2, there is no additional diode formed by the deep P + region 118 to clamp the voltage, so breakdown will always occur if the voltage rises to a level where the device goes to an avalanche. obtain.

【0006】 図3に示されるMOSFET140は、MOSFET100及び130のバリ
エーションであり、ここではMOSFETセル142が深いP+領域を含まず、
深いP+領域を含むダイオードセル144は、アレイ内で所定の間隔で分布し、
電圧クランプとして機能し、MOSFETセル中で電界の強度を制限する。MO
SFET140中でゲート酸化物層は一様な厚さである。
The MOSFET 140 shown in FIG. 3 is a variation of the MOSFETs 100 and 130, where the MOSFET cell 142 does not include a deep P + region,
The diode cells 144, including the deep P + regions, are distributed in the array at regular intervals,
It acts as a voltage clamp and limits the strength of the electric field in the MOSFET cell. MO
The gate oxide layer is of uniform thickness in SFET 140.

【0007】 図4A-4Gは、ブレークダウン現象の様々な局面を表す。図4Aは、比較的
厚いゲート酸化物層を有するトレンチゲート素子中のブレークダウンに於ける電
界強度の輪郭を表している。素子150は、事実上ゲートダイオードであり、そ
れは、殆どのトレンチゲート垂直方向パワーMOSFETに於ける構造的要素を
なしている。図示されるように、衝撃イオン化がアバランシェブレークダウン中
に起こるような最も強い電界は、P+ボディ領域の直下にある接合に位置する。
それとは対照的に、図4Bに示される素子160は、比較的薄いゲート酸化物層
を有する。ある程度のイオン化がP+領域の下方で引き起こされるため、最も強
い電界レベルは、ここでトレンチのコーナー付近に位置する。フィールドプレー
トに起因するブレークダウン機構は、電界強度を増大させる。
4A-4G depict various aspects of the breakdown phenomenon. FIG. 4A represents the field strength profile at breakdown in a trench gate device having a relatively thick gate oxide layer. Device 150 is effectively a gate diode, which is a structural element in most trench gate vertical power MOSFETs. As shown, the strongest electric field where impact ionization occurs during the avalanche breakdown is located at the junction just below the P + body region.
In contrast, the device 160 shown in Figure 4B has a relatively thin gate oxide layer. The strongest electric field level is now located near the corner of the trench, since some ionization is caused below the P + region. The breakdown mechanism due to the field plate increases the electric field strength.

【0008】 図4C及び4Dは、各素子150及び160の、アバランシェブレークダウン
へ向かう場合のイオン化の輪郭を表している。図4Cのような厚い酸化物層、若
しくは図4Dのような薄い酸化物層が存在する場合、素子がなだれ現象として大
きな電流を導通しなければならない場合、即ち“深い”アバランシェに於いて、
ブレークダウンは、トレンチのコーナーで起こり始める。ピークの電界がトレン
チのコーナーではないような(図4A)、厚い酸化物層の場合(図4C)に於い
てさえ、ドレインの電圧が上がるにつれて、結局はイオン化がトレンチのコーナ
ーで起こる。しかしながら、図4D中ではより多くの輪郭が存在し、ゲート酸化
物層が薄い場合には、より高いイオン化レートを意味する。
4C and 4D represent the ionization profile of each element 150 and 160 as it goes to the avalanche breakdown. In the presence of a thick oxide layer as in FIG. 4C or a thin oxide layer as in FIG. 4D, the device must conduct large currents as an avalanche phenomenon, ie in a “deep” avalanche,
Breakdown begins to occur at the corners of the trench. Even in the case of thick oxide layers (FIG. 4C), where the peak electric field is not at the corners of the trench (FIG. 4C), ionization eventually occurs at the corners of the trench as the drain voltage increases. However, there are more contours in FIG. 4D, which means a higher ionization rate when the gate oxide layer is thin.

【0009】 図4Eは、その右側に示されるように、深いP+領域を含むダイオードクラン
プが提供される場合に、ダイオードがより低い電圧でブレークダウンし、アバラ
ンシェブレークダウンがトレンチのコーナーで起こり得ないことを示している。
ダイオードを介した電流経路の抵抗が十分に低ければ、ダイオードは素子の最大
電圧をクランプすることになる。結果として、トレンチのコーナー付近でアバラ
ンシェブレークダウンが発生するレベルまで電圧が上がることはない。
FIG. 4E shows that on the right hand side, when a diode clamp including a deep P + region is provided, the diode breaks down at a lower voltage and avalanche breakdown cannot occur at the corner of the trench. It is shown that.
If the resistance of the current path through the diode is low enough, the diode will clamp the maximum voltage of the device. As a result, the voltage does not rise to a level where avalanche breakdown occurs near the corners of the trench.

【0010】 図4Fは、20V及び30Vの素子についての、ゲート酸化物の厚さ(XOX)の関数と
して降伏電圧(BV)を示すグラフである。30ボルトの素子のエピタキシャル(epi)
層は、より軽くドーピングされる。30V素子の場合、 理想的には、およそ38ボル
トのなだれ降伏点を有することになる。20ボルトの場合、epiが、より強くドー
ピングされることになり、理想的には、およそ26ボルトのなだれ降伏点を有する
ことになる。ゲート酸化物層の厚さが1,000Åから数100Åまで薄くされた場合、
基本的には、降伏電圧は比較的一定であり、ゲートのフィールドプレートの形状
が電界を緩和する働きを有するため、降伏電圧はむしろ上昇する。数Å以下の厚
さでは、降伏点電圧の劣化が起き始める。
FIG. 4F is a graph showing breakdown voltage (B V ) as a function of gate oxide thickness (X OX ) for 20 V and 30 V devices. 30 volt device epitaxial
The layer is lightly doped. A 30V device would ideally have an avalanche yield point of approximately 38 volts. At 20 volts, the epi would be more heavily doped, ideally with an avalanche yield point of approximately 26 volts. If the thickness of the gate oxide layer is reduced from 1,000Å to several 100Å,
Basically, the breakdown voltage is relatively constant, and the breakdown voltage rises rather because the shape of the field plate of the gate acts to relax the electric field. At thicknesses less than a few Å, breakdown voltage breakdown begins to occur.

【0011】 図4Gは、図4A−4Dに示された素子の模式図であって、MOSFETに対
して平行をなすゲートダイオード及びMOSFET及びゲートダイオードの両者
に対して並列に接続されたダイオード電圧クランプを示す。この構成は、最初に
ダイオードクランプが降伏するように設計されている。ゲートダイオードは、ダ
イオードクランプに先立ってなだれ現象を起こすことがない。これは、ゲート酸
化膜が薄くなるに従ってより困難になる。
FIG. 4G is a schematic diagram of the device shown in FIGS. 4A-4D, with a gate diode in parallel with the MOSFET and a diode voltage clamp connected in parallel with both the MOSFET and the gate diode. Indicates. This configuration is designed so that the diode clamp breaks down first. The gate diode does not avalanche prior to the diode clamp. This becomes more difficult as the gate oxide becomes thinner.

【0012】 図5A、Bは、シャープなトレンチのコーナーを有する素子170及び丸味が
つけられたトレンチコーナーを有する素子172におけるイオン化輪郭を示す。
図5Bは、丸味がつけられたトレンチはイオン化の度合いを低下させるが、それ
でも、素子を降伏に向けて十分深くドライブした場合には、トレンチコーナーに
おいて降伏が引き起こされ、素子が危険な状態となる。 図6A〜図6Cは、MOSFET180における、電界強度輪郭、等電位線及び
電気力線をそれぞれ示している。MOSFET180のゲートは、ソース及びボ
ディに接続され、かつ接地されており、ドレインはVにバイアスされている。
図6Bから、ドレイン電圧Vが分割され、領域全体にわたって分布しているこ
とが明らかである。図6Bの別の側においては、等電位線が片側に寄せられてお
り、特にトレンチのコーナーの周りで強く寄せ集められている。これは、図6C
に示されるように等電位線に対して直角な電気力線を発生する。これにより、強
い電界がトレンチコーナーに発生し、丸味をつけることがこの問題を解決しない
ことがこれにより明らかとなろう。小さな表面積を有する電極、即ちゲートにお
いて終息する点において基本的には体積の問題であって、電気力線はコーナーに
おいて密集する。
FIGS. 5A, B show ionization profiles for element 170 with sharp trench corners and element 172 with rounded trench corners.
FIG. 5B shows that rounded trenches reduce the degree of ionization, but still drive the device deep enough for breakdown, causing breakdown at the trench corners and putting the device at risk. . 6A to 6C show electric field strength contours, equipotential lines, and electric force lines in the MOSFET 180, respectively. The gate of MOSFET 180 is connected to the source and body and is grounded, and the drain is biased to V D.
From FIG. 6B, it is clear that the drain voltage V D is divided and distributed over the entire region. On the other side of FIG. 6B, the equipotential lines are biased to one side, especially around the corners of the trench. This is shown in Figure 6C.
As shown in, electric force lines perpendicular to the equipotential lines are generated. This would make it clear that a strong electric field is generated at the trench corners and rounding does not solve this problem. Electrodes with small surface area, ie basically a volume problem at the point where they terminate at the gate, the lines of electric force are clustered at the corners.

【0013】 図6Dは、ゲートに正の電圧Vを加えることにより、オン状態とされたMO
SFET180を示している。電流がトレンチの側壁を下向きに流れ、同時にト
レンチの底部に沿って広がり、またトレンチの側部からある角度をなしてメサの
下側の領域に広がっていく。しかしながら、この過程において、電流は、図6A
の電界輪郭により示されるように、強い電界を有する領域を流れる。強い電界を
有する部分に大きな電流が流れると、(即ち素子が飽和するような場合には、)
電流キャリアは、epi層の原子と衝突し、運動量の伝達により別のキャリアをは
ねとばす。これは、新たな電子−孔対を発生し、それらは加速され、さらなる衝
突、それによる別の原子のイオン化を引起こす。
FIG. 6D shows that the MO is turned on by applying a positive voltage V G to the gate.
The SFET 180 is shown. Current flows down the sidewalls of the trench and at the same time spreads along the bottom of the trench and at an angle from the sides of the trench to the region below the mesa. However, in this process, the current flow is shown in FIG.
Flows through a region with a strong electric field, as indicated by the electric field contour of When a large current flows in a part with a strong electric field (that is, when the device is saturated)
The current carrier collides with the atom in the epi layer and repels another carrier by the transfer of momentum. This creates new electron-hole pairs, which are accelerated and cause further collisions, thereby ionizing another atom.

【0014】 図6Eは、MOSFET180がオン状態の時のイオン化輪郭を示している。
図6Eに示されたイオン化輪郭は、素子150がオフ状態を表す図4Cに示され
たものとは異なっている。この違いは、イオン化輪郭は、トレンチの側面に沿っ
てその上端に至るまで引き上げられ、Pボディにも達していることである。これ
は、素子に対して様々な破壊的影響を及ぼす。1つの影響は、ゲート酸化物層の
近傍に電子−孔の対を発生し、それらはこの部分における強い電界により容易に
加速される。この対は、ゲート酸化物層内に実際にトラップされ、ゲート酸化物
層を損傷させる可能性がある。
FIG. 6E shows the ionization profile when MOSFET 180 is in the on state.
The ionization profile shown in FIG. 6E is different than that shown in FIG. 4C, where element 150 represents the off state. The difference is that the ionization contour is raised along the sides of the trench to its upper end and also to the P-body. This has various destructive effects on the device. One effect is to generate electron-hole pairs in the vicinity of the gate oxide layer, which are easily accelerated by the strong electric field in this part. This pair can actually get trapped in the gate oxide layer and damage the gate oxide layer.

【0015】 しかも、この現象は素子に加え得る電圧の上限を定める。なぜなら、あまりに
も多くの対が発生するため、トレンチの側壁の周りの領域が実際よりも強くドー
プされたように見せかけることにより、epi層の有効なドープ濃度を変調し始め
るからである。これは、新たに発生した対の電子が、正のドレイン電圧Vによ
り基板内に送り込まれ、孔はPボディに送り込まれることになるためである。そ
の正味の効果は、電子及び孔はある速度をもって移動し得るのみであることから
、局部的な電荷の分布は、電荷が中性となるように自らを調整することになる。
特に、逆バイアス接合を取り囲む領域は、結合領域あるいはスペースチャージ領
域と呼ばれ、(衝突イオン化が存在しない限り、)自由な電荷キャリアが存在し
ない。この空乏領域内の動くことのできない電荷は、すなわち接合のN型側の正
のイオン及び接合のP型側の負のイオンは、接合を横切るように組み込まれた(
built-in)電界を形成する。衝突イオン化が存在しない限り、N型領域内をドリ
フトする孔は、正の固定された電荷に追加され、電界を増強し、さらに衝突イオ
ン化プロセスを促進する。これらの過剰な孔は、この例の場合N型材料からなる
エピ領域を、この組込まれた電界のために実際よりも強くドープされたように見
せかける。その正味の効果は、降伏をさらに劣化させるような電界の増大として
現れる。この効果は、図6Fの電流電圧特性に示されており、ドレイン電流I は、あるドレイン電圧において急激に増大する。これが発生するドレイン電圧は
、示されたゲート電圧のそれぞれについて同一である。この問題は、ゲート酸化
物層が薄くなった場合にいっそう深刻となる。
Moreover, this phenomenon defines the upper limit of the voltage that can be applied to the device. Because too many pairs occur, the region around the trench sidewalls begins to modulate the effective doping concentration of the epi layer by making it appear more heavily doped than it really is. This is because the newly generated pairs of electrons are sent into the substrate by the positive drain voltage V D , and the holes are sent to the P body. The net effect is that the electrons and holes can only move at a certain velocity, so the local charge distribution will adjust itself so that the charge is neutral.
In particular, the region surrounding the reverse-biased junction is called the coupling region or space charge region, where there are no free charge carriers (unless impact ionization is present). The non-movable charge in this depletion region, ie the positive ions on the N-type side of the junction and the negative ions on the P-type side of the junction, are incorporated across the junction (
built-in) An electric field is formed. Unless impact ionization is present, holes that drift in the N-type region add to the positive fixed charge, enhancing the electric field and further promoting the impact ionization process. These excess holes make the epi region, which in this case consists of N-type material, more strongly doped than it actually is due to the built-in electric field. The net effect is an increase in the electric field that further degrades the breakdown. This effect is shown in the current-voltage characteristic of FIG. 6F, and the drain current ID increases rapidly at a certain drain voltage. The drain voltage at which this occurs is the same for each of the gate voltages shown. This problem is exacerbated when the gate oxide layer becomes thinner.

【0016】 トレンチ素子に関連する別の問題としては、キャパシタンスに関連するものが
ある。図7Aは、電流源192により駆動されるゲート及び抵抗負荷194を有
するMOSFET190の模式的ダイヤグラム図である。ソース及びドレインに
接続された電圧源196は、ドレインにおいてドレイン電圧Vが得られるよう
な電圧VDDを供給する。図7B〜7Dに示されるように、時刻tにおいて、
電流源192は、ゲートに一定電流を供給し始め、図7CにおいてVなる符号
が付されたソースに対するゲートの電圧は上昇し始める。しかしながら、すぐに
は閾値に到達しないことから、MOSFET190が依然オンされないことによ
り、ドレイン電圧Vは下降し始めることがない。Vが閾値に到達すると、時
刻tにおいて、MOSFET192が飽和し、オンし、電流を導通させる。V が下降し始めるが、その時にMOSFET192のドレインとゲートとの間の
容量性結合を引き起こし、ゲート電圧Vが上向きに上昇するのを停止させる。
は、MOSFET192がその直線的領域に到達するまで平坦のままである
。次に、MOSFET192は、電圧分割器におけるオン抵抗のように見えるこ
ととなる。この時、MOSFET192の両端の電圧は低く、電圧VDDの多く
が抵抗194に加えられる。
[0016]   Another issue associated with trench devices is that associated with capacitance.
is there. FIG. 7A shows a gate and resistive load 194 driven by a current source 192.
FIG. 3 is a schematic diagram of a MOSFET 190 that operates. For source and drain
The connected voltage source 196 has a drain voltage VDSo that
Voltage VDDTo supply. As shown in FIGS. 7B-7D, time t1At
The current source 192 starts to supply a constant current to the gate, and in FIG.GCode
The voltage on the gate to the source marked with begins to rise. However soon
Does not reach the threshold, so MOSFET 190 is still on.
Drain voltage VDNever begins to fall. VGReaches the threshold,
Tick tTwoAt, MOSFET 192 saturates and turns on, conducting current. V D Begins to fall, but at that time between the drain and gate of MOSFET 192
Causes capacitive coupling and gate voltage VGStops ascending upwards.
VGRemains flat until MOSFET 192 reaches its linear region
. Second, MOSFET 192 looks like an on-resistance in a voltage divider.
And At this time, the voltage across the MOSFET 192 is low and the voltage VDDmany
Is added to resistor 194.

【0017】 この時点において、ゲートとドレインとの間の容量性結合の効果が満たされ、
がより高い電圧に向かって上昇する。平坦部は、ミラー効果に類似するゲー
ト−ドレインオーバーラップキャパシタンスによるものであるが、これは小さな
信号に対する影響ではない。これは大きな信号に対する影響である。この時点に
おいて、ドレイン電流Iは上昇し続けるが、図7Dに示されるようにその上昇
の速度が減少する。
At this point, the effect of capacitive coupling between the gate and drain is satisfied,
V G rises towards higher voltage. The plateau is due to the gate-drain overlap capacitance, which is similar to the Miller effect, but this is not the effect for small signals. This is the effect on large signals. At this point, the drain current I D continues to rise, but the rate of its rise decreases as shown in FIG. 7D.

【0018】 図7Eは、ゲート9Dにおける電荷の関数としてのVのプロットを示す。こ
こでQはI×tの積に等しく、ここでIは定数である。ゲート電圧は、あ
るレベルまで上昇し、そのレベルで一定となり、やがて再び上昇する。ドレイン
とゲートとの間にフィードバック容量が存在しなければ、電圧は直線的に上昇す
ることになるが、実際にはこの直線はやがて平坦部に到達する。
FIG. 7E shows a plot of V G as a function of charge at gate 9D. Where Q G is equal to the product of I G × t, where I G is a constant. The gate voltage rises to a certain level, becomes constant at that level, and then rises again. If there is no feedback capacitance between the drain and gate, the voltage will rise linearly, but in reality this line will eventually reach the plateau.

【0019】 図7Eにおいて、VG1、QG1は、CがΔQ/ΔVに等しいことから、ある
容量に対応する。QG2、VG1なる点に到達するためにはより多くの電荷が必
要となることから、この点はより大きな容量に対応する。そこで、図7Fに示さ
れるように、素子の容量は、比較的一定な小さな値CISSから開始し、より高
い有効な値C(eff)にジャンプし、さらにその後は比較的一定となる。この
ような効果のため、素子はそのスイッチング変化の際に、好ましいよりも大きな
有効静電容量を有することとなる。そのため、素子をオンにさせるために不当に
多くのエネルギーが消費される。
In FIG. 7E, V G1 and Q G1 correspond to a certain capacitance because C is equal to ΔQ / ΔV. This point corresponds to a larger capacitance, because more charge is needed to reach the point Q G2 , V G1 . So, as shown in FIG. 7F, the capacitance of the device starts from a relatively constant small value C ISS , jumps to a higher effective value C G (eff), and then becomes relatively constant. This effect results in the device having a larger than desirable effective capacitance during its switching changes. Therefore, unnecessarily large amount of energy is consumed to turn on the device.

【0020】 図7Gに示されるように、入力容量は、ゲート−ソース容量CGS及びゲート
−ボディ容量CGBを含む様々な成分を有している。これら2つの容量成分は、
いずれもゲート−ドレイン容量を増幅する働きを有していない。ゲート−ドレイ
ン容量CGDが図7Gに示されており、これはトレンチの底部及び側壁の部分に
形成されるものである。等価回路が図7Hに示されている。CGDがCGS及び
GBと同程度のオーダーであったとしても、電気的には5ないし10倍程度さ
らに大きい。なぜならスイッチング過程の間に増幅されるからである。
As shown in FIG. 7G, the input capacitance has various components including a gate-source capacitance C GS and a gate-body capacitance C GB . These two capacitive components are
Neither has a function of amplifying the gate-drain capacitance. The gate-drain capacitance C GD is shown in FIG. 7G, which is formed at the bottom and sidewalls of the trench. The equivalent circuit is shown in Figure 7H. Even though C GD is of the same order as C GS and C GB , it is electrically 5 to 10 times larger. Because it is amplified during the switching process.

【0021】 上記したように、トレンチの底部に丸味をつけることは、ゲート酸化物層に対
する損傷を押さえる働きがある。しかしながら、これは問題の完全なる解決とは
言えない。図8A〜図8Cは、丸味がつけられたコーナーを有するトレンチを形
成するための方法を示している。図8Aにおいて、小さな反応イオン202が、
表面におけるマスク200に設けられた開口からシリコンをエッチングする。イ
オン202は、電界により下向きに加速され、それらは概ねまっすぐな側壁を有
するトレンチをエッチングする。トレンチがある深さに到達すると、図8Bに示
されるように電界が緩和される。あるいは、化学的成分を変更することもできる
。プロセスの終了時に、図8Cに示されるように、エッチングイオンが様々な方
向に移動するように電界が変更される。これは、トレンチを広げ始めるばかりで
なく、底部に丸味をつけることになる。非等方性は、トレンチの側壁のエッチン
グ過程の副産物としてポリマーの形成による影響も受ける。化学成分が、ポリマ
ーを、形成されると同時に除去するものであれば、エッチングはより等方性を発
揮することになる。ポリマーが側壁上に残されると、トレンチは底部においての
みエッチングされることになる。
As mentioned above, rounding the bottom of the trench serves to prevent damage to the gate oxide layer. However, this is not a complete solution to the problem. 8A-8C illustrate a method for forming a trench having rounded corners. In FIG. 8A, small reactive ions 202 are
The silicon is etched through the openings provided in the mask 200 on the surface. The ions 202 are accelerated downward by the electric field, causing them to etch trenches with generally straight sidewalls. When the trench reaches a certain depth, the electric field is relaxed as shown in FIG. 8B. Alternatively, the chemical composition can be changed. At the end of the process, the electric field is modified to move the etching ions in various directions, as shown in FIG. 8C. This not only begins to widen the trench, but also rounds the bottom. Anisotropy is also affected by the formation of polymers as a byproduct of the trench sidewall etching process. If the chemical component is one that removes the polymer as it is formed, the etching will be more isotropic. If the polymer is left on the sidewalls, the trench will only be etched at the bottom.

【0022】 図9A〜9Dは、図9Aに示されるようにマスク210を形成し、図9Bに示
されるようにトレンチ212をエッチングし、図9Cに示されるようにトレンチ
の壁上に酸化物層214を形成し、この酸化物層は(犠牲酸化と呼ばれる手法に
より)欠陥を除去するために一旦除去した後に再び成長させることができる、そ
して図7Dに示されるようにポリシリコン層216によりトレンチを埋める。
9A-9D form a mask 210 as shown in FIG. 9A, etch a trench 212 as shown in FIG. 9B, and an oxide layer on the walls of the trench as shown in FIG. 9C. 214, the oxide layer can be removed and then grown again to remove the defects (by a technique called sacrificial oxidation), and the polysilicon layer 216 allows trenches to be formed as shown in FIG. 7D. fill in.

【0023】 図10A、10Fは、トレンチMOSFETを形成するための一般的な方法を
示している。このプロセスは、図10Aに示されるようにN+基板222上にN
エピタキシャル層220を成長させることにより開始される。図9A〜9Cに示
されるような方法などを用いることにより、ポリシリコンが充填されたトレンチ
224が図10Bに示されるようにNepi層220内に形成される。表面は、こ
のプロセスの間に、表面酸化物層がどのように形成されるかに応じて、平坦であ
っても平坦でなくてもよい。次に、P−ボディ226が導入されるが、Pボディ
226は、図10Cに示されるようにトレンチ224を形成する前に導入するこ
ともできる。これらのプロセスのいずれも、実際の製造過程に適用可能であるが
、エッチング過程がP−ボディにおけるドーピング濃度に対して影響を及ぼすこ
とから、まずトレンチを形成するのが好ましい。次に、表面にマスクが設けられ
、N+ソース領域228が注入される(図12)。オプションとしての浅いP+
領域230が、Pボディと金属層との間のオーミックコンタクト等に注入され、
その後被着される。P+領域230は、図10Eに示されるように、領域の全体
にわたって酸化物層232を被着し、それを、コンタクトマスクを形成するよう
に、エッチングすることにより設けられた開口から注入することにより形成され
る。コンタクトマスクは、P+領域232を画定するために用いても用いなくて
もよい。最後に、N+ソース領域228及びP+領域230(図10F)にコン
タクトするために表面上に金属層234が被着される。
10A and 10F show a general method for forming a trench MOSFET. This process produces N on N + substrate 222 as shown in FIG. 10A.
It begins by growing the epitaxial layer 220. A polysilicon-filled trench 224 is formed in the Nepi layer 220 as shown in FIG. 10B, such as by using the method shown in FIGS. 9A-9C. The surface may or may not be flat, depending on how the surface oxide layer is formed during this process. Next, P-body 226 is introduced, but P-body 226 can also be introduced prior to forming trench 224 as shown in FIG. 10C. Although any of these processes can be applied to the actual manufacturing process, it is preferable to first form the trench because the etching process affects the doping concentration in the P-body. Next, a mask is provided on the surface and N + source regions 228 are implanted (FIG. 12). Shallow P + as an option
The region 230 is implanted into an ohmic contact or the like between the P body and the metal layer,
Then it is put on. The P + region 230 is deposited by depositing an oxide layer 232 over the entire region, as shown in FIG. 10E, and then implanting it through an opening provided by etching to form a contact mask. It is formed. The contact mask may or may not be used to define P + region 232. Finally, a metal layer 234 is deposited on the surface to contact the N + source region 228 and P + region 230 (FIG. 10F).

【0024】 (発明の開示) 本発明によると、トレンチを囲む半導体材料からゲート電極を分離する誘電体
層を有し、この誘電層の厚さがトレンチ底部の領域でより厚くなっているような
トレンチゲート型半導体素子が形成される。この構造は、トレンチの底部付近、
特にトレンチ底部とトレンチ側壁の境界であるコーナーまたは丸められた部分に
おける電界強度の低減に寄与するとともに、静電容量の低減に寄与する。
DISCLOSURE OF THE INVENTION According to the present invention, it is possible to have a dielectric layer separating the gate electrode from the semiconductor material surrounding the trench, such that the thickness of this dielectric layer is thicker in the region of the trench bottom. A trench gate type semiconductor device is formed. This structure is near the bottom of the trench,
In particular, it contributes to the reduction of the electric field strength at the corner or the rounded portion which is the boundary between the trench bottom and the trench side wall, and also contributes to the reduction of the electrostatic capacitance.

【0025】 いくつかのプロセスがこの構造を形成するのに使用される。あるプロセスは以
下のステップを含む。まず、エッチングにより半導体材料にトレンチが形成され
る。続いて、誘電体材料の方向性被着が行われ、誘電体材料がトレンチ底部など
の水平面にのみ選択的に被着するようにする。これは、成膜チャンバ(例えば、
化学蒸着またはスパッタリングチャンバ)内に電界を生成し、誘電体の荷電イオ
ンが半導体材料に向かって加速されるようにすることによってなされる。トレン
チにはゲート電極を成す導電性材料が充填される。方向性被着の後、トレンチの
側壁に被着した誘電体があればそれを除去することができ、トレンチの側壁に通
常の誘電体層を成長させることができる。多くのプロセスにおいて、誘電体材料
は二酸化シリコンであり、導電性材料はポリシリコンである。
Several processes are used to form this structure. One process includes the following steps. First, a trench is formed in the semiconductor material by etching. Subsequently, a directional deposition of the dielectric material is performed so that the dielectric material is selectively deposited only on horizontal surfaces such as trench bottoms. This is the deposition chamber (eg,
This is done by creating an electric field in a chemical vapor deposition or sputtering chamber) so that the charged ions of the dielectric are accelerated towards the semiconductor material. The trench is filled with a conductive material forming the gate electrode. After directional deposition, any dielectric deposited on the sidewalls of the trench can be removed and a conventional dielectric layer can be grown on the sidewalls of the trench. In many processes, the dielectric material is silicon dioxide and the conductive material is polysilicon.

【0026】 あるプロセスでは、導電性材料は、半導体材料の表面と概ね同一平面上となる
レベルまでエッチバックされ、誘電体材料の表面上に誘電体層が被着される。一
変形例では、好ましくはトレンチ内へとエッチバックされた後、導電性材料(例
えばポリシリコン)は酸化されて酸化物層を形成する。導電性材料の酸化はその
酸化物自身がゲート電極を絶縁するのに十分なものとなるような厚さでなすこと
ができるが、別の導電性材料(例えばガラス)を酸化された導電性材料上に被着
することもできる。
In one process, the conductive material is etched back to a level that is generally coplanar with the surface of the semiconductor material and a dielectric layer is deposited on the surface of the dielectric material. In one variation, the conductive material (eg, polysilicon) is oxidized to form an oxide layer, preferably after being etched back into the trench. Oxidation of the conductive material can be made to a thickness such that the oxide itself is sufficient to insulate the gate electrode, but another conductive material (eg glass) is oxidized to the conductive material. It can also be applied over.

【0027】 別の変形実施態様では、ゲート電極を形成する導電性材料の被着は2段階でな
される。
In another variant embodiment, the deposition of the conductive material forming the gate electrode is done in two steps.

【0028】 別の方法では、誘電体材料の選択的被着の後、フォトレジストなどのマスキン
グ材料が施される。このマスキング材料はトレンチ底部を除く全ての場所から除
去され、そうしてトレンチは側壁から誘電体材料を除去するべくエッチングまた
はディッピング処理される。その後トレンチの側壁には誘電体層が形成される。
In another method, a masking material such as photoresist is applied after selective deposition of the dielectric material. This masking material is removed everywhere except the bottom of the trench, and the trench is then etched or dipped to remove the dielectric material from the sidewalls. A dielectric layer is then formed on the sidewalls of the trench.

【0029】 さらに別の実施態様では、誘電体の方向性被着の後に、酸化されて誘電体を形
成することのできる例えばポリシリコンのような材料が被着され、さらに、その
材料の一部だけがトレンチ底部の誘電体上に残るようになるまでエッチバックさ
れる。その後この材料は酸化され、トレンチ底部において一層厚い誘電体層を形
成する。
In yet another embodiment, the directional deposition of the dielectric is followed by deposition of a material, such as polysilicon, that can be oxidized to form the dielectric, and a portion of that material. Only etch back until only the dielectric remains on the bottom of the trench. This material is then oxidized to form a thicker dielectric layer at the bottom of the trench.

【0030】 別のいくつかの実施態様では、誘電体材料の方向性被着を行わない。代わりに
、酸化されて誘電体を形成することのできる例えばポリシリコンのような材料を
被着し、さらに、その材料の一部のみがトレンチ底部の誘電体上に残るようにな
るまでエッチバックする。
In some other embodiments, there is no directional deposition of dielectric material. Instead, a material, such as polysilicon, that can be oxidized to form a dielectric is deposited and then etched back until only a portion of that material remains on the dielectric at the bottom of the trench. .

【0031】 本発明に基づくプロセスは、トレンチをトレンチ間の「メサ」の上面へのコン
タクトと自己整列させるプロセスを含むことができる。窒化シリコンなどの材料
からなる「硬い」層がトレンチマスクとして使用される。この硬いマスクは、誘
電体層が好ましくはポリシリコンゲートの酸化によってゲート電極の上面に形成
されるまで、所定の位置に留まる。その後この硬いマスクは除去され、メサの上
面全体を露出し、金属層によってそこへのコンタクトが形成できるようにする。
The process according to the present invention may include a process of self-aligning the trenches with contacts to the top surface of the “mesas” between the trenches. A "hard" layer of material such as silicon nitride is used as the trench mask. This hard mask remains in place until a dielectric layer is formed on the top surface of the gate electrode, preferably by oxidation of the polysilicon gate. The hard mask is then removed, exposing the entire top surface of the mesa and allowing the metal layer to make contact thereto.

【0032】 本発明のプロセスは、ゲート電極と半導体メサの間の短絡を防ぐため、トレン
チの上部コーナー付近に側壁スペーサを使用してもよい。トレンチマスクが被着
され、トレンチの位置を定める開口がトレンチマスクに形成された後、窒化シリ
コンなどの「硬い」材料からなる層、及び所望に応じて上部被覆酸化物が、トレ
ンチマスクの開口に等方性被着される(isotropically deposited)。「硬い」
材料はトレンチマスクの露出したエッジに被着される。続いて、エッチングが行
われ、その後、開口の中央部では半導体材料の表面が露出するが、トレンチマス
クの側縁部においては被着された誘電体が残り、側壁スペーサを形成する。トレ
ンチは続いてエッチングされる。誘電体側壁スペーサは、その後形成されるゲー
ト電極とメサ中の半導体材料との間の絶縁を一層高める働きをする。
The process of the present invention may use sidewall spacers near the upper corners of the trench to prevent a short circuit between the gate electrode and the semiconductor mesa. After the trench mask has been deposited and the trench-positioning openings have been formed in the trench mask, a layer of "hard" material, such as silicon nitride, and, if desired, a topcoat oxide is deposited in the trench mask openings. Isotropically deposited. "hard"
Material is deposited on the exposed edges of the trench mask. Subsequently, etching is carried out, after which the surface of the semiconductor material is exposed at the center of the opening, but the deposited dielectric remains at the side edges of the trench mask, forming sidewall spacers. The trench is subsequently etched. The dielectric sidewall spacer serves to further enhance the insulation between the subsequently formed gate electrode and the semiconductor material in the mesa.

【0033】 別のいくつかのプロセスは、「鍵穴」状トレンチを提供する。このトレンチで
は、厚い誘電体層がトレンチの側壁上を上向きに幾分か延在する。トレンチをエ
ッチングした後、比較的厚い酸化物ライニングをトレンチの底部及び側壁上に成
長または被着させる。トレンチにポリシリコンを充填し、このポリシリコンを、
一部のみが酸化物ライニングに被さるようにしてトレンチ底部に残るようになる
まで、エッチバックする。露出した酸化物ライニングはトレンチの側壁から取り
除かれる。次に、ポリシリコンを、その露出した表面に酸化物層が形成されるよ
うに、加熱によって部分的に酸化するが、このとき同じ加熱プロセスにおいて酸
化物層がトレンチの側壁に形成される。続いて、トレンチを酸化物エッチング処
理し、それによってポリシリコンから形成された酸化物層と、トレンチの側壁か
らの酸化物層の幾分かとが除去される。そして、トレンチはポリシリコンで再度
充填され、鍵穴形のゲート電極が得られる。
Some other processes provide “keyhole” shaped trenches. In this trench, a thick dielectric layer extends some upwards over the sidewalls of the trench. After etching the trench, a relatively thick oxide lining is grown or deposited on the bottom and sidewalls of the trench. Fill the trench with polysilicon,
Etch back until only a portion overlies the oxide lining and remains at the bottom of the trench. The exposed oxide lining is removed from the trench sidewalls. The polysilicon is then partially oxidized by heating so that an oxide layer is formed on its exposed surface, with an oxide layer being formed on the sidewalls of the trench in the same heating process. The trench is then oxide etched to remove the oxide layer formed of polysilicon and some of the oxide layer from the sidewalls of the trench. The trench is then refilled with polysilicon to obtain the keyhole shaped gate electrode.

【0034】 鍵穴形ゲート電極を形成するための上記プロセスの変形例では、トレンチの底
部及び側壁に酸化物ライニングが形成された後、フォトレジストなどのマスキン
グ材料の所定量がトレンチ底部の酸化物ライニング上に被着される。そうして、
トレンチの側壁から酸化物ライニングを除去するべく酸化物エッチングがなされ
、トレンチの底部からマスキング材料が取り除かれる。さらに、比較的薄いゲー
ト酸化物層がトレンチの側壁に成長させられ、トレンチはゲート電極を形成する
ポリシリコンなどの導電性材料で充填される。
In a variation of the above process for forming the keyhole-shaped gate electrode, after the oxide lining is formed on the bottom and sidewalls of the trench, a predetermined amount of masking material such as photoresist is applied to the oxide lining on the trench bottom. Covered on. And then
An oxide etch is performed to remove the oxide lining from the sidewalls of the trench and the masking material is removed from the bottom of the trench. In addition, a relatively thin gate oxide layer is grown on the sidewalls of the trench and the trench is filled with a conductive material such as polysilicon forming the gate electrode.

【0035】 (発明を実施するための最良の形態) MOSFETのゲートとドレインの間の相互作用に関係する問題は、それらの
間のカップリングキャパシタンスを減少させることで部分的に解決することがで
きる。本発明に於いて、それはトレンチ底部でゲート酸化物層を厚くすることで
行われる。図11-27は厚いゲート酸化物をトレンチ底部に形成するための構
造及び作業順序を示す。
BEST MODE FOR CARRYING OUT THE INVENTION The problems associated with the interaction between the gate and drain of a MOSFET can be partially solved by reducing the coupling capacitance between them. . In the present invention, it is done by thickening the gate oxide layer at the bottom of the trench. 11-27 show the structure and sequence of steps for forming a thick gate oxide at the bottom of the trench.

【0036】 図11Aは基板240上で成長するエピタキシャル("epi")の層242を示し
ている。トレンチ250はepi層242中に形成される。ゲート酸化物層244
はトレンチ250の壁に沿っており、ゲート酸化物の層244の厚い部分246
はトレンチ250の下部に位置している。トレンチ250はポリシリコン248
で満たされる。ポリシリコン248上に酸化物層が存在しないことに注目して欲
しい。図11Aの配列は中間的な構造かもしれず、プロセスの後の段階でポリシ
リコン248上に酸化物の層を形成することができた。ポリシリコン248は、
典型的には高いドーピング濃度でドーピングされる。それは概ね平坦な上面をも
って形成されてもよく、すなわち様々な手段によりシリコンepi表面と平坦にな
る。表面を平坦にする1つの方法は、ポリシリコン層をより大きな厚さに被着さ
せ、次にそれをエッチバックすることである。表面を平坦にする別の方法は、ト
レンチを満たすために必要とされる量よりも厚くポリシリコンを被着させ、化学
的な方法で表面を平坦に磨くことである。平面は、後の製造過程で形成され得る
ステップの高さを減少させるのに望ましい。
FIG. 11A shows an epitaxial (“epi”) layer 242 grown on a substrate 240. The trench 250 is formed in the epi layer 242. Gate oxide layer 244
Runs along the walls of trench 250 and is a thick portion 246 of gate oxide layer 244.
Is located under the trench 250. The trench 250 is polysilicon 248
Filled with. Note that there is no oxide layer on polysilicon 248. The arrangement of FIG. 11A may be an intermediate structure and could form a layer of oxide on polysilicon 248 at a later stage in the process. The polysilicon 248 is
It is typically doped with a high doping concentration. It may be formed with a generally flat top surface, i.e. flattened with the silicon epi surface by various means. One way to planarize the surface is to deposit a polysilicon layer to a larger thickness and then etch it back. Another way to planarize the surface is to deposit more polysilicon than is needed to fill the trench and chemically polish the surface. The flat surface is desirable to reduce the height of steps that may be formed in later manufacturing processes.

【0037】 図11Bはポリシリコン層248上に酸化物の層252を伴った構造を示す。
酸化物層252の側縁がトレンチ250の壁に対応していないので、酸化物層2
52はマスク及びエッチング段階で大部分が形成されても良い。酸化物層252
は、(例えば化学蒸着で)被着されるか、熱成長されるか、若しくはそれらのい
くつかの組み合わせであっても良い。図11Cは、出願番号09/296,959
(ここで言及したことで本出願の一部とする)に従って成長する酸化物層254を
示す。酸化物の層254の側面は一般的にトレンチ250の壁と共に並び、酸化
物層254はトレンチ250を下に向かって延在する。ポリシリコン層248は
トレンチ250中にこのように埋め込まれる。図11B及び11Cの実施例は、
ともにトレンチ底部に厚いゲート酸化物領域246を有する。
FIG. 11B shows a structure with a layer of oxide 252 on polysilicon layer 248.
Since the side edges of the oxide layer 252 do not correspond to the walls of the trench 250, the oxide layer 2
Most of 52 may be formed by masking and etching. Oxide layer 252
May be deposited (eg by chemical vapor deposition), thermally grown, or some combination thereof. FIG. 11C shows application number 09 / 296,959.
Shown is an oxide layer 254 grown according to (herein incorporated by reference). The sides of oxide layer 254 are generally aligned with the walls of trench 250, and oxide layer 254 extends down trench 250. Polysilicon layer 248 is thus buried in trench 250. The example of FIGS. 11B and 11C is
Both have a thick gate oxide region 246 at the bottom of the trench.

【0038】 図12は、本発明によるゲートトレンチの製造に使用することができる複数の
プロセスの流れの概略図である。これらのプロセスの流れの詳細については、図
13-20に示されている。図12は、フォトレジストマスク若しくは硬いマス
クを用いてトレンチを形成し、続いて方向性酸化物被着を行い、それを選択的エ
ッチング、ディップバック(dipback)または選択的酸化のいずれかで平坦化す
ることがブロック図の形式で示している。選択的酸化は方向性被着なしに使用す
ることができる。最後にトレンチは、1段階若しくは2段階プロセスを用いてポ
リシリコンで満たされる。
FIG. 12 is a schematic diagram of a number of process flows that can be used to fabricate a gate trench according to the present invention. Details of the flow of these processes are shown in Figures 13-20. FIG. 12 shows a trench is formed using a photoresist mask or a hard mask, followed by a directional oxide deposition, which is planarized by either selective etching, dipback or selective oxidation. This is shown in block diagram form. Selective oxidation can be used without directional deposition. Finally, the trench is filled with polysilicon using a one-step or two-step process.

【0039】 更に詳しくは、図12の左側で開始されるトレンチ形成のための2つの選択肢
が存在する。図13-18に示される1つの選択肢では、トレンチは後で取り除
かれるマスクを使用して形成され、従ってこのマスクは他の処理過程に対しては
基準として利用できない。別の選択肢は、上記した出願番号09/296959
に説明されているように、トレンチを形成するのに“硬い”マスクを使用するこ
とであり、この硬いマスクはプロセスの後の方でも基準として用いられる。この
選択肢については概ね図19と20で説明する。トレンチが形成された後、通常
、犠牲酸化物層をトレンチの壁上に成長させ、その後取り除く。酸化物のライニ
ングが次にトレンチの壁に形成されてもよい。この段階はシリコン上面の硬いマ
スクの有無にかかわらず、その壁に一様な酸化物層を有するトレンチを生ずる。
More specifically, there are two options for trench formation starting on the left side of FIG. In one option, shown in FIGS. 13-18, the trenches are formed using a mask that is later removed, so that this mask cannot be used as a reference for other processing steps. Another option is the above-mentioned application number 09/296959.
The use of a "hard" mask to form the trenches, as described in, is also used as a reference later in the process. This option is generally described in Figures 19 and 20. After the trench is formed, a sacrificial oxide layer is typically grown on the walls of the trench and then removed. An oxide lining may then be formed on the walls of the trench. This step results in a trench with a uniform oxide layer on its walls, with or without a hard mask on top of the silicon.

【0040】 次に、いわゆる方向性誘電体被着に進んでもよい。方向性誘電体被着によって
、トレンチの底部にトレンチの側壁より多くの酸化物が被着される。次に3つの
選択肢が存在する。図16に示されるように選択的エッチバックが実行可能であ
り、厚い酸化物がトレンチ下部に残り、トレンチの側壁からは酸化物を除去する
ことが可能となる。図13-15に示されるように、一つの方法ではトレンチの
側壁から酸化物の層を取り除くために“ディップバック(dipback)"を実行しう
る。最終的に、図17A及び18で示されるように、1つの方法では、ポリシリ
コン層がトレンチの底部で形成され次にトレンチの底部で追加的酸化物を形成す
るために酸化されるような選択的酸化を実行することができる。ポリシリコン層
の選択的酸化は、方向性誘電体被着に加えてまたはその代わりに実行することが
できる。
The so-called directional dielectric deposition may then proceed. Directional dielectric deposition deposits more oxide at the bottom of the trench than at the sidewalls of the trench. Then there are three options. Selective etchback can be performed as shown in FIG. 16, leaving a thick oxide at the bottom of the trench and removing the oxide from the sidewalls of the trench. As shown in Figures 13-15, one method may perform a "dipback" to remove the oxide layer from the trench sidewalls. Finally, as shown in FIGS. 17A and 18, one method is to select the polysilicon layer to be formed at the bottom of the trench and then oxidized to form additional oxide at the bottom of the trench. Oxidation can be carried out. Selective oxidation of the polysilicon layer can be performed in addition to or instead of directional dielectric deposition.

【0041】 プロセスのこの段階において、厚い酸化物の層が下部にあるトレンチが形成さ
れる。半導体の上面に“硬い”マスクがある場合もない場合もある。次に、薄い
酸化物層がトレンチの壁面で成長し、トレンチがポリシリコンで満たされる。ポ
リシリコンは1層構造で被着されても良く、若しくは被着の間にエッチバックを
伴って2層で被着されても良い。ポリシリコンを2段階のプロセスで被着するこ
とは、トレンチの間の“メサ”へドーパントを導入し、より低濃度にドープされ
たポリシリコン層がウエハの表面に得られるようにし、ダイオード、抵抗及び他
のポリシリコンデバイスを形成する上で有益であり得る。
At this stage of the process, a trench with a thick oxide layer underneath is formed. The top surface of the semiconductor may or may not have a "hard" mask. Next, a thin oxide layer is grown on the walls of the trench, filling the trench with polysilicon. The polysilicon may be deposited in a single layer structure or it may be deposited in two layers with etchback between depositions. Deposition of polysilicon in a two-step process introduces dopants into the "mesas" between the trenches, resulting in a lighter doped polysilicon layer on the surface of the wafer, diode, resistance. And may be beneficial in forming other polysilicon devices.

【0042】 最後に、ガラス層が被着され、コンタクト開口がガラス層に形成される。[0042]   Finally, a glass layer is applied and contact openings are formed in the glass layer.

【0043】 図13A-13Nは、酸化物の“ディップバック”法を用いるプロセスを表す
。プロセスは基板260上に形成されたepi層262から始まる。マスク層26
4はepi層262の上面に形成され、トレンチが形成される開口を備える。マス
ク層264はフォトレジスト若しくは複数のその他の材料でも良く、それらは酸
化物層262の上部に形成されてもよい。トレンチ268が、図13Aに示され
るように従来のプロセスを用いて形成される。
13A-13N represent a process using the oxide “dipback” method. The process begins with epi layer 262 formed on substrate 260. Mask layer 26
4 is formed on the upper surface of the epi layer 262 and has an opening in which a trench is formed. The mask layer 264 may be photoresist or some other material, which may be formed on top of the oxide layer 262. Trenches 268 are formed using conventional processes as shown in Figure 13A.

【0044】 図13Bでは、犠牲酸化物層270がトレンチの表面に形成される。そして図
13Cに示されるように犠牲酸化物層270は取り除かれる。犠牲酸化物層27
0は100オングストロームから1000オングストロームの厚さであって良く
、典型的には300オングストロームのオーダの厚さであってよい。通常、酸化
環境で10分から5時間、800度から1100度で加熱することで構造が形成
され得る。周辺環境は酸素、若しくは酸素及び水素のいずれかであり得る。周囲
が酸素と水素の組み合わせならば、反応は水蒸気を発生させ、これが酸化物の性
状及び成長率に影響し得ることから、それは“ウエット”酸化であると考えられ
る。
In FIG. 13B, a sacrificial oxide layer 270 is formed on the surface of the trench. The sacrificial oxide layer 270 is then removed as shown in Figure 13C. Sacrificial oxide layer 27
0 may be 100 angstroms to 1000 angstroms thick, and may typically be on the order of 300 angstroms thick. Generally, the structure may be formed by heating at 800 ° C to 1100 ° C for 10 minutes to 5 hours in an oxidizing environment. The ambient environment can be either oxygen or oxygen and hydrogen. If the environment is a combination of oxygen and hydrogen, the reaction produces water vapor, which is considered to be "wet" oxidation because it can affect the properties and growth rate of the oxide.

【0045】 その後、任意に酸化ライニング272がトレンチ268上に形成される。ライ
ニング272は、100オングストロームから600オングストロームの範囲の
厚みを有し得る。ライニング272は、被着される酸化物が、荷電状態にある可
能性があるシリコンに直接接触するのを防止する。この問題は、特にシリコンと
被着酸化物との間の境界面に生じ得る。トレンチの壁上のきれいな酸化物の層の
追加により、電荷状態が減少する。
Thereafter, an optional oxide lining 272 is formed over trench 268. The lining 272 may have a thickness in the range of 100 Å to 600 Å. The lining 272 prevents the deposited oxide from making direct contact with silicon, which may be in a charged state. This problem can occur especially at the interface between the silicon and the deposited oxide. The addition of a clean oxide layer on the walls of the trench reduces the charge state.

【0046】 図13Eに示されるように、電界がepi層262の表面の上に適用され、誘電
体イオンが形成され、それが電界によってトレンチ268へ下向きに向けられる
。望ましくは、プラズマCVD(plasma-enhanced chemical vapor deposition
)チャンバーがこのプロセスに用いられる。電界が誘電体イオンを下向きに加速
するので、それらはトレンチ268の底部を含む水平面に優先的に被着する。酸
化物の化学蒸着は、酸素とシラン、ジクロロシラン、若しくは四塩化珪素との気
体状態での化学反応を含む。酸素のソースとしては通常nitreous酸化物があげら
れ、シランはシリコンのソースである。プラズマCVD機器は、Novellus Syste
ms及びApplied Materialsのような会社のものが利用可能である。
As shown in FIG. 13E, an electric field is applied over the surface of epi layer 262 to form dielectric ions, which are directed downward into trench 268 by the electric field. Desirably, plasma CVD (plasma-enhanced chemical vapor deposition)
) The chamber is used for this process. As the electric field accelerates the dielectric ions downward, they preferentially deposit in the horizontal plane, including the bottom of trench 268. Chemical vapor deposition of oxides involves the chemical reaction of oxygen with silane, dichlorosilane, or silicon tetrachloride in the gaseous state. The source of oxygen is usually nitreous oxide, and silane is the source of silicon. Plasma CVD equipment is Novellus Syste
Companies such as ms and Applied Materials are available.

【0047】 方向性を有する被着を達成する別の方法は、酸化物でコーティングされたター
ゲットよりウエハへ酸化フィルムをスパッタリングすることである。スパッタリ
ングは運動量輸送プロセスであるので、被着は直線的に起こる。
Another method to achieve directional deposition is to sputter an oxide film onto the wafer from an oxide coated target. Deposition occurs linearly because sputtering is a momentum transport process.

【0048】 図13Fに示されるプロセスの結果、トレンチ268の内部及び外部に酸化物
層270が形成される。酸化物層270は、トレンチ268の側壁よりも底部で
厚いことに注目して頂きたい。それはepi層262の平坦な表面上でもまたより
厚い。化学蒸着以外の例えばスパッタリングの様なプロセスもまた酸化物層27
0の生成に用いられ得る。
As a result of the process shown in FIG. 13F, an oxide layer 270 is formed inside and outside the trench 268. Note that oxide layer 270 is thicker at the bottom than at the sidewalls of trench 268. It is also thicker on the flat surface of epi layer 262. Processes other than chemical vapor deposition, such as sputtering, are also suitable for oxide layer 27.
It can be used to generate 0.

【0049】 層270は、例えばリン被着ガラス若しくは硼素リンシリコンガラスのような
酸化物以外の材料からも形成することができる。また、それは低い誘電率Kを有
する高分子やポリイミドの様な他の材料から成ることもできる。誘電率を低下さ
せるべく、層270中に気泡を導入しても良い。
Layer 270 can also be formed from materials other than oxides, such as phosphorous deposited glass or boron phosphorous silicon glass. It can also consist of other materials such as polymers or polyimides with a low dielectric constant K. Bubbles may be introduced into layer 270 to reduce the dielectric constant.

【0050】 図13Gでは、トレンチ268の側壁部分を取り除くため酸化物層270がエ
ッチバックされ、ディップ(dip)バックされている。酸化物層270の底部分
274がトレンチ268の底部に残る。図13Hに示されるように、トレンチ2
68の側壁に薄い酸化物層276を形成するべく、そのとき構造は加熱される。
ポリシリコン層278は、次にトレンチ268を満たし、構造の上面からあふれ
るべく被着される。これは、図13Iに示される。
In FIG. 13G, oxide layer 270 has been etched back and diped back to remove the sidewalls of trench 268. The bottom portion 274 of the oxide layer 270 remains at the bottom of the trench 268. As shown in FIG. 13H, trench 2
The structure is then heated to form a thin oxide layer 276 on the sidewalls of 68.
Polysilicon layer 278 is then deposited to fill trench 268 and overflow the top surface of the structure. This is shown in Figure 13I.

【0051】 図13Jに示されるように、ポリシリコン層278は次にepi層262の上面
と概ね同一表面になるまでエッチバックされる。次に、トレンチの側壁で酸化物
層276を過剰にエッチングしないように注意して、epi層262の表面の酸化
物層270の一部が取り除かれる。このステップの結果は、図13Kに示される
。酸化物層276上でわずかに突出したポリシリコン層278を有することで、
酸化物層276の除去の回避が最適に実行される。図13Lでは, ポリシリコン
層278の上面を含む構造の全体上面が酸化され、酸化物層280を形成する。
As shown in FIG. 13J, polysilicon layer 278 is then etched back until it is substantially coplanar with the top surface of epi layer 262. Next, a portion of the oxide layer 270 on the surface of the epi layer 262 is removed, taking care not to over etch the oxide layer 276 on the sidewalls of the trench. The result of this step is shown in FIG. 13K. By having a slightly protruding polysilicon layer 278 over the oxide layer 276,
The avoidance of the removal of the oxide layer 276 is optimally carried out. In FIG. 13L, the entire top surface of the structure, including the top surface of polysilicon layer 278, is oxidized to form oxide layer 280.

【0052】 図13Mに示されるように、ガラス層282が酸化物層280の表面を覆い、
ガラス層282と酸化物層280は、その後epi層262にコンタクト開口を形
成するべくパターン化されエッチングされ、図13Nで見せられる構造をもたら
す。
A glass layer 282 covers the surface of the oxide layer 280, as shown in FIG. 13M,
The glass layer 282 and oxide layer 280 are then patterned and etched to form contact openings in the epi layer 262, resulting in the structure shown in Figure 13N.

【0053】 図14A-14Fは、図13Iで示された構造より開始される代替プロセスの
流れを示すものである。図14Aは図13Iに対応している。図14Bに示され
るようにポリシリコン層278はエッチングされて、次にポリシリコン層278
の残存部分の上面は、図14Cに示されるように酸化物層290を形成するため
に酸化される。ガラス層292が、次に図14Dに示されるように構造の全体の
表面にわたって被着される。マスク層294がガラス層292の上面に形成され
、図14Fに示されるように、コンタクト開口を形成するべく層270および2
92がエッチングされる。次に、マスク層294が取り除かれる。
14A-14F show an alternative process flow starting with the structure shown in FIG. 13I. FIG. 14A corresponds to FIG. 13I. The polysilicon layer 278 is etched as shown in FIG. 14B, and then the polysilicon layer 278 is etched.
The top surface of the remaining portion of the is oxidized to form an oxide layer 290 as shown in Figure 14C. A glass layer 292 is then deposited over the entire surface of the structure as shown in Figure 14D. A mask layer 294 is formed on top of the glass layer 292 and layers 270 and 2 are formed to form contact openings, as shown in Figure 14F.
92 is etched. Next, the mask layer 294 is removed.

【0054】 図15A-15Fは、図13Iで示される構造で開始される別の代替手段を再
び表す。図15Aは図13Iに対応している。図15Bに示されるように、ポリ
シリコン層278はトレンチの内部のレベルにエッチバックされる。次に、第二
のポリシリコン層300が、図15Cに示されるように全体の構造に被着される
。ポリシリコン層300は次にエッチバックされるが、トレンチの上部のコーナ
ーに於いて酸化物層276の一部が露出しないように注意を必要とする。.結果
として得られる構造は、図15Dに示される。次に酸化物層270が図15Eに
示されるように取り除かれ、酸化物層302が構造の全体表面にわたって形成さ
れる。そして、ガラス層304が酸化物層302の上に被着され、図15Fで示
される構造が得られる。
15A-15F again represent another alternative starting with the structure shown in FIG. 13I. FIG. 15A corresponds to FIG. 13I. As shown in FIG. 15B, the polysilicon layer 278 is etched back to the level inside the trench. A second polysilicon layer 300 is then deposited over the entire structure as shown in Figure 15C. The polysilicon layer 300 is then etched back, but care must be taken not to expose a portion of the oxide layer 276 in the upper corners of the trench. The resulting structure is shown in Figure 15D. The oxide layer 270 is then removed as shown in FIG. 15E, forming an oxide layer 302 over the entire surface of the structure. A glass layer 304 is then deposited on the oxide layer 302, resulting in the structure shown in Figure 15F.

【0055】 図16A-16Eは図13Fの構造から始まる代替プロセスを表す。図16A
は図13Fに対応している。この構造を覆うようにフォトレジスト層が形成され
、成長され、すすがれ(rinsed)、それによって構造の上面のフォトレジスト層
は取り除かれ、トレンチ268の底部に残るようにされる。これは、トレンチ2
68の底部からはフォトレジストが除去されにくいという事実を利用したもので
ある。トレンチ268の底部にフォトレジスト層310の残存部分を有する、結
果として生じる構造が図16Bで示される。酸化物エッチングが、次にトレンチ
268の側壁から酸化物層270の一部分を取り除くべく実行される。そののち
徹底的なすすぎがフォトレジスト310を取り除くべく働き、図16Cで示され
る構造を提供することとなる。次に、構造はトレンチの側壁に薄い酸化物層31
2を形成するために酸化され、トレンチは図16D及び16Eに示されるように
ポリシリコン層314で満たされる。図15A-15Cに示されるように、二段
階のポリシリコン被着を実行されても良い。
16A-16E represent an alternative process starting from the structure of FIG. 13F. FIG. 16A
Corresponds to FIG. 13F. A photoresist layer is formed over the structure, grown and rinsed so that the photoresist layer on the top of the structure is removed and left at the bottom of trench 268. This is trench 2
This utilizes the fact that the photoresist is difficult to remove from the bottom of 68. The resulting structure with the remaining portion of photoresist layer 310 at the bottom of trench 268 is shown in Figure 16B. An oxide etch is then performed to remove a portion of oxide layer 270 from the sidewalls of trench 268. A thorough rinse then acts to remove the photoresist 310, providing the structure shown in FIG. 16C. The structure then forms a thin oxide layer 31 on the sidewalls of the trench.
Oxidized to form 2 and the trench is filled with a polysilicon layer 314 as shown in FIGS. 16D and 16E. A two-step polysilicon deposition may be performed, as shown in FIGS. 15A-15C.

【0056】 図17A-17Fは、図13Fで表される構造で開始される更に別の代替実施
例のプロセスを示している。図17Aは図13Fに対応している。図17Bに示
されるように、犠牲ポリシリコン層320は被着される。小さい部分322のみ
がトレンチ268の底部に残るまで、ポリシリコン層320はエッチバックされ
る。そして、ポリシリコン層320の一部322は酸化される。低温ポリシリコ
ン酸化物はシリコンが単結晶と比較して急速に酸化しているので、低温(例えば
、700〜950度)酸化プロセスが使用されている。したがって酸化物はトレ
ンチ268の側壁より高速で部分322に形成される。結果として生じる構造は
、トレンチ268の底部に酸化物層324を備える形で図17Bに示される。酸
化物層270の一部は、図17Eに示されるようにトレンチ268の側壁から取
り除かれ、薄いゲート酸化物層326が図17Fに示されるようにトレンチ26
8の側壁で形成される。
17A-17F illustrate yet another alternative embodiment process starting with the structure depicted in FIG. 13F. FIG. 17A corresponds to FIG. 13F. As shown in FIG. 17B, the sacrificial polysilicon layer 320 is deposited. Polysilicon layer 320 is etched back until only small portion 322 remains at the bottom of trench 268. Then, the part 322 of the polysilicon layer 320 is oxidized. Low temperature (e.g. 700-950 degrees) oxidation processes have been used because low temperature polysilicon oxide oxidizes silicon more rapidly than single crystals. Thus, oxide is formed in portion 322 faster than the sidewalls of trench 268. The resulting structure is shown in FIG. 17B with oxide layer 324 at the bottom of trench 268. A portion of oxide layer 270 has been removed from the sidewalls of trench 268 as shown in FIG. 17E and thin gate oxide layer 326 has been formed in trench 26 as shown in FIG. 17F.
8 side walls.

【0057】 図18A-18Fは、図13Bで示される構造で開始される別の代替のプロセ
スを表す。図18Aは図13Dに対応し、酸化物ライニング272が形成されて
いる。図13Eに示されるような方向性誘電体の被着を利用する代わりに、犠牲
ポリシリコン層330が図18Bに示されるように被着される。図18Cに示さ
れるように、小さい部分332のみがトレンチ268の底部に残るまで, ポリシ
リコン層330がエッチバックされる。そして構造が上述されるように低温の酸
化にかけられ、図18Dに示されるようにポリシリコン部分332を酸化物層3
34に変える。次に図18Eに示されるように、酸化物ライニング272が構造
の側壁と上面から剥離され、ゲート酸化物336がトレンチ268の側壁で成長
する。結果として生じる構造が図18Fで示される。
18A-18F represent another alternative process starting with the structure shown in FIG. 13B. FIG. 18A corresponds to FIG. 13D and has an oxide lining 272 formed. Instead of utilizing directional dielectric deposition as shown in FIG. 13E, sacrificial polysilicon layer 330 is deposited as shown in FIG. 18B. The polysilicon layer 330 is etched back until only a small portion 332 remains at the bottom of the trench 268, as shown in FIG. 18C. The structure is then subjected to low temperature oxidation as described above to remove polysilicon portion 332 into oxide layer 3 as shown in FIG. 18D.
Change to 34. The oxide lining 272 is then stripped from the sidewalls and top of the structure, and the gate oxide 336 is grown on the sidewalls of the trench 268, as shown in FIG. 18E. The resulting structure is shown in Figure 18F.

【0058】 図19A-19Iは上述の出願番号09/296,959で述べられたスーパー
自己整列プロセスを含むプロセスを表している。構造は基板340上で成長する
epi層342中で形成される。薄い酸化物層346がepi層342の表面に形成さ
れ、それは窒化シリコンなどの硬いマスキング材料の層344で覆われている。
図19Aに示されるように、開口が窒化物層344と酸化物層346中でエッチ
ングされている。
19A-19I represent processes including the super self-alignment process described in application Ser. No. 09 / 296,959, supra. The structure grows on the substrate 340
Formed in epi layer 342. A thin oxide layer 346 is formed on the surface of epi layer 342, which is covered with a layer 344 of a hard masking material such as silicon nitride.
As shown in FIG. 19A, openings have been etched in nitride layer 344 and oxide layer 346.

【0059】 図19Bに示されるように、従来のプロセスを使用してトレンチ348がepi
層342中にエッチングされた。犠牲酸化物層(図示せず)トレンチ348の壁
に形成され、取り除かれる。図19Cに示されるように、次に酸化物ライニング
350がトレンチ348の壁面に形成される。図19Dに示されるように図13
Eに関連して上述された種類の方向性被着が働き、酸化物層352が形成される
。図19E及び19Fに示されるように、酸化物層352及び酸化物ライニング
350の一部はトレンチ348の側壁から取り除かれる。この事は、例えば17
0HFの酸に構造を浸すことで行われる。次にゲート酸化物層356が形成され
、トレンチがポリシリコン層358で満たされる。これらのステップは図19G
及び19Hに示されている。
As shown in FIG. 19B, the trench 348 is epi removed using conventional processes.
Etched in layer 342. A sacrificial oxide layer (not shown) is formed in the walls of trench 348 and removed. An oxide lining 350 is then formed on the walls of the trench 348, as shown in FIG. 19C. As shown in FIG. 19D, FIG.
Directional deposition of the type described above in connection with E works to form oxide layer 352. As shown in FIGS. 19E and 19F, the oxide layer 352 and portions of the oxide lining 350 are removed from the sidewalls of the trench 348. This is, for example, 17
This is done by dipping the structure in 0 HF acid. Gate oxide layer 356 is then formed and the trench is filled with polysilicon layer 358. These steps are shown in Figure 19G.
And 19H.

【0060】 そして、図.19Iに示されるように、ポリシリコン層358は薄い酸化物層
346の表面の上のレベルまでエッチバックされる。図19Jでは、厚い酸化物
層352が窒化物層344上より取り除かれ、ポリシリコン層358がトレンチ
348のエッジで薄い酸化物層356を保護している。次に構造はアニールされ
、ポリシリコン層358の一部が酸化され、図19Kに示されるようにトレンチ
の上側領域で厚い酸化物層360を形成する。最終的には、図19Lに示される
ように窒化物層344は取り除かれる。
The polysilicon layer 358 is then etched back to a level above the surface of the thin oxide layer 346, as shown in FIG. In FIG. 19J, the thick oxide layer 352 has been removed over the nitride layer 344 and the polysilicon layer 358 protects the thin oxide layer 356 at the edges of the trench 348. The structure is then annealed and a portion of polysilicon layer 358 is oxidized to form a thick oxide layer 360 in the upper region of the trench as shown in Figure 19K. Finally, the nitride layer 344 is removed as shown in Figure 19L.

【0061】 図21A-20Fは、一方がアクティブアレイであり、他方がゲートバスの一
部であるような2つのトレンチを伴う2段階のポリシリコンプロセスを示してい
る。プロセスは、ポリシリコン層388がトレンチの374Aと374Bを満た
している状態で、図19Hに示されたポイントで開始する。トレンチの374A
と374Bの底部で、厚い酸化物層384が形成された。窒化けい素層374が
、epi層372表面を覆い、窒化物層374は酸化物層382で覆われている。
21A-20F show a two-step polysilicon process with two trenches, one of which is the active array and the other is part of the gate bus. The process begins with the polysilicon layer 388 filling the trenches 374A and 374B at the point shown in FIG. 19H. 374A of trench
A thick oxide layer 384 was formed at the bottom of and 374B. A silicon nitride layer 374 covers the epi layer 372 surface and a nitride layer 374 is covered with an oxide layer 382.

【0062】 ポリシリコン層388は、図20Bに示されるようにエッチバックされ、酸化
物層382は取り除かれる。第二のポリシリコン層390がポリシリコン層38
8上に被着され、窒化物若しくはポリイミドで形成された“硬い”層392が例
えば2番目のポリシリコン層390の上に被着される。結果として生じる構造体
は図20Cに示される。
The polysilicon layer 388 is etched back and the oxide layer 382 is removed, as shown in FIG. 20B. The second polysilicon layer 390 is the polysilicon layer 38.
A "hard" layer 392 of nitride or polyimide is deposited over the second polysilicon layer 390, for example. The resulting structure is shown in Figure 20C.

【0063】 図20Dに示されるように、ポリシリコン層390及び硬い層392はアクテ
ィブアレイ(トレンチ374A)領域よりエッチングされ、ゲートバス(トレン
チ374B)領域中にはそれらの層が残る。構造はトレンチ374Aに於けるポ
リシリコン層388を酸化するべく加熱され、トレンチの上部領域に厚い酸化物
層394を生成する。同時に、酸化物層396が第二のポリシリコン層390の
露出エッジで形成される。この構造は図20Eに示される。
As shown in FIG. 20D, the polysilicon layer 390 and the hard layer 392 are etched from the active array (trench 374A) area, leaving them in the gate bus (trench 374B) area. The structure is heated to oxidize polysilicon layer 388 in trench 374A, producing a thick oxide layer 394 in the upper region of the trench. At the same time, an oxide layer 396 is formed on the exposed edge of the second polysilicon layer 390. This structure is shown in FIG. 20E.

【0064】 最終的には、硬い層374及び392の露出した部分が取り除かれ、図20F
で示される配置を得ることとなる。
Finally, the exposed portions of the hard layers 374 and 392 are removed, and FIG.
You will get the placement shown in.

【0065】 図21A-21E及び22A-22Cは、回避する必要のある二つの問題を示し
ている。図21Aは、トレンチの壁に沿った犠牲酸化物層400、及びepi層の
上面上の 薄い酸化物層404および窒化物層402を示す。図21Bに示され
るように、犠牲酸化物層400の排除プロセスに於いて、薄い酸化物層404の
一部が窒化物層402の下で取り除かれてしまう。この問題の解決法は、酸化物
のオーバーエッチング時間を最小にすること、若しくは15〜90オングストロ
ームほどの可能な限り薄い酸化物層404を用いることである。
21A-21E and 22A-22C illustrate two problems that need to be avoided. FIG. 21A shows a sacrificial oxide layer 400 along the walls of the trench, and a thin oxide layer 404 and nitride layer 402 on top of the epi layer. As shown in FIG. 21B, during the sacrificial oxide layer 400 elimination process, a portion of the thin oxide layer 404 has been removed under the nitride layer 402. The solution to this problem is to minimize the oxide overetch time, or to use the thinnest possible oxide layer 404, such as 15-90 Angstroms.

【0066】 トレンチの底部に於ける厚い酸化物層408の構成を伴う、ゲート酸化物層4
06が形成される場合、ゲート酸化物層406は図21Cに示されるようにトレ
ンチの上部のコーナーを十分には覆わないかもしれない。図21D及び21Eは
、ポリシリコン層412が被着され、素子のアクティブアレイからはエッチバッ
クされた後の構成を示し、トレンチの上部のコーナーでポリシリコン層412と
epi層とを隔離させる薄い酸化物層を示している。
Gate oxide layer 4 with the formation of a thick oxide layer 408 at the bottom of the trench.
If 06 is formed, the gate oxide layer 406 may not fully cover the upper corners of the trench as shown in Figure 21C. 21D and 21E show the structure after the polysilicon layer 412 has been deposited and etched back from the active array of devices, with the polysilicon layer 412 at the upper corners of the trench.
A thin oxide layer is shown separating the epi layer.

【0067】 図22A-22Cは、別の潜在的な問題領域を示す。図22Aは図19Dで示
されるのと同じ段階の素子を表し、方向性被着された厚い酸化物層352を備え
、トレンチの底部に厚い部分354を形成する。図22Bに示されるように、ト
レンチの側壁から酸化物を取り除くプロセスに於いて、薄い酸化物層346の一
部は窒化物層344の下部より除去される。次に、ゲート酸化物層356が成長
し、トレンチの上部コーナーに於ける酸化物層の一部は極度に薄く、このことは
、酸化物の欠陥及びゲートとepi層の間の短絡を導き得る。この問題は図22C
に示されている。ここでも、この解決策は、酸化物のオーバーエッチングを最小
化すること、若しくは代わりに、化学的には等方性エッチングをなすプラズマエ
ッチングを用いることである。
22A-22C illustrate another potential problem area. FIG. 22A represents a device at the same stage as shown in FIG. 19D, with a thick directionally deposited oxide layer 352 forming a thick portion 354 at the bottom of the trench. In the process of removing oxide from the trench sidewalls, a portion of thin oxide layer 346 is removed from underneath nitride layer 344, as shown in FIG. 22B. Next, a gate oxide layer 356 is grown, and some of the oxide layer at the upper corners of the trench is extremely thin, which can lead to oxide defects and shorts between the gate and epi layers. . This problem is illustrated in Figure 22C.
Is shown in. Again, the solution is to minimize oxide overetching, or instead use plasma etching, which is chemically isotropic.

【0068】 図23Aは、図21Eに示されるようにポリシリコンが窒化物層の下に形成さ
れたキャビティを満たす場合に生じる問題を示すものである。ポリシリコン層4
20の一部420Aはトレンチ外部に延在し、epi層に接触するように後に被着
される金属層に短絡してしまう。酸化の間、酸化物422は窒化物オーバハング
の下に充填されたシリコンを侵食しない。従って窒化物を除去すると、ゲートが
露出し、ソース金属に短絡することとなる。図23Bはポリシリコン層の一部4
20Bが酸化物によって主たるポリシリコン層420より隔絶される変形例を表
す。図23Cはポリシリコン層420が上に突出したスパイク420Cを形成す
る事例を示し、それによってゲートポリシリコン層420と後に被着される金属
層との間で短絡が生じやすくなっている。やはり、窒化物の下を満たすポリシリ
コン層は、酸化の後も残されており、ゲート−ソース間の短絡の可能性がある。
FIG. 23A illustrates the problem that occurs when polysilicon fills the cavity formed under the nitride layer as shown in FIG. 21E. Polysilicon layer 4
A portion 420A of 20 extends out of the trench and shorts to a subsequently deposited metal layer to contact the epi layer. During oxidation, oxide 422 does not attack the silicon that fills under the nitride overhang. Therefore, removal of the nitride exposes the gate and shorts to the source metal. FIG. 23B shows a part 4 of the polysilicon layer.
20B illustrates a variation where 20B is isolated from the main polysilicon layer 420 by an oxide. FIG. 23C illustrates the case where the polysilicon layer 420 forms an upwardly protruding spike 420C, which tends to cause a short circuit between the gate polysilicon layer 420 and a subsequently deposited metal layer. Again, the polysilicon layer that fills under the nitride remains after oxidation and is a potential gate-source short.

【0069】 図23Dは、短絡の生じた素子のゲートI-V特性を示す。抵抗が小さい場合は
「ハード」短絡.と呼ばれる。図23Eは「ソフト」またはダイオード的短絡の
特性を示している。金属がポリシリコンゲートの上面に直接接触するハード短絡
とは異なり、ダイオード的な短絡は図23Fに示されるゲートバス領域中で発生
し得る。このタイプの動作不良では、ポリシリコンがシリコンメサに触れるよう
なところには全てN+領域またはプルームがPボディ中にドープされ、それによ
って図23Gに模式的に示すように寄生ダイオード及びMOSFETが形成され
ている。
FIG. 23D shows the gate IV characteristics of the device in which the short circuit occurred. If the resistance is low, it is called a "hard" short circuit. FIG. 23E shows the characteristics of a "soft" or diode-like short circuit. Unlike hard shorts, where metal directly contacts the top surface of the polysilicon gate, diode-like shorts can occur in the gate bus region shown in Figure 23F. In this type of malfunction, N + regions or plumes are doped into the P body wherever the polysilicon touches the silicon mesas, thereby forming parasitic diodes and MOSFETs as schematically shown in Figure 23G. There is.

【0070】 図24A-24Fは、オーバーエッチングされた第1ポリシリコン層や形状不
良または歪んだトレンチによってダイオード短絡を生じさせるプロセス機構を示
している。図24Aではアクティブセル及びゲートバス領域がN+ドープされた
ポリシリコンの第一の層で満たされ、次にエッチバックされ、図24Bで示され
る構造を生成する。ポリシリコンのエッチバックが一様なものでなければ、トレ
ンチ酸化物の一端が図24Cに示されるように露出するかもしれず、それは次に
上部酸化物を取り除くディップ(dip)過程の間に浸食され、エッチングされる。
図24Dでは、第二のポリシリコン層が被着され、マスクキングでパターン化さ
れ、左にはアクティブセル右にはゲートバスが残る。上面の酸化の後、図24E
で示されるように左のアクティブセルは酸化され、自己修復がなされるが、ゲー
トバス領域においては、シリコンに接しているポリシリコンによってN+プルー
ムがドープされ、図24Fのダイオード的ゲート短絡につながる。ポリシリコン
の一様なエッチバック若しくは一様な形状のトレンチによって、本問題は避けら
れる。
24A-24F show the process mechanism that causes a diode short circuit due to the over-etched first polysilicon layer and a malformed or distorted trench. In FIG. 24A, the active cell and gate bus regions are filled with a first layer of N + doped polysilicon and then etched back to produce the structure shown in FIG. 24B. If the polysilicon etchback is not uniform, one end of the trench oxide may be exposed, as shown in Figure 24C, which is then eroded during the top oxide removal dip process. , Etched.
In FIG. 24D, a second polysilicon layer has been deposited and patterned by masking, leaving the active cell on the left and the gate bus on the right. After oxidation of the top surface, FIG.
The active cell on the left is oxidized and self-repaired, as shown by, but in the gate bus region, the N + plume is doped by the polysilicon in contact with the silicon, leading to the diode-like gate short in FIG. 24F. A uniform etch back of polysilicon or a uniform shaped trench avoids this problem.

【0071】 図25A-25Hは、窒化物側壁スペーサの使用によって問題を回避する為の
プロセスを記述したものである。このプロセスは基板500上で成長するepi層
502と共に開始される。薄い酸化物層504がepi層502の上面で成長し、
窒化物層506(若しくはその他の別の“硬い”層)及び2番目の酸化物層508
が、酸化物層504上に連続して形成される。したがって、層504、506、
および508は、本分野に於いては既知の、酸化物−窒化物−酸化物(ONO)サ
ンドイッチを形成する。結果として生じる構造が図25Aで示される。図25B
に示されるように、開口がONOサンドイッチ中にエッチングされる。次に窒化物
層510が構造の上部を被着し、図25Cに見られるような配列をもたらす。窒
化物層は不均等にエッチングされる。窒化物層510の垂直方向の厚さがONOサ
ンドイッチのエッジよりも大きいので、異方性エッチングが酸化物層504及び
窒化物層506の露出エッジに於いて側壁スペーサ512を残す。この構造は、
酸化物層508の除去の後、図25Dに示される。
25A-25H describe a process for avoiding problems by using nitride sidewall spacers. The process begins with the epi layer 502 growing on the substrate 500. A thin oxide layer 504 is grown on top of the epi layer 502,
Nitride layer 506 (or another "hard" layer) and second oxide layer 508.
Are continuously formed on the oxide layer 504. Therefore, layers 504, 506,
And 508 form an oxide-nitride-oxide (ONO) sandwich known in the art. The resulting structure is shown in Figure 25A. Figure 25B
Openings are etched into the ONO sandwich as shown in FIG. Nitride layer 510 is then deposited on top of the structure, providing the alignment as seen in Figure 25C. The nitride layer is unevenly etched. Since the vertical thickness of nitride layer 510 is greater than the edges of the ONO sandwich, the anisotropic etch leaves sidewall spacers 512 at the exposed edges of oxide layer 504 and nitride layer 506. This structure is
After removal of oxide layer 508, shown in FIG. 25D.

【0072】 次に図25Eに示されるように、次にトレンチ514がエッチングされ、典型
的な犠牲酸化物層(図示せず)が形成され除去される。図25Fは、酸化物層5
16の方向性被着後の構造を示し、それはトレンチ514の底部に厚い酸化物層
518を残す。この事は、ゲート酸化物層520の形成後に行われる。その後、
トレンチはポリシリコン層522で満たされ、下の酸化物層520を攻撃しない
よう注意してエッチバックされる。ポリシリコンとシリコンがほぼ接触する上部
領域は、後のプロセスで更に酸化されることになる。また、或る程度の酸化物が
、”鳥のくちばし“の様な窒化物の側壁キャップ下で成長する。この構造は図2
5Gに示される。酸化物層516は取り除かれ、図25Hで示される実施例が提
供される。
Next, as shown in FIG. 25E, the trench 514 is then etched to form and remove a typical sacrificial oxide layer (not shown). FIG. 25F shows oxide layer 5
16 shows the structure after 16 directional deposition, which leaves a thick oxide layer 518 at the bottom of the trench 514. This is done after forming the gate oxide layer 520. afterwards,
The trench is filled with polysilicon layer 522 and is etched back with care not to attack the underlying oxide layer 520. The upper region where the polysilicon and silicon are almost in contact will be further oxidized in a later process. Also, some oxide grows underneath the "bird's beak" like nitride sidewall cap. This structure is shown in Figure 2.
Shown in 5G. The oxide layer 516 is removed, providing the example shown in FIG. 25H.

【0073】 図26A及び26Bに示されるように、トレンチの側壁におけるゲート酸化物
の成長は、図26Bのゆがみ530で示されるような、トレンチの側壁に於ける
“ゆがみ”を導きうる。図26Aに示されるように、この問題は酸化物がトレン
チの露出側壁532上で一様に成長することである。しかし、厚い酸化物534
がトレンチの底部で始まる場所では、構造の外面的形態により、酸化が直線的に
進行しない。この事は、ゆがみ530で厚さが減少した酸化物層を生成する。
As shown in FIGS. 26A and 26B, growth of gate oxide on the sidewalls of the trench can lead to “distortion” in the sidewalls of the trench, as shown by the distortion 530 in FIG. 26B. The problem is that the oxide grows uniformly on the exposed sidewalls 532 of the trench, as shown in FIG. 26A. But thick oxide 534
Where the trench starts at the bottom of the trench, the oxidation does not proceed linearly due to the external morphology of the structure. This produces a reduced thickness oxide layer at distortion 530.

【0074】 この問題に対する解決法が、図27A-27Dにおいて示される。図27Aは
上述されるように、酸化物ライニング540の熱成長及び酸化物層542の方向
性被着の後の構造を示す。ライニング540及び層542は、図27Bに示され
るようにトレンチの側壁より除去される。構造体は170HFの酸に浸され(di
p)る。被着された酸化物が熱成長した酸化物よりも早くエッチングされるので
、浸漬の後にライニング540の上面が酸化物層542の上面よりわずかに上に
ある状態で、構造は図27Cのようになる。ゲート酸化物層がトレンチの側壁で
熱成長する場合、結果的に生じる酸化物は一様な厚さを有する。トレンチの壁に
は“ゆがみ”は存在しない。図27Dは、ゲート酸化物層544がトレンチの側
壁で成長した後の配置を表す。点線は、酸化に先立ったシリコンのもとの位置を
示す。
A solution to this problem is shown in Figures 27A-27D. FIG. 27A shows the structure after thermal growth of oxide lining 540 and directional deposition of oxide layer 542, as described above. The lining 540 and layer 542 are removed from the sidewalls of the trench as shown in Figure 27B. The structure is immersed in 170 HF acid (di
p) Since the deposited oxide is etched faster than the thermally grown oxide, the structure looks like FIG. 27C with the top of the lining 540 slightly above the top of the oxide layer 542 after immersion. Become. When the gate oxide layer is thermally grown on the trench sidewalls, the resulting oxide has a uniform thickness. There is no "distortion" on the walls of the trench. FIG. 27D represents the placement after the gate oxide layer 544 is grown on the sidewalls of the trench. The dotted line shows the original position of silicon prior to oxidation.

【0075】 図28-33は、本発明の原理利用して製造可能な、様々な素子を示している
28-33 show various devices that can be manufactured using the principles of the present invention.

【0076】 図28は、底が平らなP-ボディ領域、及びepi層及び基板間の境界面にN埋込
層を有するパワーMOSFETを表す。図28は、トレンチ間のメサ全体に渡っ
て延在するコンタクトと厚いトレンチ底部の酸化物とを組み合わせた素子を示し
ている。なお、コンタクトマスクや平坦でない上面酸化物層を用いることも可能
である。図29は、それぞれのMOSFETセルが、Buluceaらの米国特許番号
5,072,266に示されたような深いP+領域を含むことを除いて、図28に
示されるものと同様のMOSFETを示している。図30の実施例は、MOSF
ETセルの中に底が平らなP+領域を有し、更に、MOSFETセルの電圧クラ
ンプをするのに用いられる深いP+領域を含むダイオードセルを有する。このよ
うな構造は、出願番号08/846,688において記述されており、この出願は
ここに言及したことで本出願の一部とする。
FIG. 28 represents a power MOSFET with a flat bottom P-body region and an N buried layer at the interface between the epi layer and the substrate. FIG. 28 shows a device that combines contacts that extend across the mesas between the trenches and a thick trench bottom oxide. It is also possible to use a contact mask or a non-planar top oxide layer. FIG. 29 shows a MOSFET similar to that shown in FIG. 28 except that each MOSFET cell includes a deep P + region as shown in US Pat. No. 5,072,266 to Bulucea et al. ing. The embodiment of FIG. 30 is a MOSF.
It has a flat bottom P + region in the ET cell and further has a diode cell containing a deep P + region used to provide voltage clamping for the MOSFET cell. Such a structure is described in application Ser. No. 08 / 846,688, which is hereby incorporated by reference.

【0077】 図31に示される素子では、Pボディ領域と各MOSFETセルに於ける金属
層との間のコンタクトが存在しない。その代わり、米国特許番号5,877,53
8でWilliamsらによって述べられているように、第三の方向でボディと接触する
。この出願も、ここで言及したことで本願の一部とする。MOSFETセルの1
つが、トレンチの底部に於ける電界の強度を制限するために、深いP+領域を有
する事に注目して頂きたい。さらに、自己整列コンタクトを用いる平坦な上部酸
化物層が好ましいが、必須というわけではない。
In the device shown in FIG. 31, there is no contact between the P body region and the metal layer in each MOSFET cell. Instead, U.S. Pat. No. 5,877,53
8. Contact the body in a third direction, as described by Williams et al. This application is also incorporated by reference herein. MOSFET cell 1
Note that one has a deep P + region to limit the strength of the electric field at the bottom of the trench. In addition, a flat top oxide layer with self-aligned contacts is preferred, but not required.

【0078】 図32の実施例では、トレンチはN埋込層まで延在し、厚い酸化物領域のみが
厚くドープされた埋込層と重なる。
In the example of FIG. 32, the trench extends to the N buried layer and only the thick oxide regions overlap the heavily doped buried layer.

【0079】 図33の実施例は、Williamsらによって米国特許番号5,856,692で発表
された一つのような蓄積モードMOSFET(ACCUFET)であり、ここで言及した
ことで本願の一部とする。
The embodiment of FIG. 33 is a storage mode MOSFET (ACCUFET) such as the one disclosed by Williams et al. In US Pat. No. 5,856,692, which is hereby incorporated by reference. .

【0080】 図34は、従来のコンタクトマスクおよび組み込まれた厚いトレンチ底部の酸
化物を用いたトレンチMOSFETのための、プロセスの流れを示した概念図で
ある。プロセスの過程は、通常ドレイン及びボディ領域の構築、トレンチのエッ
チング及びゲートの構築、ボディ及びソース領域の埋込、コンタクトの開口及び
金属層の被着を含む。図34では、方形の角が欠けたものは、オプションの過程
を表す。したがって、注入若しくは注入及び拡散によるより深いボディ領域の導
入は、このプロセスと一致している。
FIG. 34 is a conceptual diagram showing a process flow for a conventional contact mask and a trench MOSFET using an embedded thick trench bottom oxide. Process steps typically include drain and body region build, trench etch and gate build, body and source region fill, contact openings and metal layer deposition. In FIG. 34, the square corners lacking represent an optional process. Therefore, the introduction of deeper body regions by implantation or implantation and diffusion is consistent with this process.

【0081】 このプロセスが、図35A-35Lに示されている。トレンチ552は、Nepi
層550中にマスクとして酸化物層554を用いて形成される。酸化物ライニン
グ556がトレンチ552の壁に形成され(図35B)、上述したように方向性の
酸化物被着がなされ、トレンチの底部で厚い部分560を有する酸化物層558
を形成する(図35C)。トレンチ552の側壁は次にエッチングされ(図35D
)、ゲート酸化物層がトレンチの壁552上で熱成長する(図35E)。
This process is shown in FIGS. 35A-35L. Trench 552 is Nepi
Formed in layer 550 using oxide layer 554 as a mask. An oxide lining 556 is formed on the walls of the trench 552 (FIG. 35B), a directional oxide deposition is made as described above, and an oxide layer 558 having a thick portion 560 at the bottom of the trench.
Are formed (FIG. 35C). The sidewalls of trench 552 are then etched (FIG. 35D).
), A gate oxide layer thermally grows on the trench walls 552 (FIG. 35E).

【0082】 そして、ポリシリコン層564が、トレンチ552(図35F)を満たすべく被
着される。ポリシリコン層564は、トレンチ内部へとエッチバックされる(図
35G)。酸化物層566が構造の上面に被着され、ポリシリコン層564の上
面へトレンチに向かって広がる(図35H)。次に酸化物層566がエッチバック
され、ほう酸の様なP型ドーパントが、Pボディー領域568を形成するべく注
入される。その時、上面はマスクされ(図示せず)、ヒ素若しくはリンのような
N形ドーパントが、N+ソース領域570を形成するために注入される。別の酸
化物層572が上面に被着されパターン化され、図35Lに示された構造を得る
。コンタクトは上部金属で埋めることができるが、代わりにタングステンのよう
な平坦化金属若しくはTi/TiNの様なバリア金属で満たすこともできる。
Polysilicon layer 564 is then deposited to fill trench 552 (FIG. 35F). The polysilicon layer 564 is etched back into the trench (FIG. 35G). An oxide layer 566 is deposited on the top surface of the structure and extends to the top surface of the polysilicon layer 564 towards the trench (FIG. 35H). The oxide layer 566 is then etched back and a P-type dopant, such as boric acid, is implanted to form the P body region 568. The top surface is then masked (not shown) and an N-type dopant such as arsenic or phosphorus is implanted to form N + source region 570. Another oxide layer 572 is deposited on top and patterned to obtain the structure shown in FIG. 35L. The contacts can be filled with a top metal, but can alternatively be filled with a planarizing metal such as tungsten or a barrier metal such as Ti / TiN.

【0083】 図36-39は、ポリシリコンゲートの断面が”鍵穴“の形状を成すような複
数の実施例を示す。より厚いゲート酸化物が、トレンチの底部に沿って延在する
だけでなく、Pボディー領域及びN epi層の間の接合に向かいトレンチの側壁に
沿ってもまた延在している。トレンチの側壁に沿った厚くされたゲート酸化物は
、接合に於ける電界を緩和するのを助ける。
36-39 show multiple embodiments in which the cross-section of the polysilicon gate forms a "keyhole" shape. The thicker gate oxide extends not only along the bottom of the trench, but also along the sidewalls of the trench towards the junction between the P body region and the N epi layer. The thickened gate oxide along the sidewalls of the trench helps to relax the electric field at the junction.

【0084】 図36は、平底のPボディ領域およびMOSFETセル間で周期的間隔で組み
込まれたダイオードセルを有するMOSFETを示す。MOSFET好ましい変
形例では、鍵穴型のゲートが採用される。図37は、Pボディーが表面に延在し
ないが、代わりに第三の方向で接触する様な実施例を示す。浅いP+領域を、メ
サ中のN+ソース領域よりも深い所で見ることができる。図38はトレンチが、e
pi層及び基板との間の境界面に形成されたN埋込層中に向かって延在している実
施例を示す。図39はPボディが第三の方向で接続され、トレンチがN埋込層中
に延在する実施例を示す。
FIG. 36 shows a MOSFET with a flat bottom P body region and diode cells incorporated at periodic intervals between the MOSFET cells. In a preferred modification of the MOSFET, a keyhole type gate is adopted. FIG. 37 shows an embodiment in which the P-body does not extend to the surface but instead contacts in a third direction. The shallow P + region can be seen deeper than the N + source region in the mesa. In Figure 38, the trench is e
An example is shown extending into the N-buried layer formed at the interface between the pi layer and the substrate. FIG. 39 shows an embodiment in which the P-body is connected in the third direction and the trench extends into the N-buried layer.

【0085】 鍵穴の形状のトレンチを有する素子の形成のプロセスの流れが図40A-40
Lに示される。プロセスは基板600上で成長したepi層602で開始される。
図40Aに示されるように、酸化物層604がepi層602の上面に形成される
。酸化物層604はパターン化され、図40Bに示されるようにエッチングされ
る。犠牲な酸化物層(図示せず)が次にトレンチ606の壁で成長する(図40
Cで、示される)。
A process flow for forming a device having a keyhole-shaped trench is shown in FIG. 40A-40.
Indicated by L. The process begins with the epi layer 602 grown on the substrate 600.
An oxide layer 604 is formed on the top surface of the epi layer 602, as shown in FIG. 40A. The oxide layer 604 is patterned and etched as shown in Figure 40B. A sacrificial oxide layer (not shown) is then grown on the walls of trench 606 (FIG. 40).
Indicated by C).

【0086】 図40Dと40Eに示されるように、ポリシリコン層610がトレンチ606
を満たすために被着され、次にトレンチの底に一部612が残るようにエッチバ
ックされる。酸化物のライニング608は、図40Fに示されるようにその時ト
レンチ606の側壁からエッチングされる。図40Gに示されるように酸化物ラ
イニング608の上面より低い位置にポリシリコン層612の上面を押し下げる
べく、その時異方性シリコンエッチングが実行される。次に熱酸化プロセスが用
いられ、トレンチ606の壁面上の酸化物層616を形成し、ポリシリコン層6
12の上面には酸化物層618を形成する。図40Hに結果として生じる構造物
が示される。酸化物層618がその時エッチングされ、酸化物層616の一部が
そのプロセス中で除去され、図40Iに示される構造が生成される。
As shown in FIGS. 40D and 40E, the polysilicon layer 610 has trenches 606.
Deposited to fill and then etched back to leave a portion 612 at the bottom of the trench. Oxide lining 608 is then etched from the sidewalls of trench 606 as shown in FIG. 40F. An anisotropic silicon etch is then performed to depress the top surface of polysilicon layer 612 below the top surface of oxide lining 608 as shown in FIG. 40G. A thermal oxidation process is then used to form an oxide layer 616 on the walls of the trench 606 and a polysilicon layer 6
An oxide layer 618 is formed on the upper surface of 12. The resulting structure is shown in FIG. 40H. Oxide layer 618 is then etched and a portion of oxide layer 616 is removed in the process, producing the structure shown in FIG. 40I.

【0087】 次に、図40Jに示されるように第二のポリシリコン層619が構造の全体に
被着される。図40Kに示されるように、ポリシリコン層619はエッチバック
される。図40Lに示されるように、ポリシリコン層619の上面は、次に酸化
される。
Next, a second polysilicon layer 619 is deposited over the structure as shown in FIG. 40J. The polysilicon layer 619 is etched back, as shown in FIG. 40K. As shown in FIG. 40L, the top surface of polysilicon layer 619 is then oxidized.

【0088】 このプロセスの変形例が、図41A-41Fに示される。酸化物ライニング6
08がトレンチの壁面で形成された後、図40Cに示されるように、フォトレジ
スト層が適用され、成長し、取り除かれ、そしてトレンチ606の底部に一部分
630のみが残る。この様子が、図41Aに示されている。図41Bに示される
ように酸化物ライニング608は、トレンチ606の壁面よりエッチングされ、
フォトレジスト層630の一部がトレンチの底部より取り除かれる。この事で、
図41Cに見られる構造が得られる。
A variation of this process is shown in FIGS. 41A-41F. Oxide lining 6
After 08 is formed on the walls of the trench, a photoresist layer is applied, grown, and removed, leaving only a portion 630 at the bottom of trench 606, as shown in FIG. 40C. This is shown in FIG. 41A. The oxide lining 608 is etched from the walls of the trench 606 as shown in FIG. 41B,
A portion of the photoresist layer 630 is removed from the bottom of the trench. With this,
The structure seen in FIG. 41C is obtained.

【0089】 図41D及び41Fに示されるように、酸化物層632がトレンチ606の壁
面で熱成長し、トレンチ606はポリシリコン層634で満たされる。ポリシリ
コン層634は、epi層602の上面のレベルまでエッチバックされる。次にポ
リシリコン層634は熱酸化され、図41Fで示される素子が提供される。
As shown in FIGS. 41D and 41F, oxide layer 632 thermally grows on the walls of trench 606 and trench 606 is filled with polysilicon layer 634. Polysilicon layer 634 is etched back to the level of the top surface of epi layer 602. Polysilicon layer 634 is then thermally oxidized to provide the device shown in Figure 41F.

【0090】 図42A-42Cは、従来技術のトレンチの側壁に沿った電界強度と、本発明
によるそれとの比較を示す。図41Aは、先行技術の素子で、電界が、ボディ−
ドレイン接合及びゲート電極底部で各々発生する二つの鋭いピークを有すること
を示す。図42Bは、トレンチの底部に厚い酸化物層を有する素子を示す。示さ
れるように、電界はなおもボディ−ドレイン接合部に鋭いピークを有するが、先
行技術のそれと比較してゲート電極の底部に於けるピークは幾分低い。最終的に
、図42Cは、鍵穴形状のゲート電極を有する素子を示す。この場合でも、電界
はボディ−ドレイン接合部でピークに到達するが、ゲート電極の底部に於ける鋭
いピークは取り除かれている。
42A-42C show a comparison of the electric field strength along the sidewalls of a prior art trench with that according to the present invention. FIG. 41A is a prior art device in which the electric field is
It is shown that it has two sharp peaks which respectively occur at the drain junction and the bottom of the gate electrode. FIG. 42B shows a device with a thick oxide layer at the bottom of the trench. As shown, the electric field still has a sharp peak at the body-drain junction, but the peak at the bottom of the gate electrode is somewhat lower compared to that of the prior art. Finally, FIG. 42C shows a device with a keyhole-shaped gate electrode. Even in this case, the electric field reaches the peak at the body-drain junction, but the sharp peak at the bottom of the gate electrode is removed.

【0091】 本発明に基づいて複数の実施例が記述されているが、それらはあらゆる点で単
なる例示に過ぎず、限定的に解釈してはならない。
While several embodiments have been described in accordance with the present invention, they are merely illustrative in all respects and should not be construed as limiting.

【図面の簡単な説明】[Brief description of drawings]

【図1】 図1は電圧クランプとして機能する深いP+ダイオードを有する従来技術のト
レンチパワーMOSFETの断面図である。
FIG. 1 is a cross-sectional view of a prior art trench power MOSFET having a deep P + diode that acts as a voltage clamp.

【図2】 図2は平坦なボディ−ドレイン接合を有する従来技術のトレンチパワーMOS
FETの断面図である。
FIG. 2 is a prior art trench power MOS with a flat body-drain junction.
It is sectional drawing of FET.

【図3】 図3は平坦なボディ−ドレイン接合を含む複数のMOSFETセル中に分布さ
れた電圧クランプを有する従来技術のトレンチパワーMOSFETの断面図であ
る。
FIG. 3 is a cross-sectional view of a prior art trench power MOSFET having voltage clamps distributed in a plurality of MOSFET cells including a flat body-drain junction.

【図4A】 図4Aは厚いゲート酸化物層を有するMOSFETにおける電界輪郭を示す断
面図である。
FIG. 4A is a cross-sectional view showing an electric field contour in a MOSFET having a thick gate oxide layer.

【図4B】 図4Bは薄いゲート酸化物層を有するMOSFETにおける電界輪郭を示す断
面図である。
FIG. 4B is a cross-sectional view showing an electric field contour in a MOSFET having a thin gate oxide layer.

【図4C】 図4Cはなだれ降伏(アバランシェブレークダウン)開始時の、厚いゲート酸
化物層を有するMOSFETにおけるイオン化輪郭を示す断面図である。
FIG. 4C is a cross-sectional view showing the ionization profile in a MOSFET with a thick gate oxide layer at the beginning of avalanche breakdown.

【図4D】 図4Dはなだれ降伏(アバランシェブレークダウン)開始時の、薄いゲート酸
化物層を有するMOSFETにおけるイオン化輪郭を示す断面図である。
FIG. 4D is a cross-sectional view showing the ionization profile in a MOSFET with a thin gate oxide layer at the beginning of avalanche breakdown.

【図4E】 図4Eは、電圧クランプとして使用される深いP+領域を含む素子におけるイ
オン化輪郭を示す断面図である。
FIG. 4E is a cross-sectional view showing an ionization profile in a device including a deep P + region used as a voltage clamp.

【図4F】 図4Fは、異なるドーピング濃度を有するエピタキシャル層に形成されたMO
SFETにおける降伏電圧をゲート酸化物の厚みの関数として示したグラフであ
る。
FIG. 4F shows MO formed on epitaxial layers with different doping concentrations.
3 is a graph showing breakdown voltage in SFET as a function of gate oxide thickness.

【図4G】 図4Gは逆平行ダイオードクランプを備えたトレンチパワーMOSFETの模
式図である。
FIG. 4G is a schematic diagram of a trench power MOSFET with an anti-parallel diode clamp.

【図5A】 図5Aは正方形のトレンチコーナーを有するトレンチパワーMOSFETにお
けるイオン化輪郭を示す断面図である。
FIG. 5A is a cross-sectional view showing an ionization profile in a trench power MOSFET having square trench corners.

【図5B】 図5Bは丸められたトレンチコーナーを有するトレンチパワーMOSFETに
おけるイオン化輪郭を示す断面図である。
FIG. 5B is a cross-sectional view showing an ionization profile in a trench power MOSFET with rounded trench corners.

【図6A】 図6Aは平坦なボディ−ドレイン接合を有するトレンチパワーMOSFETに
おける電界輪郭を示す断面図である。
FIG. 6A is a cross-sectional view showing an electric field contour in a trench power MOSFET having a flat body-drain junction.

【図6B】 図6Bは平坦なボディ−ドレイン接合を有するトレンチパワーMOSFETに
おける等電位線を示す断面図である。
FIG. 6B is a cross-sectional view showing equipotential lines in a trench power MOSFET having a flat body-drain junction.

【図6C】 図6Cは平坦なボディ−ドレイン接合を有するトレンチパワーMOSFETに
おける電界線を示す断面図である。
FIG. 6C is a cross-sectional view showing electric field lines in a trench power MOSFET having a flat body-drain junction.

【図6D】 図6Dは平坦なボディ−ドレイン接合を有するトレンチパワーMOSFETに
おける電流の流れ線を示す断面図である。
FIG. 6D is a cross-sectional view showing current flow lines in a trench power MOSFET having a flat body-drain junction.

【図6E】 図6Eはターンオン時のトレンチパワーMOSFETにおけるイオン化輪郭を
示す断面図である。
FIG. 6E is a cross-sectional view showing an ionization profile in a trench power MOSFET at turn-on.

【図6F】 図6Fは、異なるゲート電圧にあるパワーMOSFETに対するI−V曲線を
示したグラフであり、衝突イオン化によって維持電圧がどのように低下するかを
示している。
FIG. 6F is a graph showing IV curves for power MOSFETs at different gate voltages, showing how sustain voltage is reduced by impact ionization.

【図7A】 図7AはパワーMOSFETのためのゲート充電回路の模式図である。FIG. 7A   FIG. 7A is a schematic diagram of a gate charging circuit for a power MOSFET.

【図7B】 図7BはパワーMOSFETにゲート駆動電流をステップ関数的に加えること
示すグラフである。
FIG. 7B is a graph showing stepwise addition of gate drive current to a power MOSFET.

【図7C】 図7Cは、図7Bの条件の下でゲート電圧及びドレイン電圧がどのように変化
するかを示すグラフである。
FIG. 7C is a graph showing how the gate voltage and the drain voltage change under the conditions of FIG. 7B.

【図7D】 図7Dは、図7Bの条件の下でドレイン電流がどのように変化するかを示すグ
ラフである。
FIG. 7D is a graph showing how the drain current changes under the conditions of FIG. 7B.

【図7E】 図7Eはゲート電圧が電荷の関数としてどのように変化するかを示すグラフで
ある。
FIG. 7E is a graph showing how the gate voltage changes as a function of charge.

【図7F】 図7FはパワーMOSFETがターンオンすると実効入力容量(effective in
put capacitance)がどのように変化するかを示すグラフである。
FIG. 7F shows the effective input capacitance (effective in
is a graph showing how the put capacitance) changes.

【図7G】 図7GはトレンチパワーMOSFETにおけるゲート容量の構成要素を示す断
面図である。
FIG. 7G is a cross-sectional view showing the components of the gate capacitance in the trench power MOSFET.

【図7H】 図7Hは電極間容量を示すトレンチMOSFETの等価回路図である。[FIG. 7H]   FIG. 7H is an equivalent circuit diagram of the trench MOSFET showing the interelectrode capacitance.

【図8A】 図8A〜図8Cは丸められたコーナーを有するゲートトレンチがどのように形
成されるかを示す断面図である。
8A-8C are cross-sectional views showing how a gate trench having rounded corners is formed.

【図8B】 図8A〜図8Cは丸められたコーナーを有するゲートトレンチがどのように形
成されるかを示す断面図である。
8A-8C are cross-sectional views showing how a gate trench having rounded corners is formed.

【図8C】 図8A〜図8Cは丸められたコーナーを有するゲートトレンチがどのように形
成されるかを示す断面図である。
8A-8C are cross-sectional views showing how a gate trench having rounded corners is formed.

【図9A】 図9A〜図9Dはゲートトレンチのエッチング及びポリシリコンによるトレン
チの充填のプロセスを示す断面図である。
9A-9D are cross-sectional views showing a process of etching a gate trench and filling the trench with polysilicon.

【図9B】 図9A〜図9Dはゲートトレンチのエッチング及びポリシリコンによるトレン
チの充填のプロセスを示す断面図である。
9A-9D are cross-sectional views showing a process of etching a gate trench and filling the trench with polysilicon.

【図9C】 図9A〜図9Dはゲートトレンチのエッチング及びポリシリコンによるトレン
チの充填のプロセスを示す断面図である。
9A-9D are cross-sectional views showing a process of etching a gate trench and filling the trench with polysilicon.

【図9D】 図9A〜図9Dはゲートトレンチのエッチング及びポリシリコンによるトレン
チの充填のプロセスを示す断面図である。
9A-9D are cross-sectional views showing a process of etching a gate trench and filling the trench with polysilicon.

【図10A】 図10A〜図10Fは従来のトレンチパワーMOSFETの製造プロセスの断
面図である。
10A to 10F are cross-sectional views of a manufacturing process of a conventional trench power MOSFET.

【図10B】 図10A〜図10Fは従来のトレンチパワーMOSFETの製造プロセスの断
面図である。
10A to 10F are cross-sectional views of a manufacturing process of a conventional trench power MOSFET.

【図10C】 図10A〜図10Fは従来のトレンチパワーMOSFETの製造プロセスの断
面図である。
10A to 10F are cross-sectional views of a manufacturing process of a conventional trench power MOSFET.

【図10D】 図10A〜図10Fは従来のトレンチパワーMOSFETの製造プロセスの断
面図である。
10A to 10F are cross-sectional views of a manufacturing process of a conventional trench power MOSFET.

【図10E】 図10A〜図10Fは従来のトレンチパワーMOSFETの製造プロセスの断
面図である。
10A to 10F are cross-sectional views of a manufacturing process of a conventional trench power MOSFET.

【図10F】 図10A〜図10Fは従来のトレンチパワーMOSFETの製造プロセスの断
面図である。
10A to 10F are cross-sectional views of a manufacturing process of a conventional trench power MOSFET.

【図11A】 図11Aはトレンチの底部に厚い酸化物層を有するトレンチパワーMOSFE
Tの断面図である。
FIG. 11A shows a trench power MOSFE with a thick oxide layer at the bottom of the trench.
It is sectional drawing of T.

【図11B】 図11Bは、半導体の上面にパターン化された厚い酸化物層を有する図11A
のMOSFETを示す断面図である。
FIG. 11B shows FIG. 11A with a thick oxide layer patterned on top of the semiconductor.
3 is a cross-sectional view showing the MOSFET of FIG.

【図11C】 図11Cはトレンチの壁に整合された厚い上部酸化物層を備えた図11AのM
OSFETを示す断面図である。
FIG. 11C shows the M of FIG. 11A with a thick top oxide layer aligned to the walls of the trench.
It is sectional drawing which shows OSFET.

【図12】 図12は本発明に基づく多くのプロセスシーケンスを示す模式的なフロー図で
ある。
FIG. 12 is a schematic flow diagram showing many process sequences according to the present invention.

【図13A】 図13A〜図13Nは、酸化物層の方向性被着を使用し且つ半導体材料の上面
と同じレベルまでポリシリコンをエッチングする、トレンチ底部に厚い酸化物層
を有するトレンチパワーMOSFETを形成するためのプロセスシーケンスを示
している。
13A-13N show a trench power MOSFET with a thick oxide layer at the bottom of the trench using directional deposition of an oxide layer and etching polysilicon to the same level as the top surface of the semiconductor material. 3 illustrates a process sequence for forming.

【図13B】 図13A〜図13Nは、酸化物層の方向性被着を使用し且つ半導体材料の上面
と同じレベルまでポリシリコンをエッチングする、トレンチ底部に厚い酸化物層
を有するトレンチパワーMOSFETを形成するためのプロセスシーケンスを示
している。
13A-13N show a trench power MOSFET with a thick oxide layer at the bottom of the trench that uses directional deposition of an oxide layer and etches polysilicon to the same level as the top surface of the semiconductor material. 3 illustrates a process sequence for forming.

【図13C】 図13A〜図13Nは、酸化物層の方向性被着を使用し且つ半導体材料の上面
と同じレベルまでポリシリコンをエッチングする、トレンチ底部に厚い酸化物層
を有するトレンチパワーMOSFETを形成するためのプロセスシーケンスを示
している。
13A-13N show a trench power MOSFET with a thick oxide layer at the bottom of the trench using directional deposition of an oxide layer and etching polysilicon to the same level as the top surface of the semiconductor material. 3 illustrates a process sequence for forming.

【図13D】 図13A〜図13Nは、酸化物層の方向性被着を使用し且つ半導体材料の上面
と同じレベルまでポリシリコンをエッチングする、トレンチ底部に厚い酸化物層
を有するトレンチパワーMOSFETを形成するためのプロセスシーケンスを示
している。
13A-13N show a trench power MOSFET with a thick oxide layer at the trench bottom that uses directional deposition of an oxide layer and etches polysilicon to the same level as the top surface of the semiconductor material. 3 illustrates a process sequence for forming.

【図13E】 図13A〜図13Nは、酸化物層の方向性被着を使用し且つ半導体材料の上面
と同じレベルまでポリシリコンをエッチングする、トレンチ底部に厚い酸化物層
を有するトレンチパワーMOSFETを形成するためのプロセスシーケンスを示
している。
13A-13N show a trench power MOSFET with a thick oxide layer at the trench bottom using directional deposition of an oxide layer and etching polysilicon to the same level as the top surface of the semiconductor material. 3 illustrates a process sequence for forming.

【図13F】 図13A〜図13Nは、酸化物層の方向性被着を使用し且つ半導体材料の上面
と同じレベルまでポリシリコンをエッチングする、トレンチ底部に厚い酸化物層
を有するトレンチパワーMOSFETを形成するためのプロセスシーケンスを示
している。
13A-13N show a trench power MOSFET with a thick oxide layer at the bottom of the trench using directional deposition of an oxide layer and etching polysilicon to the same level as the top surface of the semiconductor material. 3 illustrates a process sequence for forming.

【図13G】 図13A〜図13Nは、酸化物層の方向性被着を使用し且つ半導体材料の上面
と同じレベルまでポリシリコンをエッチングする、トレンチ底部に厚い酸化物層
を有するトレンチパワーMOSFETを形成するためのプロセスシーケンスを示
している。
13A-13N show a trench power MOSFET with a thick oxide layer at the bottom of the trench using directional deposition of an oxide layer and etching polysilicon to the same level as the top surface of the semiconductor material. 3 illustrates a process sequence for forming.

【図13H】 図13A〜図13Nは、酸化物層の方向性被着を使用し且つ半導体材料の上面
と同じレベルまでポリシリコンをエッチングする、トレンチ底部に厚い酸化物層
を有するトレンチパワーMOSFETを形成するためのプロセスシーケンスを示
している。
13A-13N show a trench power MOSFET with a thick oxide layer at the trench bottom using directional deposition of oxide layer and etching polysilicon to the same level as the top surface of the semiconductor material. 3 illustrates a process sequence for forming.

【図13I】 図13A〜図13Nは、酸化物層の方向性被着を使用し且つ半導体材料の上面
と同じレベルまでポリシリコンをエッチングする、トレンチ底部に厚い酸化物層
を有するトレンチパワーMOSFETを形成するためのプロセスシーケンスを示
している。
13A-13N show a trench power MOSFET with a thick oxide layer at the bottom of the trench using directional deposition of oxide layer and etching polysilicon to the same level as the top surface of the semiconductor material. 3 illustrates a process sequence for forming.

【図13J】 図13A〜図13Nは、酸化物層の方向性被着を使用し且つ半導体材料の上面
と同じレベルまでポリシリコンをエッチングする、トレンチ底部に厚い酸化物層
を有するトレンチパワーMOSFETを形成するためのプロセスシーケンスを示
している。
13A-13N show a trench power MOSFET with a thick oxide layer at the bottom of the trench that uses directional deposition of an oxide layer and etches polysilicon to the same level as the top surface of the semiconductor material. 3 illustrates a process sequence for forming.

【図13K】 図13A〜図13Nは、酸化物層の方向性被着を使用し且つ半導体材料の上面
と同じレベルまでポリシリコンをエッチングする、トレンチ底部に厚い酸化物層
を有するトレンチパワーMOSFETを形成するためのプロセスシーケンスを示
している。
13A-13N show a trench power MOSFET with a thick oxide layer at the bottom of the trench using directional deposition of an oxide layer and etching polysilicon to the same level as the top surface of the semiconductor material. 3 illustrates a process sequence for forming.

【図13L】 図13A〜図13Nは、酸化物層の方向性被着を使用し且つ半導体材料の上面
と同じレベルまでポリシリコンをエッチングする、トレンチ底部に厚い酸化物層
を有するトレンチパワーMOSFETを形成するためのプロセスシーケンスを示
している。
13A-13N show a trench power MOSFET with a thick oxide layer at the bottom of the trench that uses directional deposition of an oxide layer and etches polysilicon to the same level as the top surface of the semiconductor material. 3 illustrates a process sequence for forming.

【図13M】 図13A〜図13Nは、酸化物層の方向性被着を使用し且つ半導体材料の上面
と同じレベルまでポリシリコンをエッチングする、トレンチ底部に厚い酸化物層
を有するトレンチパワーMOSFETを形成するためのプロセスシーケンスを示
している。
13A-13N show a trench power MOSFET with a thick oxide layer at the bottom of the trench using directional deposition of an oxide layer and etching polysilicon to the same level as the top surface of the semiconductor material. 3 illustrates a process sequence for forming.

【図13N】 図13A〜図13Nは、酸化物層の方向性被着を使用し且つ半導体材料の上面
と同じレベルまでポリシリコンをエッチングする、トレンチ底部に厚い酸化物層
を有するトレンチパワーMOSFETを形成するためのプロセスシーケンスを示
している。
13A-13N show a trench power MOSFET with a thick oxide layer at the bottom of the trench that uses directional deposition of an oxide layer and etches polysilicon to the same level as the top surface of the semiconductor material. 3 illustrates a process sequence for forming.

【図14A】 図14A〜図14Fは、ポリシリコンが半導体材料の表面より低いレベルまで
エッチングされた後酸化される、代替的なプロセスシーケンスを示している。
14A-14F show an alternative process sequence in which polysilicon is etched to a level below the surface of the semiconductor material and then oxidized.

【図14B】 図14A〜図14Fは、ポリシリコンが半導体材料の表面より低いレベルまで
エッチングされた後酸化される、代替的なプロセスシーケンスを示している。
14A-14F show an alternative process sequence in which polysilicon is etched to a level below the surface of the semiconductor material and then oxidized.

【図14C】 図14A〜図14Fは、ポリシリコンが半導体材料の表面より低いレベルまで
エッチングされた後酸化される、代替的なプロセスシーケンスを示している。
14A-14F show an alternative process sequence in which polysilicon is etched to a level below the surface of the semiconductor material and then oxidized.

【図14D】 図14A〜図14Fは、ポリシリコンが半導体材料の表面より低いレベルまで
エッチングされた後酸化される、代替的なプロセスシーケンスを示している。
14A-14F show an alternative process sequence in which polysilicon is etched to a level below the surface of the semiconductor material and then oxidized.

【図14E】 図14A〜図14Fは、ポリシリコンが半導体材料の表面より低いレベルまで
エッチングされた後酸化される、代替的なプロセスシーケンスを示している。
14A-14F show an alternative process sequence in which polysilicon is etched below the surface of the semiconductor material and then oxidized.

【図14F】 図14A〜図14Fは、ポリシリコンが半導体材料の表面より低いレベルまで
エッチングされた後酸化される、代替的なプロセスシーケンスを示している。
14A-14F show an alternative process sequence in which polysilicon is etched to a level below the surface of the semiconductor material and then oxidized.

【図15A】 図15A〜図15Fは、ポリシリコンが2段階に被着される、代替的なプロセ
スシーケンスを示している。
15A-15F show an alternative process sequence in which polysilicon is deposited in two steps.

【図15B】 図15A〜図15Fは、ポリシリコンが2段階に被着される、代替的なプロセ
スシーケンスを示している。
15A-15F show an alternative process sequence in which polysilicon is deposited in two steps.

【図15C】 図15A〜図15Fは、ポリシリコンが2段階に被着される、代替的なプロセ
スシーケンスを示している。
15A-15F show an alternative process sequence in which polysilicon is deposited in two steps.

【図15D】 図15A〜図15Fは、ポリシリコンが2段階に被着される、代替的なプロセ
スシーケンスを示している。
15A-15F show an alternative process sequence in which polysilicon is deposited in two steps.

【図15E】 図15A〜図15Fは、ポリシリコンが2段階に被着される、代替的なプロセ
スシーケンスを示している。
15A-15F show an alternative process sequence in which polysilicon is deposited in two steps.

【図15F】 図15A〜図15Fは、ポリシリコンが2段階に被着される、代替的なプロセ
スシーケンスを示している。
15A-15F show an alternative process sequence in which polysilicon is deposited in two steps.

【図16A】 図16A〜図16Eは、少量のフォトレジストがトレンチ底部の厚い酸化物を
マスクするのに使用される、代替的なプロセスを示している。
16A-16E show an alternative process in which a small amount of photoresist is used to mask the thick oxide at the bottom of the trench.

【図16B】 図16A〜図16Eは、少量のフォトレジストがトレンチ底部の厚い酸化物を
マスクするのに使用される、代替的なプロセスを示している。
16A-16E show an alternative process in which a small amount of photoresist is used to mask the thick oxide at the bottom of the trench.

【図16C】 図16A〜図16Eは、少量のフォトレジストがトレンチ底部の厚い酸化物を
マスクするのに使用される、代替的なプロセスを示している。
16A-16E show an alternative process in which a small amount of photoresist is used to mask the thick oxide at the bottom of the trench.

【図16D】 図16A〜図16Eは、少量のフォトレジストがトレンチ底部の厚い酸化物を
マスクするのに使用される、代替的なプロセスを示している。
16A-16E show an alternative process in which a small amount of photoresist is used to mask the thick oxide at the bottom of the trench.

【図16E】 図16A〜図16Eは、少量のフォトレジストがトレンチ底部の厚い酸化物を
マスクするのに使用される、代替的なプロセスを示している。
16A-16E show an alternative process in which a small amount of photoresist is used to mask the thick oxide at the bottom of the trench.

【図17A】 図17A〜図17Fは、ポリシリコンがトレンチ底部近くのレベルまでエッチ
ングされ、続いて酸化されるプロセスを示している。
17A-17F show a process in which polysilicon is etched to a level near the trench bottom and subsequently oxidized.

【図17B】 図17A〜図17Fは、ポリシリコンがトレンチ底部近くのレベルまでエッチ
ングされ、続いて酸化されるプロセスを示している。
17A-17F show a process in which polysilicon is etched to a level near the bottom of the trench, followed by oxidation.

【図17C】 図17A〜図17Fは、ポリシリコンがトレンチ底部近くのレベルまでエッチ
ングされ、続いて酸化されるプロセスを示している。
17A-17F show a process in which polysilicon is etched to a level near the bottom of the trench, followed by oxidation.

【図17D】 図17A〜図17Fは、ポリシリコンがトレンチ底部近くのレベルまでエッチ
ングされ、続いて酸化されるプロセスを示している。
17A-17F show a process in which polysilicon is etched to a level near the bottom of the trench, followed by oxidation.

【図17E】 図17A〜図17Fは、ポリシリコンがトレンチ底部近くのレベルまでエッチ
ングされ、続いて酸化されるプロセスを示している。
17A-17F illustrate a process in which polysilicon is etched to a level near the bottom of the trench and subsequently oxidized.

【図17F】 図17A〜図17Fは、ポリシリコンがトレンチ底部近くのレベルまでエッチ
ングされ、続いて酸化されるプロセスを示している。
17A-17F show a process in which polysilicon is etched to a level near the bottom of the trench, followed by oxidation.

【図18A】 図18A〜図18Fは、ポリシリコンが酸化される、代替的なプロセスを示し
ている。
18A-18F show an alternative process in which polysilicon is oxidized.

【図18B】 図18A〜図18Fは、ポリシリコンが酸化される、代替的なプロセスを示し
ている。
18A-18F show an alternative process in which polysilicon is oxidized.

【図18C】 図18A〜図18Fは、ポリシリコンが酸化される、代替的なプロセスを示し
ている。
18A-18F show an alternative process in which polysilicon is oxidized.

【図18D】 図18A〜図18Fは、ポリシリコンが酸化される、代替的なプロセスを示し
ている。
18A-18F show an alternative process in which polysilicon is oxidized.

【図18E】 図18A〜図18Fは、ポリシリコンが酸化される、代替的なプロセスを示し
ている。
18A-18F show an alternative process in which polysilicon is oxidized.

【図18F】 図18A〜図18Fは、ポリシリコンが酸化される、代替的なプロセスを示し
ている。
18A-18F show an alternative process in which polysilicon is oxidized.

【図19A】 図19A〜図19Lは、トレンチの壁と自己整合するゲート電極上に設けられ
た酸化物層を有するトレンチパワーMOSFETを製造するためのプロセスを示
している。
19A-19L show a process for manufacturing a trench power MOSFET having an oxide layer overlying a gate electrode that is self-aligned with the walls of the trench.

【図19B】 図19A〜図19Lは、トレンチの壁と自己整合するゲート電極上に設けられ
た酸化物層を有するトレンチパワーMOSFETを製造するためのプロセスを示
している。
19A-19L show a process for manufacturing a trench power MOSFET having an oxide layer overlying a gate electrode that is self-aligned with the walls of the trench.

【図19C】 図19A〜図19Lは、トレンチの壁と自己整合するゲート電極上に設けられ
た酸化物層を有するトレンチパワーMOSFETを製造するためのプロセスを示
している。
19A-19L show a process for manufacturing a trench power MOSFET having an oxide layer overlying a gate electrode that is self-aligned with the walls of the trench.

【図19D】 図19A〜図19Lは、トレンチの壁と自己整合するゲート電極上に設けられ
た酸化物層を有するトレンチパワーMOSFETを製造するためのプロセスを示
している。
19A-19L illustrate a process for manufacturing a trench power MOSFET having an oxide layer overlying a gate electrode that is self-aligned with the walls of the trench.

【図19E】 図19A〜図19Lは、トレンチの壁と自己整合するゲート電極上に設けられ
た酸化物層を有するトレンチパワーMOSFETを製造するためのプロセスを示
している。
19A-19L show a process for making a trench power MOSFET having an oxide layer overlying a gate electrode that is self-aligned with the walls of the trench.

【図19F】 図19A〜図19Lは、トレンチの壁と自己整合するゲート電極上に設けられ
た酸化物層を有するトレンチパワーMOSFETを製造するためのプロセスを示
している。
19A-19L show a process for manufacturing a trench power MOSFET having an oxide layer overlying a gate electrode that is self-aligned with the walls of the trench.

【図19G】 図19A〜図19Lは、トレンチの壁と自己整合するゲート電極上に設けられ
た酸化物層を有するトレンチパワーMOSFETを製造するためのプロセスを示
している。
19A-19L show a process for manufacturing a trench power MOSFET having an oxide layer provided on a gate electrode that is self-aligned with the walls of the trench.

【図19H】 図19A〜図19Lは、トレンチの壁と自己整合するゲート電極上に設けられ
た酸化物層を有するトレンチパワーMOSFETを製造するためのプロセスを示
している。
19A-19L show a process for manufacturing a trench power MOSFET having an oxide layer overlying a gate electrode that is self-aligned with the walls of the trench.

【図19I】 図19A〜図19Lは、トレンチの壁と自己整合するゲート電極上に設けられ
た酸化物層を有するトレンチパワーMOSFETを製造するためのプロセスを示
している。
19A-19L show a process for manufacturing a trench power MOSFET having an oxide layer overlying a gate electrode that is self-aligned with the walls of the trench.

【図19J】 図19A〜図19Lは、トレンチの壁と自己整合するゲート電極上に設けられ
た酸化物層を有するトレンチパワーMOSFETを製造するためのプロセスを示
している。
19A-19L show a process for making a trench power MOSFET having an oxide layer overlying a gate electrode that is self-aligned with the walls of the trench.

【図19K】 図19A〜図19Lは、トレンチの壁と自己整合するゲート電極上に設けられ
た酸化物層を有するトレンチパワーMOSFETを製造するためのプロセスを示
している。
19A-19L show a process for manufacturing a trench power MOSFET having an oxide layer overlying a gate electrode that is self-aligned with the walls of the trench.

【図19L】 図19A〜図19Lは、トレンチの壁と自己整合するゲート電極上に設けられ
た酸化物層を有するトレンチパワーMOSFETを製造するためのプロセスを示
している。
19A-19L show a process for manufacturing a trench power MOSFET having an oxide layer overlying a gate electrode that is self-aligned with the walls of the trench.

【図20A】 図20A〜図20Fは、パワーMOSFETのアクティブアレイ内のトレンチ
ゲート及びゲートバスを形成するためのプロセスシーケンスを示している。
20A-20F show a process sequence for forming trench gates and gate buses in an active array of power MOSFETs.

【図20B】 図20A〜図20Fは、パワーMOSFETのアクティブアレイ内のトレンチ
ゲート及びゲートバスを形成するためのプロセスシーケンスを示している。
20A-20F show a process sequence for forming trench gates and gate buses in an active array of power MOSFETs.

【図20C】 図20A〜図20Fは、パワーMOSFETのアクティブアレイ内のトレンチ
ゲート及びゲートバスを形成するためのプロセスシーケンスを示している。
20A-20F show a process sequence for forming trench gates and gate buses in an active array of power MOSFETs.

【図20D】 図20A〜図20Fは、パワーMOSFETのアクティブアレイ内のトレンチ
ゲート及びゲートバスを形成するためのプロセスシーケンスを示している。
20A-20F show a process sequence for forming trench gates and gate buses in an active array of power MOSFETs.

【図20E】 図20A〜図20Fは、パワーMOSFETのアクティブアレイ内のトレンチ
ゲート及びゲートバスを形成するためのプロセスシーケンスを示している。
20A-20F show a process sequence for forming trench gates and gate buses in an active array of power MOSFETs.

【図20F】 図20A〜図20Fは、パワーMOSFETのアクティブアレイ内のトレンチ
ゲート及びゲートバスを形成するためのプロセスシーケンスを示している。
20A-20F show a process sequence for forming trench gates and gate buses in an active array of power MOSFETs.

【図21A】 図21A〜図21Eは、窒化物の下の薄い酸化物層をアンダーカッティングす
ることから生じ得る問題を示している。
21A-21E illustrate problems that can result from undercutting a thin oxide layer under a nitride.

【図21B】 図21A〜図21Eは、窒化物の下の薄い酸化物層をアンダーカッティングす
ることから生じ得る問題を示している。
21A-21E illustrate problems that may result from undercutting a thin oxide layer under a nitride.

【図21C】 図21A〜図21Eは、窒化物の下の薄い酸化物層をアンダーカッティングす
ることから生じ得る問題を示している。
21A-21E illustrate problems that can result from undercutting a thin oxide layer under a nitride.

【図21D】 図21A〜図21Eは、窒化物の下の薄い酸化物層をアンダーカッティングす
ることから生じ得る問題を示している。
21A-21E illustrate problems that may result from undercutting a thin oxide layer under a nitride.

【図21E】 図21A〜図21Eは、窒化物の下の薄い酸化物層をアンダーカッティングす
ることから生じ得る問題を示している。
21A-21E illustrate problems that can result from undercutting a thin oxide layer under a nitride.

【図22A】 図22A〜図22Cはこの問題の更なる例を示している。FIG. 22A   22A-22C show a further example of this problem.

【図22B】 図22A〜図22Cはこの問題の更なる例を示している。FIG. 22B   22A-22C show a further example of this problem.

【図22C】 図22A〜図22Cはこの問題の更なる例を示している。FIG. 22C   22A-22C show a further example of this problem.

【図23A】 図23A〜図23Gは、本発明に基づくパワーMOSFETの製造において生
じ得る他の問題を示している。
23A-23G illustrate other problems that may occur in the fabrication of power MOSFETs according to the present invention.

【図23B】 図23A〜図23Gは、本発明に基づくパワーMOSFETの製造において生
じ得る他の問題を示している。
23A-23G show another problem that may occur in the fabrication of a power MOSFET according to the present invention.

【図23C】 図23A〜図23Gは、本発明に基づくパワーMOSFETの製造において生
じ得る他の問題を示している。
23A-23G show other problems that may occur in the fabrication of power MOSFETs in accordance with the present invention.

【図23D】 図23A〜図23Gは、本発明に基づくパワーMOSFETの製造において生
じ得る他の問題を示している。
23A-23G show another problem that may occur in the fabrication of a power MOSFET according to the present invention.

【図23E】 図23A〜図23Gは、本発明に基づくパワーMOSFETの製造において生
じ得る他の問題を示している。
23A-23G show other problems that may occur in the fabrication of power MOSFETs in accordance with the present invention.

【図23F】 図23A〜図23Gは、本発明に基づくパワーMOSFETの製造において生
じ得る他の問題を示している。
23A-23G illustrate another problem that may occur in manufacturing a power MOSFET according to the present invention.

【図23G】 図23A〜図23Gは、本発明に基づくパワーMOSFETの製造において生
じ得る他の問題を示している。
23A-23G illustrate another problem that may occur in the fabrication of power MOSFETs in accordance with the present invention.

【図24A】 図24A〜図24Fは、自己整合型素子において上面酸化物を除去する際に硬
いマスクをアンダーエッチングすることから生じ得る問題を示している。
24A-24F illustrate problems that can result from underetching a hard mask when removing top oxide in a self-aligned device.

【図24B】 図24A〜図24Fは、自己整合型素子において上面酸化物を除去する際に硬
いマスクをアンダーエッチングすることから生じ得る問題を示している。
24A-24F illustrate problems that can result from underetching a hard mask when removing top oxide in a self-aligned device.

【図24C】 図24A〜図24Fは、自己整合型素子において上面酸化物を除去する際に硬
いマスクをアンダーエッチングすることから生じ得る問題を示している。
24A-24F illustrate problems that can result from underetching a hard mask when removing top oxide in a self-aligned device.

【図24D】 図24A〜図24Fは、自己整合型素子において上面酸化物を除去する際に硬
いマスクをアンダーエッチングすることから生じ得る問題を示している。
24A-24F illustrate problems that can result from underetching a hard mask when removing top oxide in a self-aligned device.

【図24E】 図24A〜図24Fは、自己整合型素子において上面酸化物を除去する際に硬
いマスクをアンダーエッチングすることから生じ得る問題を示している。
24A-24F illustrate problems that can result from underetching a hard mask when removing top oxide in a self-aligned device.

【図24F】 図24A〜図24Fは、自己整合型素子において上面酸化物を除去する際に硬
いマスクをアンダーエッチングすることから生じ得る問題を示している。
24A-24F illustrate problems that can result from underetching a hard mask when removing top oxide in a self-aligned device.

【図25A】 図25A〜図25Hは、厚い底部酸化物層と窒化物サイドスペーサとを有する
トレンチパワーMOSFETの製造プロセスを示している。
25A-25H show a manufacturing process for a trench power MOSFET having a thick bottom oxide layer and a nitride side spacer.

【図25B】 図25A〜図25Hは、厚い底部酸化物層と窒化物サイドスペーサとを有する
トレンチパワーMOSFETの製造プロセスを示している。
25A-25H show a fabrication process for a trench power MOSFET with a thick bottom oxide layer and a nitride side spacer.

【図25C】 図25A〜図25Hは、厚い底部酸化物層と窒化物サイドスペーサとを有する
トレンチパワーMOSFETの製造プロセスを示している。
25A-25H show a manufacturing process for a trench power MOSFET having a thick bottom oxide layer and a nitride side spacer.

【図25D】 図25A〜図25Hは、厚い底部酸化物層と窒化物サイドスペーサとを有する
トレンチパワーMOSFETの製造プロセスを示している。
25A-25H show a manufacturing process for a trench power MOSFET with a thick bottom oxide layer and a nitride side spacer.

【図25E】 図25A〜図25Hは、厚い底部酸化物層と窒化物サイドスペーサとを有する
トレンチパワーMOSFETの製造プロセスを示している。
25A-25H show a fabrication process for a trench power MOSFET with a thick bottom oxide layer and a nitride side spacer.

【図25F】 図25A〜図25Hは、厚い底部酸化物層と窒化物サイドスペーサとを有する
トレンチパワーMOSFETの製造プロセスを示している。
25A-25H show a fabrication process for a trench power MOSFET with a thick bottom oxide layer and a nitride side spacer.

【図25G】 図25A〜図25Hは、厚い底部酸化物層と窒化物サイドスペーサとを有する
トレンチパワーMOSFETの製造プロセスを示している。
25A-25H show a manufacturing process for a trench power MOSFET having a thick bottom oxide layer and a nitride side spacer.

【図25H】 図25A〜図25Hは、厚い底部酸化物層と窒化物サイドスペーサとを有する
トレンチパワーMOSFETの製造プロセスを示している。
25A-25H show a manufacturing process for a trench power MOSFET having a thick bottom oxide layer and a nitride side spacer.

【図26A】 図26A及び図26Bは、厚い底部酸化物にゲート酸化物層を形成する際に生
じ得る問題を示している。
26A and 26B illustrate problems that may occur when forming a gate oxide layer on a thick bottom oxide.

【図26B】 図26A及び図26Bは、厚い底部酸化物にゲート酸化物層を形成する際に生
じ得る問題を示している。
26A and 26B illustrate problems that can occur when forming a gate oxide layer on a thick bottom oxide.

【図27A】 図27A〜図27Dは、図26A及び図26Bに示した問題を避ける方法を示
している。
27A-27D show how to avoid the problem shown in FIGS. 26A and 26B.

【図27B】 図27A〜図27Dは、図26A及び図26Bに示した問題を避ける方法を示
している。
27A-27D show how to avoid the problem shown in FIGS. 26A and 26B.

【図27C】 図27A〜図27Dは、図26A及び図26Bに示した問題を避ける方法を示
している。
27A-27D show a method of avoiding the problem shown in FIGS. 26A and 26B.

【図27D】 図27A〜図27Dは、図26A及び図26Bに示した問題を避ける方法を示
している。
27A-27D show how to avoid the problem shown in FIGS. 26A and 26B.

【図28】 図28〜図33は、本発明に従って製造することができる様々なタイのプトレ
ンチパワーMOSFETを示している。
28-33 show various types of tie trench power MOSFETs that can be manufactured in accordance with the present invention.

【図29】 図28〜図33は、本発明に従って製造することができる様々なタイのプトレ
ンチパワーMOSFETを示している。
FIGS. 28-33 show various tie trench power MOSFETs that can be manufactured in accordance with the present invention.

【図30】 図28〜図33は、本発明に従って製造することができる様々なタイのプトレ
ンチパワーMOSFETを示している。
28-33 show various tie trench power MOSFETs that can be manufactured in accordance with the present invention.

【図31】 図28〜図33は、本発明に従って製造することができる様々なタイのプトレ
ンチパワーMOSFETを示している。
28-33 show various tie trench power MOSFETs that can be manufactured in accordance with the present invention.

【図32】 図28〜図33は、本発明に従って製造することができる様々なタイのプトレ
ンチパワーMOSFETを示している。
28-33 show various tie trench power MOSFETs that can be manufactured in accordance with the present invention.

【図33】 図28〜図33は、本発明に従って製造することができる様々なタイのプトレ
ンチパワーMOSFETを示している。
FIGS. 28-33 illustrate various types of tie trench power MOSFETs that can be manufactured in accordance with the present invention.

【図34】 図34は、従来のコンタクトマスクを使用しつつ厚い底部酸化物層を備えたト
レンチパワーMOSFETを製造するプロセスシーケンスのフロー図を示してい
る。
FIG. 34 shows a flow diagram of a process sequence for manufacturing a trench power MOSFET with a thick bottom oxide layer while using a conventional contact mask.

【図35A】 図35A〜35Lは、図34のプロセスを示す断面図である。FIG. 35A   35A to 35L are cross-sectional views showing the process of FIG.

【図35B】 図35A〜35Lは、図34のプロセスを示す断面図である。FIG. 35B   35A to 35L are cross-sectional views showing the process of FIG.

【図35C】 図35A〜35Lは、図34のプロセスを示す断面図である。FIG. 35C   35A to 35L are cross-sectional views showing the process of FIG.

【図35D】 図35A〜35Lは、図34のプロセスを示す断面図である。FIG. 35D   35A to 35L are cross-sectional views showing the process of FIG.

【図35E】 図35A〜35Lは、図34のプロセスを示す断面図である。[FIG. 35E]   35A to 35L are cross-sectional views showing the process of FIG.

【図35F】 図35A〜35Lは、図34のプロセスを示す断面図である。FIG. 35F   35A to 35L are cross-sectional views showing the process of FIG.

【図35G】 図35A〜35Lは、図34のプロセスを示す断面図である。FIG. 35G   35A to 35L are cross-sectional views showing the process of FIG.

【図35H】 図35A〜35Lは、図34のプロセスを示す断面図である。FIG. 35H   35A to 35L are cross-sectional views showing the process of FIG.

【図35I】 図35A〜35Lは、図34のプロセスを示す断面図である。FIG. 35I   35A to 35L are cross-sectional views showing the process of FIG.

【図35J】 図35A〜35Lは、図34のプロセスを示す断面図である。[FIG. 35J]   35A to 35L are cross-sectional views showing the process of FIG.

【図35K】 図35A〜35Lは、図34のプロセスを示す断面図である。[Fig. 35K]   35A to 35L are cross-sectional views showing the process of FIG.

【図35L】 図35A〜35Lは、図34のプロセスを示す断面図である。FIG. 35L   35A to 35L are cross-sectional views showing the process of FIG.

【図36】 図36〜図39は、「鍵穴」状ゲート電極を有するトレンチパワーMOSFE
Tを示す断面図である。
36-39 show a trench power MOSFE with a "keyhole" shaped gate electrode.
It is sectional drawing which shows T.

【図37】 図36〜図39は、「鍵穴」状ゲート電極を有するトレンチパワーMOSFE
Tを示す断面図である。
36-39 show a trench power MOSFE with a "keyhole" shaped gate electrode.
It is sectional drawing which shows T.

【図38】 図36〜図39は、「鍵穴」状ゲート電極を有するトレンチパワーMOSFE
Tを示す断面図である。
FIGS. 36-39 show trench power MOSFEs with “keyhole” shaped gate electrodes.
It is sectional drawing which shows T.

【図39】 図36〜図39は、「鍵穴」状ゲート電極を有するトレンチパワーMOSFE
Tを示す断面図である。
FIGS. 36-39 show trench power MOSFE with “keyhole” shaped gate electrode.
It is sectional drawing which shows T.

【図40A】 図40A〜図40Lは、鍵穴状ゲート電極を有するMOSFETを製造するた
めのプロセスシーケンスを示している。
40A-40L show a process sequence for manufacturing a MOSFET having a keyhole-shaped gate electrode.

【図40B】 図40A〜図40Lは、鍵穴状ゲート電極を有するMOSFETを製造するた
めのプロセスシーケンスを示している。
40A-40L show a process sequence for manufacturing a MOSFET having a keyhole-shaped gate electrode.

【図40C】 図40A〜図40Lは、鍵穴状ゲート電極を有するMOSFETを製造するた
めのプロセスシーケンスを示している。
40A-40L show a process sequence for manufacturing a MOSFET having a keyhole-shaped gate electrode.

【図40D】 図40A〜図40Lは、鍵穴状ゲート電極を有するMOSFETを製造するた
めのプロセスシーケンスを示している。
40A-40L show a process sequence for manufacturing a MOSFET having a keyhole-shaped gate electrode.

【図40E】 図40A〜図40Lは、鍵穴状ゲート電極を有するMOSFETを製造するた
めのプロセスシーケンスを示している。
40A-40L show a process sequence for manufacturing a MOSFET having a keyhole-shaped gate electrode.

【図40F】 図40A〜図40Lは、鍵穴状ゲート電極を有するMOSFETを製造するた
めのプロセスシーケンスを示している。
40A-40L show a process sequence for manufacturing a MOSFET having a keyhole-shaped gate electrode.

【図40G】 図40A〜図40Lは、鍵穴状ゲート電極を有するMOSFETを製造するた
めのプロセスシーケンスを示している。
40A-40L show a process sequence for manufacturing a MOSFET having a keyhole-shaped gate electrode.

【図40H】 図40A〜図40Lは、鍵穴状ゲート電極を有するMOSFETを製造するた
めのプロセスシーケンスを示している。
40A to 40L show a process sequence for manufacturing a MOSFET having a keyhole-shaped gate electrode.

【図40I】 図40A〜図40Lは、鍵穴状ゲート電極を有するMOSFETを製造するた
めのプロセスシーケンスを示している。
40A-40L show a process sequence for manufacturing a MOSFET having a keyhole-shaped gate electrode.

【図40J】 図40A〜図40Lは、鍵穴状ゲート電極を有するMOSFETを製造するた
めのプロセスシーケンスを示している。
40A-40L show a process sequence for manufacturing a MOSFET having a keyhole-shaped gate electrode.

【図40K】 図40A〜図40Lは、鍵穴状ゲート電極を有するMOSFETを製造するた
めのプロセスシーケンスを示している。
40A-40L show a process sequence for manufacturing a MOSFET having a keyhole-shaped gate electrode.

【図40L】 図40A〜図40Lは、鍵穴状ゲート電極を有するMOSFETを製造するた
めのプロセスシーケンスを示している。
40A-40L show a process sequence for manufacturing a MOSFET having a keyhole-shaped gate electrode.

【図41A】 図41A〜図41Fは、鍵穴状ゲート電極を有するMOSFETを製造するた
めの代替的なプロセスシーケンスを示している。
41A-41F show an alternative process sequence for manufacturing a MOSFET having a keyhole-shaped gate electrode.

【図41B】 図41A〜図41Fは、鍵穴状ゲート電極を有するMOSFETを製造するた
めの代替的なプロセスシーケンスを示している。
41A-41F show an alternative process sequence for manufacturing a MOSFET having a keyhole-shaped gate electrode.

【図41C】 図41A〜図41Fは、鍵穴状ゲート電極を有するMOSFETを製造するた
めの代替的なプロセスシーケンスを示している。
41A-41F show an alternative process sequence for manufacturing a MOSFET having a keyhole-shaped gate electrode.

【図41D】 図41A〜図41Fは、鍵穴状ゲート電極を有するMOSFETを製造するた
めの代替的なプロセスシーケンスを示している。
41A-41F show an alternative process sequence for manufacturing a MOSFET having a keyhole-shaped gate electrode.

【図41E】 図41A〜図41Fは、鍵穴状ゲート電極を有するMOSFETを製造するた
めの代替的なプロセスシーケンスを示している。
41A-41F show an alternative process sequence for manufacturing a MOSFET having a keyhole-shaped gate electrode.

【図41F】 図41A〜図41Fは、鍵穴状ゲート電極を有するMOSFETを製造するた
めの代替的なプロセスシーケンスを示している。
41A-41F show an alternative process sequence for manufacturing a MOSFET having a keyhole-shaped gate electrode.

【図42A】 図42A〜図42Cは、それぞれ、従来のパワーMOSFET、厚い底部ゲー
ト酸化物を有するパワーMOSFET、及び鍵穴状ゲート電極を有するパワーM
OSFETにおける電界の強度を示している。
42A-42C show a conventional power MOSFET, a power MOSFET with a thick bottom gate oxide, and a power M with a keyhole-shaped gate electrode, respectively.
The strength of the electric field in the OSFET is shown.

【図42B】 図42A〜図42Cは、それぞれ、従来のパワーMOSFET、厚い底部ゲー
ト酸化物を有するパワーMOSFET、及び鍵穴状ゲート電極を有するパワーM
OSFETにおける電界の強度を示している。
42A-42C show a conventional power MOSFET, a power MOSFET with a thick bottom gate oxide, and a power M with a keyhole-shaped gate electrode, respectively.
The strength of the electric field in the OSFET is shown.

【図42C】 図42A〜図42Cは、それぞれ、従来のパワーMOSFET、厚い底部ゲー
ト酸化物を有するパワーMOSFET、及び鍵穴状ゲート電極を有するパワーM
OSFETにおける電界の強度を示している。
42A-42C show a conventional power MOSFET, a power MOSFET with a thick bottom gate oxide, and a power M with a keyhole-shaped gate electrode, respectively.
The strength of the electric field in the OSFET is shown.

【手続補正書】特許協力条約第34条補正の翻訳文提出書[Procedure for Amendment] Submission for translation of Article 34 Amendment of Patent Cooperation Treaty

【提出日】平成12年12月15日(2000.12.15)[Submission date] December 15, 2000 (2000.12.15)

【手続補正1】[Procedure Amendment 1]

【補正対象書類名】明細書[Document name to be amended] Statement

【補正対象項目名】0043[Correction target item name] 0043

【補正方法】変更[Correction method] Change

【補正の内容】[Contents of correction]

【0043】 図13A-13Nは、酸化物の“ディップバック”法を用いるプロセスを表す
。プロセスは基板260上に形成されたepi層262から始まる。マスク層26
4はepi層262の上面に形成され、トレンチが形成される開口を備える。マス
ク層264はフォトレジスト若しくは複数のその他の材料でも良く、それらは酸
化物層266の上部に形成されてもよい。トレンチ268が、図13Aに示され
るように従来のプロセスを用いて形成される。
13A-13N represent a process using the oxide “dipback” method. The process begins with epi layer 262 formed on substrate 260. Mask layer 26
4 is formed on the upper surface of the epi layer 262 and has an opening in which a trench is formed. The mask layer 264 may be photoresist or some other material, which may be formed on top of the oxide layer 266. Trenches 268 are formed using conventional processes as shown in Figure 13A.

【手続補正2】[Procedure Amendment 2]

【補正対象書類名】明細書[Document name to be amended] Statement

【補正対象項目名】0080[Correction target item name] 0080

【補正方法】変更[Correction method] Change

【補正の内容】[Contents of correction]

【0080】 図34は、従来のコンタクトマスクおよび組み込まれた厚いトレンチ底部の酸
化物を用いたトレンチMOSFETのための、プロセスの流れを示した概念図で
ある。プロセスの過程は、通常ドレイン及び深いボディ領域の構築、トレンチの
エッチング及びゲートの構築、ボディ及びソース領域の埋込、コンタクトの開口
及び金属層の被着を含む。図34では、方形の角が欠けたものは、オプションの
過程を表す。したがって、注入若しくは注入及び拡散によるより深いボディ領域
の導入は、このプロセスと一致している。
FIG. 34 is a conceptual diagram showing a process flow for a conventional contact mask and a trench MOSFET using an embedded thick trench bottom oxide. Process steps typically include drain and deep body region build, trench etch and gate build, body and source region fill, contact openings and metal layer deposition. In FIG. 34, the square corners lacking represent an optional process. Therefore, the introduction of deeper body regions by implantation or implantation and diffusion is consistent with this process.

【手続補正3】[Procedure 3]

【補正対象書類名】図面[Document name to be corrected] Drawing

【補正対象項目名】図19I[Correction target item name] FIG. 19I

【補正方法】変更[Correction method] Change

【補正の内容】[Contents of correction]

【図19I】 FIG. 19I

【手続補正書】特許協力条約第34条補正の翻訳文提出書[Procedure for Amendment] Submission for translation of Article 34 Amendment of Patent Cooperation Treaty

【提出日】平成13年7月11日(2001.7.11)[Submission Date] July 11, 2001 (2001.7.11)

【手続補正1】[Procedure Amendment 1]

【補正対象書類名】明細書[Document name to be amended] Statement

【補正対象項目名】0066[Correction target item name] 0066

【補正方法】変更[Correction method] Change

【補正の内容】[Contents of correction]

【0066】 トレンチの底部に於ける厚い酸化物層408の構成を伴う、ゲート酸化物層4
06が形成される場合、ゲート酸化物層406は図21Cに示されるようにトレ
ンチの上部のコーナーを十分には覆わないかもしれない。図21D及び21Eは
、ポリシリコン層412が被着され、窒化物層414を用いて、素子のアクティ
ブアレイからはエッチバックされた後の構成を示し、トレンチの上部のコーナー
でポリシリコン層412とepi層とを隔離させる薄い酸化物層を示している。
Gate oxide layer 4 with the formation of a thick oxide layer 408 at the bottom of the trench.
If 06 is formed, the gate oxide layer 406 may not fully cover the upper corners of the trench as shown in Figure 21C. 21D and 21E show the configuration after a polysilicon layer 412 has been deposited and etched back from the active array of devices using a nitride layer 414, with polysilicon layer 412 at the upper corners of the trench. A thin oxide layer is shown separating the epi layer.

───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),OA(BF,BJ ,CF,CG,CI,CM,GA,GN,GW,ML, MR,NE,SN,TD,TG),AP(GH,GM,K E,LS,MW,MZ,SD,SL,SZ,TZ,UG ,ZW),EA(AM,AZ,BY,KG,KZ,MD, RU,TJ,TM),AE,AG,AL,AM,AT, AU,AZ,BA,BB,BG,BR,BY,CA,C H,CN,CR,CU,CZ,DE,DK,DM,DZ ,EE,ES,FI,GB,GD,GE,GH,GM, HR,HU,ID,IL,IN,IS,JP,KE,K G,KP,KR,KZ,LC,LK,LR,LS,LT ,LU,LV,MA,MD,MG,MK,MN,MW, MX,MZ,NO,NZ,PL,PT,RO,RU,S D,SE,SG,SI,SK,SL,TJ,TM,TR ,TT,TZ,UA,UG,UZ,VN,YU,ZA, ZW (72)発明者 ウィリアムス、リチャード・ケイ アメリカ合衆国カリフォルニア州95014・ クーペルティーノ・ノーウィッチアベニュ ー 10292 (72)発明者 グラボウスキー、ウェイン・ビー アメリカ合衆国カリフォルニア州94024・ ロスアルトス・ミラベルアベニュー 1390 【要約の続き】 (320)は酸化され、トレンチ(268)はポリシリ コンで満たされる。これらのプロセスは、酸化物の方向 性被着に続いてポリシリコンの充填及び酸化を行うよう にして、組み合わせることができる。“鍵穴”形ゲート 電極(634)の形成方法は、トレンチ(606)の底 にポリシリコンを被着する過程と、ポリシリコンの上面 を酸化する過程と、酸化されたポリシリコンをエッチン グする過程と、トレンチ(606)をポリシリコンで充 填する過程とを含む。─────────────────────────────────────────────────── ─── Continued front page    (81) Designated countries EP (AT, BE, CH, CY, DE, DK, ES, FI, FR, GB, GR, IE, I T, LU, MC, NL, PT, SE), OA (BF, BJ , CF, CG, CI, CM, GA, GN, GW, ML, MR, NE, SN, TD, TG), AP (GH, GM, K E, LS, MW, MZ, SD, SL, SZ, TZ, UG , ZW), EA (AM, AZ, BY, KG, KZ, MD, RU, TJ, TM), AE, AG, AL, AM, AT, AU, AZ, BA, BB, BG, BR, BY, CA, C H, CN, CR, CU, CZ, DE, DK, DM, DZ , EE, ES, FI, GB, GD, GE, GH, GM, HR, HU, ID, IL, IN, IS, JP, KE, K G, KP, KR, KZ, LC, LK, LR, LS, LT , LU, LV, MA, MD, MG, MK, MN, MW, MX, MZ, NO, NZ, PL, PT, RO, RU, S D, SE, SG, SI, SK, SL, TJ, TM, TR , TT, TZ, UA, UG, UZ, VN, YU, ZA, ZW (72) Inventor Williams, Richard Kay             California 95014, USA             Coupertino Norwich Avenue             ー 10292 (72) Inventor Glabowski, Wayne Bee             United States California 94024             Los Altos Mirabell Avenue 1390 [Continued summary] (320) is oxidized and trench (268) is Filled with con. These processes depend on the orientation of the oxide To deposit polysilicon and oxidize subsequent to thermal deposition Can be combined. "Keyhole" shaped gate The method of forming the electrode (634) is based on the bottom of the trench (606). Process of depositing polysilicon on the top surface of polysilicon The process of oxidizing the silicon and etching the oxidized polysilicon And the trench (606) is filled with polysilicon. And the process of filling.

Claims (4)

【特許請求の範囲】[Claims] 【請求項1】 トレンチ半導体素子の製造方法であって、 半導体材料を用意する過程と、 反応チャンバ内に前記半導体材料を導入する過程と、 前記半導体材料にトレンチを形成する過程と、 前記チャンバ内で、電荷を帯びた誘電体の粒子を生成する過程と、 前記チャンバ内に電界を形成する過程と、 前記トレンチ内に前記誘電体の層を形成する過程と、 前記誘電体が、前記トレンチの側壁よりも底部の方で厚く被着されるように、
前記電界を利用して、電荷を帯びた粒子を前記半導体材料に向けて加速する過程
と、 前記トレンチに導電材料を被着することにより、ゲート電極を形成する過程と
を有することを特徴とする方法。
1. A method of manufacturing a trench semiconductor device, comprising: preparing a semiconductor material; introducing the semiconductor material into a reaction chamber; forming a trench in the semiconductor material; A step of generating charged dielectric particles, a step of forming an electric field in the chamber, a step of forming a layer of the dielectric in the trench, and a step of forming the dielectric layer in the trench. So that the bottom is thicker than the side wall,
A step of accelerating charged particles toward the semiconductor material by using the electric field; and a step of forming a gate electrode by depositing a conductive material on the trench. Method.
【請求項2】 帯電粒子を生成する過程が、前記チャンバ内で少なくとも
2種のガスを反応させることを含むことを特徴とする請求項1に記載の方法。
2. The method of claim 1, wherein the step of producing charged particles comprises reacting at least two gases within the chamber.
【請求項3】 帯電粒子を生成する過程が、前記チャンバ内でプラズマを
生成することを含むことを特徴とする請求項2に記載の方法。
3. The method of claim 2, wherein the step of producing charged particles comprises producing a plasma in the chamber.
【請求項4】 帯電粒子を生成する過程が、スパッタリングを含むことを
特徴とする請求項1に記載の方法。
4. The method of claim 1, wherein the step of producing charged particles comprises sputtering.
JP2000620671A 1999-05-25 2000-05-24 Method of manufacturing a trench semiconductor device with a gate oxide layer having a plurality of thicknesses Expired - Fee Related JP4834228B2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/318,403 US6291298B1 (en) 1999-05-25 1999-05-25 Process of manufacturing Trench gate semiconductor device having gate oxide layer with multiple thicknesses
US09/318,403 1999-05-25
PCT/US2000/014363 WO2000072372A1 (en) 1999-05-25 2000-05-24 Trench semiconductor device having gate oxide layer with multiple thicknesses and processes of fabricating the same

Publications (3)

Publication Number Publication Date
JP2003509836A true JP2003509836A (en) 2003-03-11
JP2003509836A5 JP2003509836A5 (en) 2007-07-12
JP4834228B2 JP4834228B2 (en) 2011-12-14

Family

ID=23238055

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000620671A Expired - Fee Related JP4834228B2 (en) 1999-05-25 2000-05-24 Method of manufacturing a trench semiconductor device with a gate oxide layer having a plurality of thicknesses

Country Status (9)

Country Link
US (6) US6291298B1 (en)
EP (2) EP2020681B1 (en)
JP (1) JP4834228B2 (en)
KR (1) KR100700322B1 (en)
CN (1) CN1205658C (en)
AU (1) AU5044600A (en)
DE (1) DE60044396D1 (en)
TW (1) TW457629B (en)
WO (1) WO2000072372A1 (en)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004500716A (en) * 2000-03-17 2004-01-08 ゼネラル セミコンダクター,インク. Double diffused metal oxide semiconductor transistor having trench gate electrode and method of manufacturing the same
JP2005510087A (en) * 2001-11-15 2005-04-14 ゼネラル セミコンダクター,インク. Trench metal oxide semiconductor field effect transistor with low gate charge
JP2007258582A (en) * 2006-03-24 2007-10-04 Toyota Motor Corp Manufacturing method for insulated gate semiconductor device
JP2008505480A (en) * 2004-06-30 2008-02-21 アドバンスト・アナロジック・テクノロジーズ・インコーポレイテッド Trench type MOSFET with clamp diode in deep position
JP2008166696A (en) * 2006-12-26 2008-07-17 Magnachip Semiconductor Ltd Transistor having recess channel, and its manufacturing method
JP2008270606A (en) * 2007-04-23 2008-11-06 New Japan Radio Co Ltd Mosfet semiconductor device and manufacturing method therefor
JP2009088188A (en) * 2007-09-28 2009-04-23 Sanyo Electric Co Ltd Trench gate type transistor and method for manufacturing same
JP2009224365A (en) * 2008-03-13 2009-10-01 Rohm Co Ltd Semiconductor device and method for fabricating the same
JP2010258386A (en) * 2009-04-28 2010-11-11 Fuji Electric Systems Co Ltd Silicon carbide semiconductor device, and method of manufacturing the same
US8076720B2 (en) 2007-09-28 2011-12-13 Semiconductor Components Industries, Llc Trench gate type transistor
JP2012146977A (en) * 2010-12-28 2012-08-02 Infineon Technologies Austria Ag Semiconductor device including diode
JP2013084663A (en) * 2011-10-06 2013-05-09 Shin Etsu Handotai Co Ltd Bonded soi wafer manufacturing method
US8658433B2 (en) 2000-01-18 2014-02-25 Mallinckrodt Llc Dye compounds as photoactive agents
WO2014171211A1 (en) * 2013-04-16 2014-10-23 住友電気工業株式会社 Method for manufacturing silicon carbide semiconductor device
WO2014171210A1 (en) * 2013-04-16 2014-10-23 住友電気工業株式会社 Silicon-carbide-semiconductor-device manufacturing method and silicon-carbide semiconductor device
JP2016528729A (en) * 2013-07-17 2016-09-15 クリー インコーポレイテッドCree Inc. Enhanced gate dielectric for field effect devices with trench gates
JP2018170441A (en) * 2017-03-30 2018-11-01 豊田合成株式会社 Semiconductor apparatus and manufacturing method of the same

Families Citing this family (152)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6291298B1 (en) * 1999-05-25 2001-09-18 Advanced Analogic Technologies, Inc. Process of manufacturing Trench gate semiconductor device having gate oxide layer with multiple thicknesses
US7084456B2 (en) * 1999-05-25 2006-08-01 Advanced Analogic Technologies, Inc. Trench MOSFET with recessed clamping diode using graded doping
TW442972B (en) * 1999-10-01 2001-06-23 Anpec Electronics Corp Fabricating method of trench-type gate power metal oxide semiconductor field effect transistor
US6825087B1 (en) 1999-11-24 2004-11-30 Fairchild Semiconductor Corporation Hydrogen anneal for creating an enhanced trench for trench MOSFETS
US6391699B1 (en) * 2000-06-05 2002-05-21 Fairchild Semiconductor Corporation Method of manufacturing a trench MOSFET using selective growth epitaxy
JP2002043573A (en) * 2000-07-28 2002-02-08 Toyota Motor Corp Semiconductor device and its manufacturing method
US7745289B2 (en) 2000-08-16 2010-06-29 Fairchild Semiconductor Corporation Method of forming a FET having ultra-low on-resistance and low gate charge
US6509233B2 (en) 2000-10-13 2003-01-21 Siliconix Incorporated Method of making trench-gated MOSFET having cesium gate oxide layer
KR100338783B1 (en) * 2000-10-28 2002-06-01 Samsung Electronics Co Ltd Semiconductor device having expanded effective width of active region and fabricating method thereof
US6730606B1 (en) * 2000-11-03 2004-05-04 Semiconductor Components Industries, L.L.C. Trench growth techniques using selective epitaxy
US6368912B1 (en) * 2000-12-08 2002-04-09 Nanya Technology Corporation Method of fabricating an isolation structure between a vertical transistor and a deep trench capacitor
US6916745B2 (en) 2003-05-20 2005-07-12 Fairchild Semiconductor Corporation Structure and method for forming a trench MOSFET having self-aligned features
US6803626B2 (en) 2002-07-18 2004-10-12 Fairchild Semiconductor Corporation Vertical charge control semiconductor device
US6818513B2 (en) 2001-01-30 2004-11-16 Fairchild Semiconductor Corporation Method of forming a field effect transistor having a lateral depletion structure
JP4073176B2 (en) 2001-04-02 2008-04-09 新電元工業株式会社 Semiconductor device and manufacturing method thereof
US6764906B2 (en) * 2001-07-03 2004-07-20 Siliconix Incorporated Method for making trench mosfet having implanted drain-drift region
US7009247B2 (en) * 2001-07-03 2006-03-07 Siliconix Incorporated Trench MIS device with thick oxide layer in bottom of gate contact trench
US7033876B2 (en) 2001-07-03 2006-04-25 Siliconix Incorporated Trench MIS device having implanted drain-drift region and thick bottom oxide and process for manufacturing the same
US7291884B2 (en) * 2001-07-03 2007-11-06 Siliconix Incorporated Trench MIS device having implanted drain-drift region and thick bottom oxide
US6849898B2 (en) * 2001-08-10 2005-02-01 Siliconix Incorporated Trench MIS device with active trench corners and thick bottom oxide
US20060038223A1 (en) * 2001-07-03 2006-02-23 Siliconix Incorporated Trench MOSFET having drain-drift region comprising stack of implanted regions
US6882000B2 (en) 2001-08-10 2005-04-19 Siliconix Incorporated Trench MIS device with reduced gate-to-drain capacitance
EP1302987A1 (en) * 2001-10-16 2003-04-16 Siliconix, Inc. Trench-gated vertical MOSFET having gate oxide layer containing cesium and process of forming the same
JP3891090B2 (en) * 2001-12-06 2007-03-07 株式会社デンソー Freewheeling diode and load driving circuit
GB0129450D0 (en) * 2001-12-08 2002-01-30 Koninkl Philips Electronics Nv Trenched semiconductor devices and their manufacture
DE10207309B4 (en) * 2002-02-21 2015-07-23 Infineon Technologies Ag MOS transistor means
TWI248136B (en) * 2002-03-19 2006-01-21 Infineon Technologies Ag Method for fabricating a transistor arrangement having trench transistor cells having a field electrode
KR100878220B1 (en) * 2002-05-24 2009-01-13 삼성전자주식회사 Liquid crystal display device
KR100845227B1 (en) * 2002-06-27 2008-07-09 매그나칩 반도체 유한회사 Method for forming isolation
US6855985B2 (en) * 2002-09-29 2005-02-15 Advanced Analogic Technologies, Inc. Modular bipolar-CMOS-DMOS analog integrated circuit & power transistor technology
US7576388B1 (en) 2002-10-03 2009-08-18 Fairchild Semiconductor Corporation Trench-gate LDMOS structures
US6710418B1 (en) 2002-10-11 2004-03-23 Fairchild Semiconductor Corporation Schottky rectifier with insulation-filled trenches and method of forming the same
GB0229212D0 (en) * 2002-12-14 2003-01-22 Koninkl Philips Electronics Nv Method of manufacture of a trench semiconductor device
GB0229210D0 (en) * 2002-12-14 2003-01-22 Koninkl Philips Electronics Nv Method of manufacture of a trench semiconductor device
AU2003303014A1 (en) 2002-12-14 2004-07-09 Koninklijke Philips Electronics N.V. Manufacture of trench-gate semiconductor devices
JP5362955B2 (en) * 2003-01-21 2013-12-11 ノース−ウエスト ユニヴァーシティ High-speed switching insulated gate power semiconductor device
US6861701B2 (en) 2003-03-05 2005-03-01 Advanced Analogic Technologies, Inc. Trench power MOSFET with planarized gate bus
US6939817B2 (en) * 2003-05-08 2005-09-06 Micron Technology, Inc. Removal of carbon from an insulative layer using ozone
US7652326B2 (en) 2003-05-20 2010-01-26 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture
US7754550B2 (en) * 2003-07-10 2010-07-13 International Rectifier Corporation Process for forming thick oxides on Si or SiC for semiconductor devices
JP3954541B2 (en) * 2003-08-05 2007-08-08 株式会社東芝 Semiconductor device and manufacturing method thereof
KR100994719B1 (en) 2003-11-28 2010-11-16 페어차일드코리아반도체 주식회사 Superjunction semiconductor device
DE10361697B4 (en) * 2003-12-30 2011-08-11 Infineon Technologies AG, 81669 Method for producing an oxidation-lining trench structure, for producing a semiconductor integrated circuit arrangement or a chip, for producing a semiconductor component, and semiconductor integrated circuit device produced by this method, manufactured chip, semiconductor component produced
US7368777B2 (en) 2003-12-30 2008-05-06 Fairchild Semiconductor Corporation Accumulation device with charge balance structure and method of forming the same
KR100545177B1 (en) * 2003-12-31 2006-01-24 동부아남반도체 주식회사 Isolation Layer of Semiconductor Device and manufacturing process thereof
JP2005340626A (en) * 2004-05-28 2005-12-08 Toshiba Corp Semiconductor device
US7268395B2 (en) 2004-06-04 2007-09-11 International Rectifier Corporation Deep trench super switch device
US7417266B1 (en) * 2004-06-10 2008-08-26 Qspeed Semiconductor Inc. MOSFET having a JFET embedded as a body diode
US7352036B2 (en) 2004-08-03 2008-04-01 Fairchild Semiconductor Corporation Semiconductor power device having a top-side drain using a sinker trench
JP4791723B2 (en) * 2004-10-18 2011-10-12 株式会社東芝 Semiconductor device and manufacturing method thereof
US7371641B2 (en) * 2004-10-29 2008-05-13 International Rectifier Corporation Method of making a trench MOSFET with deposited oxide
US20060163650A1 (en) * 2005-01-27 2006-07-27 Ling Ma Power semiconductor device with endless gate trenches
KR100729923B1 (en) * 2005-03-31 2007-06-18 주식회사 하이닉스반도체 Method of forming transistor using the step shallow trench isolation profile in a nand flash memory device
JP2008536316A (en) 2005-04-06 2008-09-04 フェアチャイルド・セミコンダクター・コーポレーション Trench gate field effect transistor and method of forming the same
US8115252B2 (en) * 2005-05-12 2012-02-14 M-Mos Sdn.Bhd Elimination of gate oxide weak spot in deep trench
JP4955222B2 (en) * 2005-05-20 2012-06-20 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
KR101296922B1 (en) * 2005-06-10 2013-08-14 페어차일드 세미컨덕터 코포레이션 Charge balance field effect transistor
EP1742257B1 (en) * 2005-07-08 2012-09-05 STMicroelectronics Srl Method of manufacturing a semiconductor power device
CN101506956A (en) * 2005-08-17 2009-08-12 国际整流器公司 A method for fabricating a semiconductor device
US7943990B2 (en) * 2005-08-17 2011-05-17 International Rectifier Corporation Power semiconductor device with interconnected gate trenches
US7446374B2 (en) 2006-03-24 2008-11-04 Fairchild Semiconductor Corporation High density trench FET with integrated Schottky diode and method of manufacture
US7319256B1 (en) 2006-06-19 2008-01-15 Fairchild Semiconductor Corporation Shielded gate trench FET with the shield and gate electrodes being connected together
US8642441B1 (en) 2006-12-15 2014-02-04 Spansion Llc Self-aligned STI with single poly for manufacturing a flash memory device
US7723172B2 (en) * 2007-04-23 2010-05-25 Icemos Technology Ltd. Methods for manufacturing a trench type semiconductor device having a thermally sensitive refill material
US8222874B2 (en) 2007-06-26 2012-07-17 Vishay-Siliconix Current mode boost converter using slope compensation
US8129779B2 (en) 2007-09-03 2012-03-06 Rohm Co., Ltd. Trench gate type VDMOSFET device with thicker gate insulation layer portion for reducing gate to source capacitance
EP2208229A4 (en) 2007-09-21 2011-03-16 Fairchild Semiconductor Superjunction structures for power devices and methods of manufacture
TWI383500B (en) * 2007-10-12 2013-01-21 Promos Technologies Inc Novel structure of power mosfet
TW200921912A (en) * 2007-11-05 2009-05-16 Anpec Electronics Corp Power transistor capable of decreasing capacitance between gate and drain
KR100970282B1 (en) * 2007-11-19 2010-07-15 매그나칩 반도체 유한회사 Trench MOSFET and Manufacturing Method thereof
JP5266738B2 (en) * 2007-12-05 2013-08-21 トヨタ自動車株式会社 Manufacturing method of trench gate type semiconductor device
US20100013009A1 (en) * 2007-12-14 2010-01-21 James Pan Structure and Method for Forming Trench Gate Transistors with Low Gate Resistance
US7772668B2 (en) * 2007-12-26 2010-08-10 Fairchild Semiconductor Corporation Shielded gate trench FET with multiple channels
JP2009182114A (en) * 2008-01-30 2009-08-13 Elpida Memory Inc Semiconductor device and manufacturing method thereof
KR101535222B1 (en) * 2008-04-17 2015-07-08 삼성전자주식회사 Semiconductor device and method of fabricating the same
US7919388B2 (en) * 2008-05-30 2011-04-05 Freescale Semiconductor, Inc. Methods for fabricating semiconductor devices having reduced gate-drain capacitance
US7838389B2 (en) * 2008-05-30 2010-11-23 Freescale Semiconductor, Inc. Enclosed void cavity for low dielectric constant insulator
US7807576B2 (en) * 2008-06-20 2010-10-05 Fairchild Semiconductor Corporation Structure and method for forming a thick bottom dielectric (TBD) for trench-gate devices
US8642459B2 (en) 2008-08-28 2014-02-04 Infineon Technologies Ag Method for forming a semiconductor device with an isolation region on a gate electrode
TWI414019B (en) * 2008-09-11 2013-11-01 He Jian Technology Suzhou Co Ltd Method for fabricating a gate oxide layer
US20120273916A1 (en) 2011-04-27 2012-11-01 Yedinak Joseph A Superjunction Structures for Power Devices and Methods of Manufacture
US7910983B2 (en) * 2008-09-30 2011-03-22 Infineon Technologies Austria Ag MOS transistor having an increased gate-drain capacitance
TWI435447B (en) * 2009-01-09 2014-04-21 Niko Semiconductor Co Ltd Power mosfet and method of fabricating the same
US8426275B2 (en) * 2009-01-09 2013-04-23 Niko Semiconductor Co., Ltd. Fabrication method of trenched power MOSFET
CN101859700B (en) * 2009-04-09 2012-05-30 上海先进半导体制造股份有限公司 Polycrystalline silicon deposition process
CN101866849B (en) * 2009-04-16 2012-06-27 上海华虹Nec电子有限公司 Method for preparing oxide film at bottom of trench
CN101887852B (en) * 2009-05-13 2012-08-01 上海华虹Nec电子有限公司 Method for filling deep trench
US8058670B2 (en) * 2009-06-04 2011-11-15 Force—MOS Technology Corporation Insulated gate bipolar transistor (IGBT) with monolithic deep body clamp diode to prevent latch-up
US8264066B2 (en) * 2009-07-08 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Liner formation in 3DIC structures
US9425305B2 (en) 2009-10-20 2016-08-23 Vishay-Siliconix Structures of and methods of fabricating split gate MIS devices
US9419129B2 (en) 2009-10-21 2016-08-16 Vishay-Siliconix Split gate semiconductor device with curved gate oxide profile
US8129778B2 (en) * 2009-12-02 2012-03-06 Fairchild Semiconductor Corporation Semiconductor devices and methods for making the same
CN102097378B (en) * 2009-12-10 2013-12-04 力士科技股份有限公司 Method for manufacturing trench metal-oxide semiconductor field effect transistor (MOSFET)
US20110198689A1 (en) * 2010-02-17 2011-08-18 Suku Kim Semiconductor devices containing trench mosfets with superjunctions
US9577089B2 (en) 2010-03-02 2017-02-21 Vishay-Siliconix Structures and methods of fabricating dual gate devices
US8378392B2 (en) * 2010-04-07 2013-02-19 Force Mos Technology Co., Ltd. Trench MOSFET with body region having concave-arc shape
US8432000B2 (en) 2010-06-18 2013-04-30 Fairchild Semiconductor Corporation Trench MOS barrier schottky rectifier with a planar surface using CMP techniques
CN102024848A (en) * 2010-11-04 2011-04-20 天津环鑫科技发展有限公司 Trench structure for power device and manufacturing method thereof
US8598654B2 (en) 2011-03-16 2013-12-03 Fairchild Semiconductor Corporation MOSFET device with thick trench bottom oxide
US8673700B2 (en) 2011-04-27 2014-03-18 Fairchild Semiconductor Corporation Superjunction structures for power devices and methods of manufacture
US8786010B2 (en) 2011-04-27 2014-07-22 Fairchild Semiconductor Corporation Superjunction structures for power devices and methods of manufacture
US8836028B2 (en) 2011-04-27 2014-09-16 Fairchild Semiconductor Corporation Superjunction structures for power devices and methods of manufacture
US8772868B2 (en) 2011-04-27 2014-07-08 Fairchild Semiconductor Corporation Superjunction structures for power devices and methods of manufacture
CN103688363B (en) 2011-05-18 2017-08-04 威世硅尼克斯公司 Semiconductor devices
US20130023097A1 (en) * 2011-07-14 2013-01-24 Purtell Robert J U-mos trench profile optimization and etch damage removal using microwaves
TWI413193B (en) * 2011-08-11 2013-10-21 Super Group Semiconductor Co Ltd Fabrication method of trenched power mosfet
KR101776319B1 (en) 2011-08-23 2017-09-08 현대자동차주식회사 Semiconductor device
CN103000521B (en) * 2011-09-13 2015-05-27 帅群微电子股份有限公司 Method for manufacturing trench power metal-oxide semiconductor field-effect transistor
US8633094B2 (en) 2011-12-01 2014-01-21 Power Integrations, Inc. GaN high voltage HFET with passivation plus gate dielectric multilayer structure
US8940620B2 (en) 2011-12-15 2015-01-27 Power Integrations, Inc. Composite wafer for fabrication of semiconductor devices
CN103247529B (en) * 2012-02-10 2016-08-03 无锡华润上华半导体有限公司 A kind of trench field-effect device and preparation method thereof
US8946814B2 (en) 2012-04-05 2015-02-03 Icemos Technology Ltd. Superjunction devices having narrow surface layout of terminal structures, buried contact regions and trench gates
US8642425B2 (en) * 2012-05-29 2014-02-04 Semiconductor Components Industries, Llc Method of making an insulated gate semiconductor device and structure
JP2013258333A (en) * 2012-06-13 2013-12-26 Toshiba Corp Power semiconductor device
CN103578952B (en) * 2012-08-09 2016-12-28 中国科学院微电子研究所 Method, semi-conductor device manufacturing method
JP2014056913A (en) * 2012-09-12 2014-03-27 Sumitomo Electric Ind Ltd Silicon carbide semiconductor device
JP5811973B2 (en) * 2012-09-12 2015-11-11 住友電気工業株式会社 Method for manufacturing silicon carbide semiconductor device
JP6056292B2 (en) * 2012-09-12 2017-01-11 住友電気工業株式会社 Method for manufacturing silicon carbide semiconductor device
CN103824764A (en) * 2012-11-19 2014-05-28 上海华虹宏力半导体制造有限公司 Preparation method of trench gate in trench MOS device
TWI521693B (en) 2012-11-27 2016-02-11 財團法人工業技術研究院 Schottky barrier diode and fabricating method thereof
CN103943503A (en) * 2013-01-23 2014-07-23 上海华虹宏力半导体制造有限公司 Manufacturing process method for BTO structure of MOSFET
US8928037B2 (en) 2013-02-28 2015-01-06 Power Integrations, Inc. Heterostructure power transistor with AlSiN passivation layer
US9349856B2 (en) * 2013-03-26 2016-05-24 Toyoda Gosei Co., Ltd. Semiconductor device including first interface and second interface as an upper surface of a convex protruded from first interface and manufacturing device thereof
US10249721B2 (en) 2013-04-04 2019-04-02 Infineon Technologies Austria Ag Semiconductor device including a gate trench and a source trench
CN103311112B (en) * 2013-06-14 2016-01-27 矽力杰半导体技术(杭州)有限公司 The method of polysilicon is formed in groove
US9666663B2 (en) 2013-08-09 2017-05-30 Infineon Technologies Ag Semiconductor device with cell trench structures and contacts and method of manufacturing a semiconductor device
CN104008975A (en) * 2014-06-09 2014-08-27 苏州东微半导体有限公司 Manufacturing method of groove-type power MOS transistor
CN104022041A (en) * 2014-06-09 2014-09-03 苏州东微半导体有限公司 Method for manufacturing channel type MOS transistor
CN104008976A (en) * 2014-06-09 2014-08-27 苏州东微半导体有限公司 Manufacturing method of groove power device
KR102026543B1 (en) 2014-08-19 2019-09-27 비쉐이-실리코닉스 Electronic circuit
CN105632931B (en) 2014-11-04 2020-04-28 台湾积体电路制造股份有限公司 Method for manufacturing semiconductor device and semiconductor device
JP6514035B2 (en) * 2015-05-27 2019-05-15 株式会社豊田中央研究所 Semiconductor device
CN106910767A (en) * 2015-12-23 2017-06-30 株洲南车时代电气股份有限公司 Trench gate IGBT preparation methods and trench gate IGBT
CN105514022B (en) * 2015-12-31 2018-04-17 上海华虹宏力半导体制造有限公司 The method that portion surface forms field silica in the trench
CN105702570A (en) * 2016-01-29 2016-06-22 上海华虹宏力半导体制造有限公司 A method for forming a screened film layer at the bottom portion of a trench in a trench type MOS device
TWI715711B (en) * 2017-01-25 2021-01-11 聯華電子股份有限公司 Semiconductor device and method of manufacturing the same
DE102017108738B4 (en) * 2017-04-24 2022-01-27 Infineon Technologies Ag SiC SEMICONDUCTOR DEVICE WITH AN OFFSET IN A TRENCH BOTTOM AND MANUFACTURING METHOD THEREOF
KR102471277B1 (en) 2018-09-19 2022-11-28 삼성전자주식회사 Semiconductor device having gate insulating layer
US11217541B2 (en) 2019-05-08 2022-01-04 Vishay-Siliconix, LLC Transistors with electrically active chip seal ring and methods of manufacture
US11218144B2 (en) 2019-09-12 2022-01-04 Vishay-Siliconix, LLC Semiconductor device with multiple independent gates
CN111180316A (en) * 2020-02-22 2020-05-19 重庆伟特森电子科技有限公司 Silicon carbide thick bottom oxide layer groove MOS preparation method
CN111489961A (en) * 2020-04-17 2020-08-04 重庆伟特森电子科技有限公司 Preparation method of SiC-MOSFET gate with gate oxide at corner of trench and high field strength bearing capacity
CN111477679B (en) * 2020-04-17 2023-06-13 重庆伟特森电子科技有限公司 Preparation method of asymmetric groove type SiC-MOSFET gate
CN111489963B (en) * 2020-04-17 2023-04-18 重庆伟特森电子科技有限公司 Preparation method of SiC-MOSFET gate with thick gate oxide layer at corner of trench
CN111403487B (en) * 2020-05-07 2024-02-06 创能动力科技有限公司 Semiconductor device integrating MOSFET and diode and manufacturing method thereof
US11527618B2 (en) 2020-07-18 2022-12-13 Semiconductor Components Industries, Llc Up-diffusion suppression in a power MOSFET
US11855140B2 (en) 2020-09-29 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Gate oxide of nanostructure transistor with increased corner thickness
CN112309975B (en) * 2020-10-27 2024-02-02 杭州士兰微电子股份有限公司 Manufacturing method of bidirectional power device
CN113066867B (en) * 2021-03-15 2022-09-09 无锡新洁能股份有限公司 High-reliability silicon carbide MOSFET device and process method thereof
US11302776B1 (en) 2021-05-31 2022-04-12 Genesic Semiconductor Inc. Method and manufacture of robust, high-performance devices
US11830943B2 (en) * 2021-07-26 2023-11-28 Analog Power Conversion LLC RF SiC MOSFET with recessed gate dielectric
CN115513061A (en) * 2022-11-22 2022-12-23 广东芯粤能半导体有限公司 Preparation method of semiconductor structure and semiconductor structure

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01192174A (en) * 1988-01-27 1989-08-02 Hitachi Ltd Semiconductor device
JPH06232163A (en) * 1993-02-05 1994-08-19 Omron Corp Vertical type mosfet device and its manufacture
JPH09148578A (en) * 1995-11-11 1997-06-06 Samsung Electron Co Ltd Trench dmos transistor and its manufacture
US5637898A (en) * 1995-12-22 1997-06-10 North Carolina State University Vertical field effect transistors having improved breakdown voltage capability and low on-state resistance
JPH09181304A (en) * 1995-12-21 1997-07-11 Toyota Motor Corp Semiconductor device and its manufacture
JPH09246550A (en) * 1996-03-05 1997-09-19 Toyota Central Res & Dev Lab Inc Semiconductor device, and manufacture of semiconductor device, and insulated gate type of semiconductor device, and manufacture of insulated gate type of semiconductor device
JPH09266309A (en) * 1996-03-27 1997-10-07 Toyota Central Res & Dev Lab Inc Semiconductor device and its manufacture
JPH09283535A (en) * 1996-04-18 1997-10-31 Toyota Motor Corp Manufacture of semiconductor device
JPH10214968A (en) * 1997-01-31 1998-08-11 Hitachi Ltd Semiconductor device
JPH10294456A (en) * 1997-04-17 1998-11-04 Toshiba Corp Semiconductor device

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US78814A (en) * 1868-06-09 John p
US4967245A (en) * 1988-03-14 1990-10-30 Siliconix Incorporated Trench power MOSFET device
US5283201A (en) * 1988-05-17 1994-02-01 Advanced Power Technology, Inc. High density power device fabrication process
US5357397A (en) * 1993-03-15 1994-10-18 Hewlett-Packard Company Electric field emitter device for electrostatic discharge protection of integrated circuits
US5843581A (en) 1993-06-03 1998-12-01 Cryovac, Inc. Barrier blend and food packaging film containing the blend
US5726084A (en) 1993-06-24 1998-03-10 Northern Telecom Limited Method for forming integrated circuit structure
JPH07122749A (en) * 1993-09-01 1995-05-12 Toshiba Corp Semiconductor device and its manufacture
US5529943A (en) * 1994-09-30 1996-06-25 United Microelectronics Corporation Method of making buried bit line ROM with low bit line resistance
DE19636302C2 (en) * 1995-09-06 1998-08-20 Denso Corp Silicon carbide semiconductor device and manufacturing method
US5770878A (en) * 1996-04-10 1998-06-23 Harris Corporation Trench MOS gate device
US6236099B1 (en) 1996-04-22 2001-05-22 International Rectifier Corp. Trench MOS device and process for radhard device
US5872392A (en) 1996-04-30 1999-02-16 Nippon Steel Corporation Semiconductor device and a method of fabricating the same
US5824580A (en) * 1996-07-30 1998-10-20 International Business Machines Corporation Method of manufacturing an insulated gate field effect transistor
US5937296A (en) * 1996-12-20 1999-08-10 Siemens Aktiengesellschaft Memory cell that includes a vertical transistor and a trench capacitor
US5851900A (en) 1997-04-28 1998-12-22 Mosel Vitelic Inc. Method of manufacturing a shallow trench isolation for a semiconductor device
US5872058A (en) * 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
JP3976374B2 (en) * 1997-07-11 2007-09-19 三菱電機株式会社 Semiconductor device having trench MOS gate structure and manufacturing method thereof
US5981356A (en) 1997-07-28 1999-11-09 Integrated Device Technology, Inc. Isolation trenches with protected corners
US6206970B1 (en) 1997-09-03 2001-03-27 Micron Technology, Inc. Semiconductor wafer processor, semiconductor processor gas filtering system and semiconductor processing methods
US5843820A (en) 1997-09-29 1998-12-01 Vanguard International Semiconductor Corporation Method of fabricating a new dynamic random access memory (DRAM) cell having a buried horizontal trench capacitor
US6383864B2 (en) 1997-09-30 2002-05-07 Siemens Aktiengesellschaft Memory cell for dynamic random access memory (DRAM)
US5943581A (en) 1997-11-05 1999-08-24 Vanguard International Semiconductor Corporation Method of fabricating a buried reservoir capacitor structure for high-density dynamic random access memory (DRAM) circuits
US6960818B1 (en) * 1997-12-30 2005-11-01 Siemens Aktiengesellschaft Recessed shallow trench isolation structure nitride liner and method for making same
US6091105A (en) 1998-03-30 2000-07-18 Advanced Micro Devices, Inc. Method of making a self-aligned dopant enhanced RTA MOSFET
US5989978A (en) * 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
US6074909A (en) * 1998-07-31 2000-06-13 Siemens Aktiengesellschaft Apparatus and method for forming controlled deep trench top isolation layers
US6143624A (en) 1998-10-14 2000-11-07 Advanced Micro Devices, Inc. Shallow trench isolation formation with spacer-assisted ion implantation
US6150219A (en) 1998-11-19 2000-11-21 United Microelectronics Corp. Method for fabricating a high bias device
US6144054A (en) * 1998-12-04 2000-11-07 International Business Machines Corporation DRAM cell having an annular signal transfer region
US6235606B1 (en) * 1999-01-04 2001-05-22 United Microelectronics Corp. Method of fabricating shallow trench isolation
US6165882A (en) 1999-04-02 2000-12-26 Advanced Micro Devices, Inc. Polysilicon gate having a metal plug, for reduced gate resistance, within a trench extending into the polysilicon layer of the gate
US6413822B2 (en) * 1999-04-22 2002-07-02 Advanced Analogic Technologies, Inc. Super-self-aligned fabrication process of trench-gate DMOS with overlying device layer
US6291298B1 (en) 1999-05-25 2001-09-18 Advanced Analogic Technologies, Inc. Process of manufacturing Trench gate semiconductor device having gate oxide layer with multiple thicknesses
US6200881B1 (en) 1999-07-23 2001-03-13 Worldwide Semiconductor Manufacturing Corp. Method of forming a shallow trench isolation
US6265295B1 (en) 1999-09-03 2001-07-24 Taiwan Semiconductor Manufacturing Company Method of preventing tilting over
US6344415B1 (en) * 1999-09-15 2002-02-05 United Microelectronics Corp. Method for forming a shallow trench isolation structure
US6882000B2 (en) * 2001-08-10 2005-04-19 Siliconix Incorporated Trench MIS device with reduced gate-to-drain capacitance
US6784505B2 (en) 2002-05-03 2004-08-31 Fairchild Semiconductor Corporation Low voltage high density trench-gated power device with uniformly doped channel and its edge termination technique
US6919259B2 (en) * 2002-10-21 2005-07-19 Taiwan Semiconductor Manufacturing Co., Ltd Method for STI etching using endpoint detection
US6903013B2 (en) * 2003-05-16 2005-06-07 Chartered Semiconductor Manufacturing Ltd. Method to fill a trench and tunnel by using ALD seed layer and electroless plating
US6800509B1 (en) * 2003-06-24 2004-10-05 Anpec Electronics Corporation Process for enhancement of voltage endurance and reduction of parasitic capacitance for a trench power MOSFET
JP2005026380A (en) * 2003-06-30 2005-01-27 Toshiba Corp Semiconductor device including nonvolatile memory and its manufacturing method
US7078814B2 (en) 2004-05-25 2006-07-18 International Business Machines Corporation Method of forming a semiconductor device having air gaps and the structure so formed

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01192174A (en) * 1988-01-27 1989-08-02 Hitachi Ltd Semiconductor device
JPH06232163A (en) * 1993-02-05 1994-08-19 Omron Corp Vertical type mosfet device and its manufacture
JPH09148578A (en) * 1995-11-11 1997-06-06 Samsung Electron Co Ltd Trench dmos transistor and its manufacture
JPH09181304A (en) * 1995-12-21 1997-07-11 Toyota Motor Corp Semiconductor device and its manufacture
US5637898A (en) * 1995-12-22 1997-06-10 North Carolina State University Vertical field effect transistors having improved breakdown voltage capability and low on-state resistance
JPH09246550A (en) * 1996-03-05 1997-09-19 Toyota Central Res & Dev Lab Inc Semiconductor device, and manufacture of semiconductor device, and insulated gate type of semiconductor device, and manufacture of insulated gate type of semiconductor device
JPH09266309A (en) * 1996-03-27 1997-10-07 Toyota Central Res & Dev Lab Inc Semiconductor device and its manufacture
JPH09283535A (en) * 1996-04-18 1997-10-31 Toyota Motor Corp Manufacture of semiconductor device
JPH10214968A (en) * 1997-01-31 1998-08-11 Hitachi Ltd Semiconductor device
JPH10294456A (en) * 1997-04-17 1998-11-04 Toshiba Corp Semiconductor device

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8658433B2 (en) 2000-01-18 2014-02-25 Mallinckrodt Llc Dye compounds as photoactive agents
JP2004500716A (en) * 2000-03-17 2004-01-08 ゼネラル セミコンダクター,インク. Double diffused metal oxide semiconductor transistor having trench gate electrode and method of manufacturing the same
JP2005510087A (en) * 2001-11-15 2005-04-14 ゼネラル セミコンダクター,インク. Trench metal oxide semiconductor field effect transistor with low gate charge
JP2008505480A (en) * 2004-06-30 2008-02-21 アドバンスト・アナロジック・テクノロジーズ・インコーポレイテッド Trench type MOSFET with clamp diode in deep position
JP4622905B2 (en) * 2006-03-24 2011-02-02 トヨタ自動車株式会社 Method of manufacturing insulated gate semiconductor device
JP2007258582A (en) * 2006-03-24 2007-10-04 Toyota Motor Corp Manufacturing method for insulated gate semiconductor device
JP2008166696A (en) * 2006-12-26 2008-07-17 Magnachip Semiconductor Ltd Transistor having recess channel, and its manufacturing method
JP2008270606A (en) * 2007-04-23 2008-11-06 New Japan Radio Co Ltd Mosfet semiconductor device and manufacturing method therefor
US8076720B2 (en) 2007-09-28 2011-12-13 Semiconductor Components Industries, Llc Trench gate type transistor
US8242557B2 (en) 2007-09-28 2012-08-14 Semiconductor Components Industries, Llc Trench gate type transistor
JP2009088188A (en) * 2007-09-28 2009-04-23 Sanyo Electric Co Ltd Trench gate type transistor and method for manufacturing same
JP2009224365A (en) * 2008-03-13 2009-10-01 Rohm Co Ltd Semiconductor device and method for fabricating the same
JP2010258386A (en) * 2009-04-28 2010-11-11 Fuji Electric Systems Co Ltd Silicon carbide semiconductor device, and method of manufacturing the same
JP2012146977A (en) * 2010-12-28 2012-08-02 Infineon Technologies Austria Ag Semiconductor device including diode
JP2013084663A (en) * 2011-10-06 2013-05-09 Shin Etsu Handotai Co Ltd Bonded soi wafer manufacturing method
WO2014171211A1 (en) * 2013-04-16 2014-10-23 住友電気工業株式会社 Method for manufacturing silicon carbide semiconductor device
WO2014171210A1 (en) * 2013-04-16 2014-10-23 住友電気工業株式会社 Silicon-carbide-semiconductor-device manufacturing method and silicon-carbide semiconductor device
JP2014207403A (en) * 2013-04-16 2014-10-30 住友電気工業株式会社 Silicon carbide semiconductor device manufacturing method
JP2014209505A (en) * 2013-04-16 2014-11-06 住友電気工業株式会社 Method of manufacturing silicon carbide semiconductor device, and silicon carbide semiconductor device
US9627487B2 (en) 2013-04-16 2017-04-18 Sumitomo Electric Industries, Ltd. Method for manufacturing silicon carbide semiconductor device and silicon carbide semiconductor device
US9793365B2 (en) 2013-04-16 2017-10-17 Sumitomo Electric Industries, Ltd. Method for manufacturing silicon carbide semiconductor device having trench
JP2016528729A (en) * 2013-07-17 2016-09-15 クリー インコーポレイテッドCree Inc. Enhanced gate dielectric for field effect devices with trench gates
JP2018170441A (en) * 2017-03-30 2018-11-01 豊田合成株式会社 Semiconductor apparatus and manufacturing method of the same

Also Published As

Publication number Publication date
KR20020037726A (en) 2002-05-22
KR100700322B1 (en) 2007-03-29
US20040203200A1 (en) 2004-10-14
DE60044396D1 (en) 2010-06-24
US7276411B2 (en) 2007-10-02
EP2020681B1 (en) 2011-09-14
EP1186019A4 (en) 2004-05-26
US6291298B1 (en) 2001-09-18
EP2020681A2 (en) 2009-02-04
JP4834228B2 (en) 2011-12-14
US20050215012A1 (en) 2005-09-29
US20050215013A1 (en) 2005-09-29
WO2000072372A8 (en) 2002-09-26
EP1186019B1 (en) 2010-05-12
WO2000072372A1 (en) 2000-11-30
US7282412B2 (en) 2007-10-16
AU5044600A (en) 2000-12-12
EP1186019A1 (en) 2002-03-13
US6900100B2 (en) 2005-05-31
CN1205658C (en) 2005-06-08
TW457629B (en) 2001-10-01
US20010026961A1 (en) 2001-10-04
US20050215027A1 (en) 2005-09-29
US7238568B2 (en) 2007-07-03
CN1360735A (en) 2002-07-24
EP2020681A3 (en) 2009-06-10

Similar Documents

Publication Publication Date Title
JP4834228B2 (en) Method of manufacturing a trench semiconductor device with a gate oxide layer having a plurality of thicknesses
US7394144B2 (en) Trench semiconductor device and method of manufacturing it
US9450088B2 (en) High density trench-based power MOSFETs with self-aligned active contacts and method for making such devices
US7579650B2 (en) Termination design for deep source electrode MOSFET
CN104157688B (en) Semiconductor devices with trench shield electrode structure
US6319777B1 (en) Trench semiconductor device manufacture with a thicker upper insulating layer
JP4632639B2 (en) Thick oxide formation at the bottom of the trench by selective oxide deposition.
US20010026989A1 (en) Low voltage power MOSFET device and process for its manufacture
JP2003533889A (en) Trench gate semiconductor device
EP1742257A1 (en) Method of manufacturing a semiconductor power device
CN114927559A (en) Novel silicon carbide-based super-junction trench MOSFET and preparation method thereof
EP1162665A2 (en) Trench gate MIS device and method of fabricating the same
CN115241283A (en) Integrated planar-trench gate power MOSFET
TWI808856B (en) Bottom source trench mosfet with shield electrode

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070329

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070518

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110105

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110405

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110412

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110502

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110512

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110601

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110628

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110727

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110823

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110922

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110928

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110926

R150 Certificate of patent or registration of utility model

Ref document number: 4834228

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140930

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees