JP2001512902A - 基板乾燥のための方法および装置 - Google Patents

基板乾燥のための方法および装置

Info

Publication number
JP2001512902A
JP2001512902A JP2000506492A JP2000506492A JP2001512902A JP 2001512902 A JP2001512902 A JP 2001512902A JP 2000506492 A JP2000506492 A JP 2000506492A JP 2000506492 A JP2000506492 A JP 2000506492A JP 2001512902 A JP2001512902 A JP 2001512902A
Authority
JP
Japan
Prior art keywords
substrate
fluid
steam
holding
fluid level
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2000506492A
Other languages
English (en)
Inventor
ボリス フィッシュキン,
ジョン, エス. ハーン,
ロバート, ビ−. ローレンス,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001512902A publication Critical patent/JP2001512902A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer

Abstract

(57)【要約】 基板表面から残留液体を除去する乾燥装置20は蒸気チャンバ25を有しており、蒸気チャンバ25はチャンバ内に蒸気を導入する蒸気分配器30を有する。乾燥装置20はさらに流体システム35を有し、流体システム35は、(i)リザーバ40、(ii)リザーバ内に流体を導入する流体ディスペンサ45、および(iii)リザーバ40内の流体液面を下降させる流体液位調節装置50を有している。多点ホルダ62を使用することで、流体液面が基板に対して下降する間、基板55を基板上の異なる保持点63で保持されるので、下降する流体液面と基板上の保持点63とが交差しないで残留液体が基板表面から流れ落ちる。乾燥装置20は基板55を、しみやすじをつくることなく、あるいは汚染物や液体残渣を基板55上に残すことなく、充分に乾燥させる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】
本発明は、基板を乾燥する方法および装置に関する。
【0002】
【従来の技術】
基板乾燥装置は、集積回路、液晶からなる表示画面、基板または合成材料上の
電気回路、およびビデオまたはレコード盤の製造において使用されている。これ
らのプロセスでは、半導体、セラミックおよびポリマ材料の基板が、例えば基板
をエッチングするためのエッチャント槽、基板上の露光フォトレジスト層を現像
するための現像槽、メタルを基板上に堆積させるためのガルヴァーニ槽、あるい
は基板を洗浄するためのリンス槽などへの浸漬により液体を用いて処理される。
液体における処理の後、基板上に残る液体残渣の薄い層は、乾燥プロセスによっ
て除去される。
【0003】 基板乾燥には、伝統的ないくつかの方法が用いられている。遠心乾燥法または
スピンドライ法は、基板の表面を横断する軸のまわりを高速で回転する遠心乾燥
装置を使用する。高速回転によって発生する遠心力が基板上の残留液体の大部分
を強制的に排出する。しかし、従来の遠心乾燥装置および遠心乾燥プロセスには
いくつか不利な点がある。第一に、基板の高速回転の後で基板上に薄い水の膜が
残り、その水分中に溶解した少量の不揮発性物質が班点やすじの原因となる。さ
らに、遠心乾燥法は、残渣を捕捉する図形やホールを有するパターン付き基板表
面上にしばしば汚染残渣を残す。
【0004】 Leenaarsらに与えられた米国特許第5,271,774号に記載された改良遠
心乾燥プロセスでは、遠心乾燥プロセスの間、混和性の蒸気を液体と共に基板表
面に流して基板上に残る汚染物を低減する。蒸気と液体残渣が基板上で混合し、
遠心乾燥法においてより基板から排出されやすい低い表面張力を持った溶液を形
成することで、残る汚染残渣が少なくなる。しかし、改良遠心乾燥のためのプロ
セスおよび装置は複雑であり、基板の高速回転から生じる機械的問題のため壊れ
やすい。遠心スピンドライヤは水分をウェーハ表面から排出する力に依存してい
るため、機械的圧力によってしばしば大きい基板や薄い基板が割れたり破損した
りする。また遠心乾燥装置内の可動部品が腐蝕して汚染粒子を形成し、これが基
板上に堆積する。さらに、乾燥雰囲気内での基板の高速回転中に基板表面に静電
気が生成し、帯電した大気中の汚染粒子が逆に基板上に引き寄せられてしまうこ
ともある。
【0005】 アドバンストVLSIおよびULSI集積回路基板を乾燥させる好ましい方法
の一つは、流体が流体表面上を表面張力の低い領域から高い領域へ流れる状態を
維持するというマランゴニ原理を利用する。マランゴニドライヤの一種は、基板
を液体の入ったタンクへ浸漬し、液体上に混和性の蒸気を流すことにより動作す
る。タンク底から追加される液体はタンクの壁の上まで溢れる。連続的に液体を
溢れさせることで溶解した蒸気の濃度が変動し、その結果、液体表面上に表面張
力の勾配が生じる。従来のマランゴニドライヤでは、基板をカセットホルダに固
定して水中に浸漬し、タンクから基板を引き出す複雑なロボット機構を用いて流
体から基板をゆっくりと引き上げる。基板を引き上げる際に基板上の残留液体は
、液体表面の表面張力がより高い領域の方向へ流れて基板表面から離れるので、
これにより基板が乾燥する。引き上げのロボット機構は、通常、乾燥チャンバの
上部を形成する蓋内、もしくは乾燥チャンバまたはタンクの底部において基板の
下に配置される。
【0006】
【発明が解決しようとする課題】
しかしながら、従来のマランゴニ乾燥システムにはいくつかの問題がある。基
板を引き上げるために使用される複雑なロボット引き上げ機構は故障しやすく、
保守に高額の費用がかかる。また、引き上げ装置には機械部品が使われており、
これらが動いてシールを磨耗する。磨耗した部品は汚染粒子を形成し、基板上に
堆積する。さらに、ロボット引き上げ機構の振動が基板表面からの残留液体の流
れを妨げる可能性がある。さらにまた、ロボット引き上げ機構が基板の各部に触
れると、基板表面上の接触点に残留液体が残り、基板上にすじやしみを作る原因
になる。
【0007】 従来のマランゴニ乾燥システムの別の問題は、チャンバに導入された蒸気用の
吸気口および排気口が液体表面上で蒸気の均一な分布を与えないために生じる。
従来のシステムでは、液体表面上を蒸気流入部から排気口へ流れる蒸気の流量お
よびフローパターンは、リザーバ内の基板高さや流体液位の関数として変化する
。流体表面上に均一の流れを形成して、基板表面の液体残渣をより一定して乾燥
することが望ましい。
【0008】 このように、基板表面上の残留液体膜を汚染物質やしみを後に残さずに効率的
に除去できる基板乾燥装置および基板乾燥方法を得ることが望まれる。さらに、
装置内の流体表面上に均一な蒸気流を与える乾燥装置が望まれる。また、タンク
内の流体の液位および流量を制御して、液体表面上、特に乾燥液の表面液位と基
板表面との交差点で、均一な表面張力勾配を維持することも望まれる。さらに、
低い保守費用で動作し、高い処理スループットを提供する装置および方法が望ま
れる。
【0009】
【課題を解決するための手段】
本発明の装置は、半導体基板を均一に乾燥させ、余分な汚染残渣を後に残さず
に基板表面上の残留液体膜を除去する。ある実施形態において、この乾燥装置は
、チャンバに蒸気を導入するための蒸気分配器を持つ蒸気チャンバを備えている
。流体システムは、(i)リザーバ、(ii)リザーバに流体を導入するための流 体ディスペンサ、および(iii)リザーバ内の流体液面を下げるための流体液位 調節器を備えている。基板上の異なる保持点で基板を保持する多点ホルダが使用
されるので、液位下降中の流体表面は基板保持に使用されている保持点を通過し
ない。好ましくは、多点ホルダは、第一の保持点を通過しない第一液位範囲の中
で流体液面が下降している間は、第一の保持点で基板を保持し、第二の保持点を
通過しない第二液位範囲内で流体液面が下降している間は、第二の保持点で基板
を保持する。結果として、流体液面と保持点とが基板上で交差することなく残留
液体が基板表面から流れ落ち、しみやすじを作ることなく基板を均一に乾燥させ
ることができる。
【0010】 別の実施形態では、この装置は、ある流体液位を有する流体を収容するリザー
バと、流体液面上の蒸気の分圧を維持する蒸気分配器と、を備えている。リザー
バに新鮮な流体を分配するために流体ディスペンサが使用される。ある液位範囲
内で流体液面を下げるために液位下降手段が与えられる。任意で、流体表面が下
降する液位範囲の外の保持点で基板を保持する保持手段が使用される。
【0011】 本発明の別の態様には、基板をある流体液位を持つ流体中に浸漬し、流体上で
蒸気の分圧を維持する方法が含まれる。リザーバに新鮮な流体を追加する間、流
体の表面液位を下降させる。任意で、流体液面の下降中に異なる保持点で基板が
保持されるので、下降中の流体液面が基板保持に使用されている保持点を通過す
ることはない。好ましくは、この方法は、第一液位範囲外にある第一保持点で基
板を保持しながら第一液位範囲内で流体液面を下降させる第一段階を備え、少な
くとも一つの第二段階では、第二液位範囲外にある第二保持点で基板を保持しな
がら流体液面を第二液位範囲内で下降させる。
【0012】 さらに別の態様では、本発明の乾燥チャンバは、流体システムを備えている。
この流体システムは、(i)ある流体液位を有する流体を収容するリザーバと、 (ii)リザーバに流体を導入する流体ディスペンサと、を備えている。リザーバ
内に一枚以上の基板を保持する手段および流体液面を基板に対して下降させる手
段が容易される。可動式の蒸気流入部が流体液面の下降に関連して動く。この蒸
気流入部は、チャンバに蒸気を導入する吸気口を有している。流体液面が基板に
対して下降することによって、基板から残留液体が流れ落ちる。好ましくは、可
動式の蒸気排出部も流体液面の下降に関連して動く。この蒸気排出部は、チャン
バから蒸気を排出する排気口を有している。さらに好ましくは、可動式蒸気流入
部および可動式蒸気排出部は、流体液面上にそれぞれ浮かぶ浮動蒸気流入部およ
び浮動蒸気排出部である。
【0013】 さらに別の態様では、本発明は、基板表面上の液体残渣を除去する方法を含ん
でいる。この方法では、基板表面が、ある流体液位を有する流体を収容するリザ
ーバに浸漬される。リザーバに新鮮な流体を追加する間、流体液面に関連して動
く蒸気流入部を通して蒸気を導入することにより、流体液面上で蒸気の分圧が維
持される。新鮮な流体を追加する間、リザーバ内の流体液面を下降させることに
よって、基板表面から液体残渣が流れ落ちる。
【0014】 さらに別の態様では、本発明は、残留液体が付着している基板表面を乾燥する
乾燥チャンバを操作するのに適したコンピュータプログラム製品を含んでいる。
このプログラム製品は、コンピュータ読取り可能なプログラムコード手段を具体
化したコンピュータ使用可能な媒体を含んでいる。このプログラムコード手段は
、 (a)チャンバに蒸気を導入するための蒸気分配制御コード、 (b)リザーバに流体を分配するとともに、リザーバ内の流体液位を調節する
ための流体システム制御コード、および (c)基板上の異なる保持点で基板を保持する多点ホルダを動作させ、液位下
降中の流体表面が基板保持に使用されている保持点を通過しないようにするため
の多点ホルダ制御コードを含んでいる。
【0015】
【発明の実施の形態】
本発明の上記またはその他の特徴、態様、および利点は、以下の記載、特許請
求の範囲、および本発明の例を示す添付図面を参照することで、より良く理解さ
れるであろう。
【0016】 本発明は、基板のウェット処理または流体処理の後に基板を乾燥する装置およ
び方法を提供するものであり、半導体ウェーハの乾燥に適した典型的なシステム
を用いて説明される。物体乾燥技術の当業者であれば明らかなように、同じ一般
原理が、本発明の範囲から逸脱することなく、他の応用例における他のウェット
な対象物の乾燥に当てはまる。半導体製造において乾燥作業は、半導体基板が液
体中で処理および洗浄された後に基板上に残るポリッシングスラリ、エッチング
液、フォトレジスト、剥離液およびその他の残留液体を除去するために用いられ
る。ここで説明されている基板乾燥処理および基板乾燥装置は、化学機械研磨、
拡散、イオン注入、エピタキシャル成長、および化学気相堆積法を含む様々な半
導体基板プロセスに使用することができる。例えば、本発明は化学機械研磨、洗
浄、および脱イオン水を用いたリンスが施された基板の乾燥に有効である。本発
明の乾燥装置および方法はまた、基板の拡散前洗浄、すなわちドーパントを酸化
膜に拡散させる前の基板洗浄や、エピタキシャル成長層が下地基板と一致した一
様な結晶構造をとり、また基板上の不純物によって不利な影響を受けないように
するためにシリコンのエピタキシャル成長の前に行う基板洗浄に有効である。
【0017】 ある実施形態では、図1の例に示されるように、本発明の乾燥装置20は、チ
ャンバに蒸気を導入する蒸気分配器30を有する乾燥チャンバ25を備えている
。乾燥チャンバ25の流体分配収容システム35は、(i)流体を内部に収容す るリザーバ40、および(ii)リザーバに流体を導入する流体ディスペンサ45
を備えており、さらに任意で(iii)リザーバ内の流体液面52を下降させ基板 表面から残留液体を流し取る流体液位制御システム50を備えている。基板ホル
ダ60は、リザーバ40内で一枚の基板、または一山の基板を保持する。リザー
バは、液体で満たされる。基板ホルダ60は、ロボット式保持引上げシステムを
使用して基板を流体液面52よりも上に引き上げるホルダを備えていてもよいし
、単一の位置で基板を保持するホルダを備えていてもよい。図1は、基板ホルダ
60を示している。この基板ホルダ60は、異なる保持点63にて基板を保持し
、下降中の流体液面52が基板55を保持している保持点と交差、横断、または
通過しないようにした多点ホルダ62を有している。多点ホルダ62は、基板上
の異なる保持点63で基板55を保持する保持面64と、保持面64および基板
上の保持点を動作させ、その動作を制御する制御システムと、を有している。異
なる保持点63は、流体液面52の位置に関係して変化するので、残留液体は、
基板上の保持点63と流体液面52とが交差することなく基板表面から流れ落ち
ることができ、これによって、しみやすじを実質的に作らずに基板を均一に乾燥
させることができる。
【0018】 乾燥装置20は、基板55に対してマランゴニ乾燥原理を使用する。蒸気分配
システム30を通じてチャンバに導入された蒸気は、リザーバ40内の流体と混
和性であり、これに溶解する。流体表面上で流体に溶解する蒸気の濃度勾配が、
流体表面上に異なる表面張力を発生させる。基板55付近の低い表面張力と基板
から離れた位置の高い表面張力との差によって、基板を流体から徐々に取り出し
たときに(あるいは、流体を基板表面から徐々に排出したときに)基板上の残留
液体膜が基板から引き離される。蒸気が流体の一部に溶解すると、第一の表面張
力を持つ第一領域が、通常、より高い蒸気濃度に長時間曝された流体領域におい
て、図2に示すように基板表面と流体の界面に沿う流体のメニスカス66のよう
な形で与えられる。新鮮な流体が、第二の表面張力を持つ第二領域を与えるのに
充分な速度で、リザーバ40内にすでにある流体に追加される。この第二の表面
張力領域は、基板表面から遠い位置にある流体表面部分に形成される。その流体
表面部分には、新たに導入された流体中により低い濃度の蒸気が溶解して含まれ
ている。第二の表面張力は一般に第一表面張力よりも高く、基板表面から離れる
正味の推進力を作る。矢印68で示されるように低い表面張力領域から高い表面
張力領域方向への表面張力によって、基板55がリザーバ40から取り出される
とき、あるいはリザーバ内の流体液面52が下降させられるときに、基板55上
の残留液体が流れ落ちることになる。
【0019】 乾燥チャンバ25は、リザーバ40および基板保持機構60を収容する形状お
よび寸法を有する従来のチャンバを含んでいる。チャンバ25は、リザーバ40
を独立構造で、あるいはチャンバと一体構造で含むことができる。好ましくは、
リザーバ40は、液体に完全に浸漬される一つ以上の基板ホルダ60(例えば、
ウェーハカセット)を収容する形状および寸法の容器を含んでいる。リザーバ4
0は、SEMI(Semiconductior Equipment and Materials Institute)の承認
する一つ以上のウェーハカセットキャリアを並べて収容できる寸法にすることが
できる。リザーバ40は、通常、流体と化学反応しない材料(ポリマなど)から
構成される。流体ディスペンサシステム45は、蒸気チャンバ25内のリザーバ
40に、チャンバ内またはチャンバ底部付近に設置されたノズルを通して流体を
分配する。流体ディスペンサ45は、供給タンク65、ポンプ70または他の流
体輸送手段からの流体ラインと、流体液位制御システム50とを備えている。流
体液位制御システム50は、乾燥装置20の動作中、リザーバ40内の流体の表
面上で溶解された蒸気の安定状態濃度勾配を維持するのに十分な流量にリザーバ
40への流体の流れを制御する。
【0020】 チャンバ25は、蒸気を収容する封入蒸気ゾーンを形成する。蒸気分配システ
ム30は、バブラシステムなどの蒸気供給装置80を有しており、これによって
チャンバ25内の蒸気流入部85に蒸気が供給される。蒸気流入部85は、通常
、流体液面52の上方の、装置20の上部領域に位置しており、装置の蓋内に一
体化させることも、以下に説明するようにリザーバ40内の液体表面に浮かせて
おくこともできる。蒸気排出部90は、蒸気流入部85との関係で配置されてお
り、チャンバ25内の液体表面の上方に導入された蒸気が、基板表面を通り過ぎ
てチャンバ25内の障害物に妨げられずに直接排出部に流れるように配置される
。蒸気流入部85を基板55の一方の側に配置し、蒸気排出部90を基板55の
他方の側に配置して向き合わせの関係にすることにより、蒸気は基板表面上を横
切って通りぬけ、排出部に流れる。通常、このチャンバは大気圧で動作する。こ
の他に、圧力センサ100を使用してチャンバ25内の蒸気分圧を監視し、監視
信号を用いてマスフローコントローラ110を制御するために蒸気圧制御システ
ム95を用いることができる。マスフローコントローラ110は、バブラの上流
のガス圧を制御し、バブラガスと蒸気との混合気をチャンバに向かわせる。蒸気
吸気口115および蒸気排気口120は、一つ以上のノズルまたはスリットから
構成される。これらのノズルまたはスリットは、蒸気を放出して基板表面を均一
に通過させるような寸法および間隔を有している。例えば、吸気口115および
排気口120は、ウェーハ表面の平面に平行な軸を持った縦方向のスリット、ま
たは離間した複数の孔から構成することができる。好ましくは、複数組の孔が液
体表面に沿って延びる管の長手方向に沿って配置され、基板表面付近に蒸気流を
供給する。好ましくは、吸気口115および排気口120は、約1mm〜10m
mの径を持ち、液体−空気−基板界面の高さに蒸気を供給するように配置される
【0021】 図3aに示される態様では、蒸気分配器30は蒸気流入部85および蒸気排出
部90を有し、これらはいずれもリザーバ40の側面に沿って配置された縦管で
あり、リザーバ40の高さ全体にわたって延びている。蒸気流入部85および蒸
気排出部90は、それぞれ開閉切り替え可能な複数の吸気口115および排気口
120を備えており、これらはチャンバ25の上部、中間部、および底部で均一
に分布している。流体液面52がチャンバの頂部付近にあり、他の口が閉じてい
る場合、蒸気は、開いた最上吸気口から供給され、開いた最上排気口を通じて排
気される。流体液面52が徐々に下降するにしたがい、より低い位置にある他の
切り替え可能な吸気口および排気口が開かれ(例えば、上部の口が閉じて一つ下
の口が開く)、蒸気流をこれら低い位置の口を通るように再方向付けし、流体液
面52における新鮮な蒸気80の供給を維持する。流体液面52の下降中に最上
の吸気口および排気口のみを使用すると、最上の吸気口から最上の排気口へ直接
流れる蒸気流経路ができ、特にリザーバ40内の流体液面が下降しているときに
、この経路が蒸気と流体液面52との接触を制限する。流体液面52の下降中に
、流体表面付近の領域に向けて蒸気流の向きを変え、流体中への蒸気の溶解を増
加させることが好ましい。
【0022】 図4に示される他の態様では、蒸気分配器30が、下降する流体液面52に関
連して動く一つ以上の可動式蒸気流入部85を有しており、この蒸気流入部は、
チャンバ25に蒸気を導入する吸気口115を備えている。この態様では、リザ
ーバに一つ以上の基板を保持し、基板に対して流体液面52を下降させる適切な
方法が使用される。例えば、流体液面52は、タンク65内の流体を排出するこ
とによって、あるいは基板55をタンクから引き上げることによって、基板面に
対して下降させることができる。蒸気分配器30は、下降する流体液面52に関
連して動く可動式蒸気排出部90も含むことが好ましい。この蒸気排出部は、チ
ャンバ25内の蒸気を排気する排気口120を備えている。蒸気流入部の吸気口
115と蒸気排出部90の排気口120は、実質的に相互に向き合う関係にある
ことが好ましく、さらに好ましくは、図5bに示すように、直接対向し、基板表
面の両側に配置される。このような配置によって、新鮮な蒸気を流体表面上およ
び基板55付近に即座に導入することができ、またそこから直接排気することが
できる。
【0023】 可動式蒸気流入部85および排出部90の動きは、この流入部および排出部が
流体液面52に関連して移動するように、適切な制御手段によって制御すること
ができる。例えば可動式蒸気流入部85および排出部90は、基板表面に対する
流体液面25の動きにしたがって動くラチェットまたはウォーム伝動装置に沿っ
て移動することができる。流体液面52の動きに関連させて、また好ましくはそ
の速度に合わせて蒸気流入部85を移動させるために、コンピュータ制御ロボッ
トアセンブリ(図示せず)を用いることもできる。好ましくは、可動式蒸気流入
部85および排出部90は、図5aに示すように、流体液面52上に浮かぶ浮動
式蒸気流入部および浮動式蒸気排出部から構成される。浮動式蒸気流入部85お
よび排出部90には、柔軟なガスラインが設けられる。この柔軟なガスラインは
、このラインを通して蒸気を余分に漏出することなく輸送することが可能であり
、同時に流入部および排出部をチャンバ25内で自由に移動できるようにする。
柔軟なガスラインの例としては、蒸気を輸送する蒸気流路を有する折畳み可能な
ベローズ125が挙げられる。浮動式蒸気流入部85および排出部90は、蒸気
分配システム30の動きを簡単かつ効果的に制御および案内する手段を与える。
【0024】 好適な実施形態では、流体システム35は、リザーバ40内の流体表面の液位
を制御して徐々に下降させる流体液位調節装置150をさらに備える。流体液位
調節装置150は、通常、流体液位制御システム50を含み、この流体液位制御
システム50は、流体流入管のバルブ160やリザーバ40の排水路155を操
作する。排水路155は、リザーバ40の底壁内において基板55の直下に設置
されており、流体液面52が徐々に引いて基板表面を横切り、基板の上部から底
部に向かって後退できるようにしている。流体液位調節装置150によって流体
液面が下降すると、流体液面52における第一および第二の表面張力の差に起因
して基板表面の液体残渣が基板表面から流れ落ちる。好ましくは、流体液位調節
装置150は、閉制御ループシステムを有する流体液位調節システム50を備え
ている。この閉制御システムは、リザーバ40内の流体の液位を監視し、排水路
バルブ160の開き面を調節して流体液面下降の事前プログラム速度を取得する
。この他に、排水路バルブ160を、所望の流体液面排水速度を与える開き寸法
を与えるようにあらかじめ設定したり、流体をリザーバ40からポンプで取り出
すこともできる。この排水制御システムは、基板55周辺の流体液面をある液位
範囲内で下降させる手段を提供する。しかし、リザーバ40内の流体液面を制御
する他の多くの手段を使用することもできる。例えば、基板ホルダ60を固定位
置に保持した状態でリザーバアセンブリ全体を下降させることにより、流体液面
を下げることができる。このように本発明は、ここに説明した流体下降手段に制
限されるものではなく、当業者にとって明らかな他の流体下降手段も含んでいる
【0025】 多点ホルダ62は、流体液面52が下降する間、基板55を基板表面(好まし
くは、基板の周縁)上の異なる保持点63で保持するため、例えば図3b〜図3
cに示されるように、ゆっくり下降する流体液面52は、基板上のどの保持点6
3も横切ったり交差したりしない。通常、多点ホルダ62は、異なる時点に基板
周縁の異なる部分を(ウェーハの厚み部分に沿って)保持するように位置決めさ
れた一つ以上の保持面64を有している。多点ホルダ制御システムは、プログラ
ムされたコンピュータシステムおよびコンピュータ読取り可能媒体に具体化され
た適切な制御コードを含み、コンピュータをプログラムするユーザインタフェー
スを用いて保持クランプの動作を制御する。動作位置では、制御システムが保持
クランプ170を作動させ、その保持面64を基板55に押しつける。非動作位
置では、保持クランプ170の保持面64が基板から取り外されて基板と接触し
なくなる。例えば、図3bに示されるように、多点ホルダ62は、流体液面52
aが基板の上方にある間、保持点63a〜63eで基板55を保持する。さらに
図3cに示すように、多点ホルダ62は、第一保持点63b、63c、63dを
通過しない液位52bから52cまでの第一の液位範囲内で流体液面52bが下
降する間、第一保持点63b、63c、63dで基板55を保持する。その後、
図3dに示されるように、多点ホルダ62は、第二保持点63a、63c、63
eを通過しない液位52cから52dまでの第二の液位範囲内で流体液面52c
が下降する間、第二保持点63a、63c、63eで基板55を保持する。多点
ホルダ62は、第三、第四、または更に追加された保持点セット(例えば、保持
点63a、63b、63dおよび63e)で基板55を保持することもできる。
例えば図3eに示されるように、これら特定の保持点63を通過しない液位52
dから52eまでの追加範囲内で流体液面52が下降する間、保持点63a、6
3b、63dおよび63eで基板55を保持することもできる。
【0026】 好ましくは、多点ホルダ62は、基板55または複数の基板を収容するホルダ
60を基板またはホルダに沿った異なる点63で保持できる少なくとも二つの保
持クランプ170を備えている。この保持クランプは、基板55の上部、中間部
、および底部を保持することができる接触面を有している。好ましくは、多点ホ
ルダ62は、(i)流体液面52が第一保持点の外側の第一液位範囲内で下降し ている間、基板55を第一保持点で保持する第一ホルダクランプと、(ii)流体
液面52が第二保持点の外側の第二液位範囲内で下降している間、基板を第二保
持点63で保持する少なくとも一つの第二ホルダクランプを有している。ある態
様では、これらの保持クランプは、基板55の周囲に配置された一つ以上のジョ
ーからなるセットを備えており、そのジョー内に基板を確実に保持する。例えば
図1に示されるように、第一保持クランプが基板55の上部および底部の両側に
位置するジョーを備え、第二保持クランプが基板の底部の両側および底部に位置
するジョーを備えていてもよい。第一保持クランプは、流体液面52が第一液位
範囲内で下降している間、基板55の第一の部分を保持することができ、第二保
持クランプは、流体液面52が第二液位範囲内で下降している間、基板55の第
二の部分を保持することができる。
【0027】 この多点ホルダクランプは、基板55の周囲に位置する一つ以上のクランプ構
造を有する他の多くの態様を含むことができる。図4に示される態様では、多点
ホルダ62は、基板の一部を押圧して基板55を保持することのできる接触端を
有する回転カムを備えている。これらのカムは、その縦軸に垂直な軸を中心とし
て回転し、それによってカムを接触位置から非接触位置に動かすことができる。
非接触位置では、カムの先端が基板外周から外側へ向けられる。動作位置では、
カムの先端を基板55の外周を押圧するようにカムが回転する。3個以上のカム
が三角形の配置を形成するように使用される。この配置は、基板55をしっかり
とした固定配置に保持する。各々が別個の三角形配置あるいは方形配置に配され
た複数のカムセットを使用して、リザーバ40内の流体液面52の第一下降段階
、第二下降段階、および後続の下降段階において基板55を保持することもでき
る。
【0028】 回転カムに加えて、ローラ、クランピングジョー、および当業者にとって明ら
かな他の機能的に同等な構造も、多点保持配置で基板55を保持するために使用
できる。例えば、図3aに示すように、多点ホルダ62は、基板55を押圧して
基板55を保持するために弓型または円筒型の保持面64を持つローラを備える
ことができる。このローラは、基板55の外周を3点以上の点63で保持するの
に適した構成で基板55の外周に沿って配置され、より安定した保持配置を提供
する。ここに示される例では、一組の6個のローラが、第一および第二のローラ
が基板55の頂部および底部において鉛直に整列して配置され、4個の中間ロー
ラからなるセットが基板中間部の両側に配置されるように位置決めされる。これ
らの中間ローラは、基板55の中心を通る水平軸を介して相互に位置合わせされ
る。第一段階では、流体液面が第一液位範囲内で動く場合、ローラのいくつかが
同時に作動して正三角形をなす三つの点63で基板55を保持する第一ホルダク
ランプを形成する。流体液面52が第二液位範囲内で動く場合、他のローラが作
動して第二ホルダクランプが形成され、流体液面52が下降する範囲の外に位置
する異なる点63で基板55が保持される。さらに、多点ホルダ62は、更なる
プロセス段階で追加の保持クランプとして動作する第三または追加のローラセッ
トを備えていてもよい。
【0029】 多点ホルダ62は、新しい保持点を横切らない追加液位範囲内で流体液面が下
降する間、保持点の円周に沿って湾曲した経路を移動する弓型の表面を持った半
円形の部材を備えていてもよい。
【0030】 基板表面上の液体残渣膜を除去するために、リザーバ40内に一枚以上の基板
55が配置されるか、あるいは通常カセットキャリアとして知られている基板ホ
ルダ60の中に基板の山(スタック)を搭載した後、基板ホルダ60がリザーバ
内に配置される。図3aは、例示の基板ホルダ60を示したもので、これが降下
させられてリザーバ40内に浸漬されるので、流体液面52が基板55を覆う。
リザーバ40には、流体液位52を有する流体が収容されており、この流体表面
は第一および第二の表面張力を有する。この流体には、脱イオン水及び純水、ア
ルコール、アルデヒド、またはケトンを含む、基板表面を濡らすあらゆる流体を
含めることができる。この流体はまた、シリコン基板55上にシリコン酸化膜を
形成する原因となる過度の溶解酸素を低減するために加熱することもできる。ド
ライヤの作動中は、リザーバ40内に新鮮な流体を連続的にまたは段階的に加え
てリザーバに新鮮な流体を補充し、あるいは流体液面をあふれさせる。それによ
って基板表面における流体メニスカスとバルク流体との間の蒸気濃度勾配が上昇
する。
【0031】 この後、蒸気流入部85を通って流体液面52の上の蒸気ゾーンに蒸気が導入
され、流体表面上の蒸気の分圧が望ましい値に維持される。蒸気ゾーンに導入さ
れた蒸気は流体との混和性をもつ。また好ましくは、この蒸気は、基板55と反
応せず、基板表面に物理的に付着したり基板表面と化学反応を起こすような汚染
物のない有機化合物である。適した蒸気としては、例えば脂肪族アルコールや流
体と混和性の他の有機物質および無機物質があげられる。この蒸気は、水と混合
してその表面張力を下げるメタノール、プロパノール、またはブタノールのアル
コール蒸気を含むことが好ましい。例えば、メタノールは24dyne/cmの
表面張力を持ち、エタノールは24.1dyne/cmの表面張力、n−プロピ
ルアルコールおよびイソプロピルアルコールは22.9dyne/cmの表面張
力、アセトンは26.3dyne/cmの表面張力、メチルエチルケトンは26
.8dyne/cmの表面張力、および酢酸は29.6dyne/cmの表面張
力を持っている。
【0032】 好ましい蒸気には、無毒で水と混和性のあるイソプロパノール蒸気が含まれる
。また、イソプロパノールは低い表面張力を持っており、疎水性および親水性の
両方を有している。すなわち、イソプロパノールは油と水の両方に混和性を持ち
、水と混合すれば望ましい表面張力範囲を備える。好ましくは、蒸気供給装置8
0は、タンク一杯分の液体(例えばイソプロパノール)の表面を越えて不活性ま
たは非反応性のガス(例えば窒素)を吹き上げるバブラを有している。このキャ
リアガスは、イソプロパノールの蒸気をバブラから蒸気ゾーンへ輸送する。一般
に、イソプロパノール蒸気の流量は、約10〜約200ml/min(タンク内
の各200mm径基板に対して)であり、窒素の流量は約0.2〜約5l/mi
n(各200mm基板に対して)である。混和性蒸気とキャリアガスの流量比は
、通常、約0.001〜約0.03である。
【0033】 プロセス中、新鮮な蒸気が蒸気分配システム30によって継続的に蒸気ゾーン
75に導入され、流体表面上の蒸気の望ましい分圧を維持する。同時に、流体流
入部を通じてリザーバ40に新鮮な流体が追加されるので、流体表面上の蒸気の
分圧によって蒸気が流体表面に溶解し、流体表面に異なる表面張力領域を与える
。通常、蒸気分圧および流量を制御して、流体表面の表面張力勾配を少なくとも
約20dyne/cm2に維持する。通常1回の処理で導入されるイソプロパノ ール液の量は、約0.1〜約1mlであり、より一般的には約0.2〜約0.6
mlである。
【0034】 基板55の周りの流体液面52は基板表面に対して徐々に下降するので、流体
表面の第一および第二表面張力の差によって基板表面から残留液体が流れ落ちる
。特に、基板表面と流体表面との界面にできるメニスカス68は、メニスカスか
ら遠い流体表面部分よりも高い蒸気濃度を持っている。流体表面の下降中に、正
味の表面張力勾配によって基板55の表面から残留液体が引き離される。表面張
力が基板55の表面上の残留液体を均一かつ円滑に取り除くことができるように
、流体液面52の下降速度は比較的低速に保たれる。流体の排出が速すぎると、
残留液体の小滴が基板表面上に残り、蒸発時に基板表面を汚染する。したがって
流体表面は、基板表面上に残留液体が実質的に残らないような速度で下降させら
れることが好ましい。好ましくは、流体液面52は0.7〜10mm/secの
速度で、より好ましくは約1〜5mm/secの速度で下降される。10mm/
secを超える速度では基板55の表面に残留液体を残す結果となり、1mm/
secよりも遅い速度では処理スループットが低いことになる。
【0035】 好ましくは、流体液面52は、基板55をリザーバ40から取り出すことなく
、多点ホルダ62を使用して基板を保持しながら下降される。図3a〜図3eに
示した態様では、流体液面52が下降する間、基板55は基板表面の外周にある
異なる保持点63で保持されるので、下降する流体液面52が保持点を交差した
り横切ったりすることはない。プロセスの第一段階あるいは最初の段階では、基
板55周辺の流体液面が第一液位範囲内で下降し、その間、基板表面は第一液位
範囲外の第一保持点63で保持される。少なくとも一つの第二段階では、基板5
5周辺の流体液面が第二液位範囲内で下降し、その間、基板表面は第二液位範囲
外の第二保持点63で保持される。基板55の形状や大きさ、および保持クラン
プの配置に応じて、追加的な段階を使用することもできる。異なる段階を設ける
ことで、流体液面の下降によって流体液面52と接触面とが交差することなく基
板表面から液体残渣を流すことができる。多点保持システムは、保持クランプが
基板55と接触する保持点63における残留液体や流体の小滴の捕捉や閉じ込め
を防止することによって、基板表面の保持点63におけるすじやしみを防ぐ。多
点ホルダ62は、流体液面52の下降中に液位範囲外の保持点63で基板表面を
保持する手段を提供する。流体液面52は、保持点構造に干渉したり交差するこ
とのない、基板表面との単一で一様な接触線を形成する。結果としてこの構造は
、基板表面にすじやしみを作らずに残留液体を基板表面から取り除く。
【0036】 好ましくは、流体液面52に関連して移動する蒸気流入部85を通じて蒸気が
導入されることにより、流体のすぐ上の蒸気の分圧が維持される。例えばリザー
バ40内の流体の流体液面52を、流体を排出するか基板55を引き上げるかの
方法によって下降させると、基板表面上の残留液体が基板表面から流れ落ちる。
より好ましくは、チャンバ25から蒸気を排出する排気口120も流体液面52
の動きに関連して動く。より好ましくは、蒸気吸気口115および蒸気排気口1
20が流体液面52上で浮動し、これにより流体液面52の変化にしたがって蒸
気流入部85および蒸気排出部90の位置が自動的に調節される。
【0037】 本発明は従来技術よりも著しく優れたいくつかの利点を提供する。まず、基板
表面上に残る残留液体が低レベルである。従来のスピンリンス乾燥後に残る水膜
が約100nmであるのに比べ、乾燥装置20の動作後に基板55の表面に残る
水膜は、通常、約1〜10nmしかない。さらに、本装置20に使用されるイソ
プロパノールおよびアルコールは低濃度であるため、基板表面に残る有機残渣は
実質的に検出不可能な程度である。また、流体および蒸気はいずれも無毒な物質
であり、安全な処理が可能である。他の利点として、基板55はスピン乾燥に伴
う応力を受けにくく、損傷を受ける可能性が少ない。このように、本乾燥装置2
0は、従来技術の装置よりも著しく優れた利点を提供する。
【0038】 乾燥装置20の動作を制御するために本明細書で説明した処理ステップの実行
中に使用される制御システムには、コンピュータプログラム製品が含まれる。こ
のコンピュータプログラム製品は、周辺制御コンポーネントによってメモリシス
テムと相互接続された中央演算処理装置(CPU)、例えばカリフォルニア州Sy
nenergy Microsystemsから市販されている68400マイクロプロセッサなどを
含む従来のコンピュータシステム上で実行される。ビデオインターフェース端末
およびライトペンまたはキーボードが、本装置のプログラマやオペレータにイン
ターフェースを与える。このコンピュータプログラムコードは、68000アセ
ンブリ言語、C、C++、Pascalなど、あらゆる従来のコンピュータ読取
り可能なプログラミング言語によって書くことができる。適切なプログラムコー
ドを、従来のテキストエディタを用いて単一ファイルまたは複数ファイルに入力
し、コンピュータのメモリシステムのようなコンピュータ使用可能な媒体に記憶
または具体化する。高級言語のコードテキストは、コンパイルされ、得られるコ
ンパイラコードは、プリコンパイルされたウィンドウライブラリルーチンのオブ
ジェクトコードにリンクされる。リンクされたコンパイル済オブジェクトコード
を実行するために、システムユーザは、オブジェクトコードを呼び出し、コンピ
ュータシステムがそのコードをメモリにロードするようにする。この後、CPU
は、プログラム内で識別されたタスクを実行すべきコードを読み取り、実行する
【0039】 ユーザは、プロセス操作データセットをビデオインタフェース端末を介して入
力する。そのプロセスデータセットは、乾燥チャンバ25における特定のタスク
を実行するために必要な、あらかじめ決められたパラメータセットである。シー
ケンササブルーチンには、(i)チャンバ25へ流れる流体および蒸気の流量を 監視するステップ、(ii)チャンバ内の基板および乾燥チャンバ25へのローデ
ィングを待っている輸送チャンバ内の基板55の状態を測定するステップ、(ii
i)多点ホルダ62を作動して、基板の引き上げ段階および/または流体下降段 階の間、基板を異なる点で保持するために使用される多点ホルダサブルーチンを
実行するステップを実行し、さらに任意で(iv)流体下降システムを実行して、
乾燥チャンバ25内の流体液面を下降させるステップを実行するプログラムコー
ドが含まれる。乾燥チャンバ25および基板55の状態を監視する、ポーリング
のような従来の方法もまた使用できる。シーケンササブルーチンは、どのプロセ
スを実行すべきかをスケジューリングする際に、選択されたプロセスに対する望
ましいプロセス状況と比較した乾燥チャンバ25の現在の状況や、各特定のユー
ザ入力要求の「年齢」や、その他システムプログラマがスケジュールの優先順位
を決めるために含めたいと思う他の任意の関連要素を考慮に入れることができる
【0040】 シーケンササブルーチンが、どのプロセスデータセット組合せを次に実行する
かを決定すると、シーケンササブルーチンは、特定のプロセスデータセットパラ
メータをチャンバマネージャサブルーチンへ渡すことによってそのプロセスデー
タセットを実行させる。ここで、このチャンバマネージャサブルーチンは、シー
ケンササブルーチンによって決定されたプロセスデータセットにしたがって乾燥
チャンバ25内の複数の処理タスクを制御する。例えば、乾燥チャンバマネージ
ャサブルーチンは、乾燥チャンバ25内の流体および蒸気の流量とフローレベル
を制御するプログラムコードを含むことができる。チャンバマネージャサブルー
チンはまた、様々なチャンバコンポーネントサブルーチンやプログラムコードモ
ジュールの実行を制御する。これらのサブルーチンやモジュールは、選択された
プロセスセットを実施するために必要な乾燥チャンバ25のコンポーネントの動
作を制御する。そのようなコンポーネントサブルーチンの例としては、基板保持
プログラムコード、流体液位制御プログラムコード、蒸気圧力制御プログラムコ
ード、および流入排出プログラムコードがある。当業者であれば容易に理解する
ことができるように、チャンバ25内で実施が望まれる乾燥プロセス中のステッ
プに応じて、他の乾燥チャンバ制御プログラムコードやサブルーチンを含めるこ
とができる。
【0041】 例えば、乾燥チャンバの操作に適したコンピュータプログラム製品は、(1)
チャンバに蒸気を導入する蒸気分配制御コード、(2)リザーバ40に流体を分
配し、リザーバ内の流体液位52を調節する流体システム制御コード、および(
3)下降する流体液面が基板保持に使用されている保持点を横切らないように、
基板上の異なる保持点で基板55を保持する多点ホルダ62を作動させる多点ホ
ルダ制御コードを含む、コンピュータ読取り可能なプログラムコードを含んでい
る。蒸気分配コードは、チャンバ25に蒸気を導入する吸気口115を有する可
動式蒸気流入部85および蒸気を排出する排気口120を有する可動式蒸気排出
部90の一つ以上の動きを制御する。流体システム制御コードは、ある液位範囲
内で流体液面52を下降させる下降手段の動作を制御するコードを含む。多点ホ
ルダ制御コードは、流体液面52が第一保持点を横切らない第一液位範囲内で下
降する間、基板55を第一保持点で保持するように多点ホルダを操作し、流体液
面が第二保持点を横切らない第二液位範囲内で下降する間、基板を第二保持点で
保持するように多点ホルダを操作する。このような基本的なプログラム構造が乾
燥チャンバ25を操作および制御することができ、チャンバ内外への基板55の
輸送および移動を調整することができる。
【0042】 特定の好適な態様を参照しながら本発明を詳細に説明したが、当業者には他に
も多くの態様があることが明白であろう。例えば、乾燥装置は、流体内の基板を
昇降したり、(例えば、所定の速度で流体液面をあふれさせることにより)ドラ
イヤタンク内の流体液面を制御する等価な保持機構を備えていてもよい。したが
って、各請求項の趣旨と範囲は、本明細書で説明された好適な態様の記載に限定
されるものではない。
【図面の簡単な説明】
【図1】 本発明の基板乾燥システムの概略断面図である。
【図2】 基板表面と流体表面の界面における流体メニスカスの概略断面図である。
【図3a】 ローラタイプの保持クランプを有する多点ホルダを示す、本発明の装置の概略
斜視図である。
【図3b】 図3aの装置の概略正面断面図であり、リザーバ内の流体液面よりも下に浸漬
された基板を示している。
【図3c】 図3aの装置の正面図であり、流体液面を第一液位範囲内で下降させている間
、基板を保持する多点ホルダを示している。
【図3d】 図3aの装置の正面図であり、流体液面を第二液位範囲内で下降させている間
、基板を保持する多点ホルダを示している。
【図3e】 図3aの装置の正面図であり、流体液面を第三液位範囲内で下降させている間
、基板を保持する多点ホルダを示している。
【図4】 本発明の装置の別の実施形態の概略正面断面図であり、開位置および閉位置に
おける多点ホルダを示している。
【図5a】 可動式蒸気流入部および可動式蒸気排出部を備える本発明の装置の別の実施形
態の概略正面断面図である。
【図5b】 図5aの装置の平面図であり、蒸気流入部の吸気口および蒸気排出部の排気口
を示している。
【手続補正書】特許協力条約第34条補正の翻訳文提出書
【提出日】平成12年2月7日(2000.2.7)
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】全文
【補正方法】変更
【補正内容】
【発明の名称】 基板乾燥のための方法および装置
【特許請求の範囲】
【発明の詳細な説明】
【発明の属する技術分野】 本発明は、基板を乾燥する方法および装置に関する。
【従来の技術】 基板乾燥装置は、集積回路、液晶からなる表示画面、基板または合成材料上の
電気回路、およびビデオまたはレコード盤の製造において使用されている。これ
らのプロセスでは、半導体、セラミックおよびポリマ材料の基板が、例えば基板
をエッチングするためのエッチャント槽、基板上の露光フォトレジスト層を現像
するための現像槽、メタルを基板上に堆積させるためのガルヴァーニ槽、あるい
は基板を洗浄するためのリンス槽などへの浸漬により液体を用いて処理される。
液体における処理の後、基板上に残る液体残渣の薄い層は、乾燥プロセスによっ
て除去される。 基板乾燥には、伝統的ないくつかの方法が用いられている。遠心乾燥法または
スピンドライ法は、基板の表面を横断する軸のまわりを高速で回転する遠心乾燥
装置を使用する。高速回転によって発生する遠心力が基板上の残留液体の大部分
を強制的に排出する。しかし、従来の遠心乾燥装置および遠心乾燥プロセスには
いくつか不利な点がある。第一に、基板の高速回転の後で基板上に薄い水の膜が
残り、その水分中に溶解した少量の不揮発性物質が班点やすじの原因となる。さ
らに、遠心乾燥法は、残渣を捕捉する図形やホールを有するパターン付き基板表
面上にしばしば汚染残渣を残す。 Leenaarsらに与えられた米国特許第5,271,774号に記載された改良遠
心乾燥プロセスでは、遠心乾燥プロセスの間、混和性の蒸気を液体と共に基板表
面に流して基板上に残る汚染物を低減する。蒸気と液体残渣が基板上で混合し、
遠心乾燥法においてより基板から排出されやすい低い表面張力を持った溶液を形
成することで、残る汚染残渣が少なくなる。しかし、改良遠心乾燥のためのプロ
セスおよび装置は複雑であり、基板の高速回転から生じる機械的問題のため壊れ
やすい。遠心スピンドライヤは水分をウェーハ表面から排出する力に依存してい
るため、機械的圧力によってしばしば大きい基板や薄い基板が割れたり破損した
りする。また遠心乾燥装置内の可動部品が腐蝕して汚染粒子を形成し、これが基
板上に堆積する。さらに、乾燥雰囲気内での基板の高速回転中に基板表面に静電
気が生成し、帯電した大気中の汚染粒子が逆に基板上に引き寄せられてしまうこ
ともある。 アドバンストVLSIおよびULSI集積回路基板を乾燥させる好ましい方法
の一つは、流体が流体表面上を表面張力の低い領域から高い領域へ流れる状態を
維持するというマランゴニ原理を利用する。マランゴニドライヤの一種は、基板
を液体の入ったタンクへ浸漬し、液体上に混和性の蒸気を流すことにより動作す
る。タンク底から追加される液体はタンクの壁の上まで溢れる。連続的に液体を
溢れさせることで溶解した蒸気の濃度が変動し、その結果、液体表面上に表面張
力の勾配が生じる。従来のマランゴニドライヤでは、基板をカセットホルダに固
定して水中に浸漬し、タンクから基板を引き出す複雑なロボット機構を用いて流
体から基板をゆっくりと引き上げる。基板を引き上げる際に基板上の残留液体は
、液体表面の表面張力がより高い領域の方向へ流れて基板表面から離れるので、
これにより基板が乾燥する。引き上げのロボット機構は、通常、乾燥チャンバの
上部を形成する蓋内、もしくは乾燥チャンバまたはタンクの底部において基板の
下に配置される。
【発明が解決しようとする課題】 しかしながら、従来のマランゴニ乾燥システムにはいくつかの問題がある。基
板を引き上げるために使用される複雑なロボット引き上げ機構は故障しやすく、
保守に高額の費用がかかる。また、引き上げ装置には機械部品が使われており、
これらが動いてシールを磨耗する。磨耗した部品は汚染粒子を形成し、基板上に
堆積する。さらに、ロボット引き上げ機構の振動が基板表面からの残留液体の流
れを妨げる可能性がある。さらにまた、ロボット引き上げ機構が基板の各部に触
れると、基板表面上の接触点に残留液体が残り、基板上にすじやしみを作る原因
になる。 従来のマランゴニ乾燥システムの別の問題は、チャンバに導入された蒸気用の
吸気口および排気口が液体表面上で蒸気の均一な分布を与えないために生じる。
従来のシステムでは、液体表面上を蒸気流入部から排気口へ流れる蒸気の流量お
よびフローパターンは、リザーバ内の基板高さや流体液位の関数として変化する
。流体表面上に均一の流れを形成して、基板表面の液体残渣をより一定して乾燥
することが望ましい。 このように、基板表面上の残留液体膜を汚染物質やしみを後に残さずに効率的
に除去できる基板乾燥装置および基板乾燥方法を得ることが望まれる。さらに、
装置内の流体表面上に均一な蒸気流を与える乾燥装置が望まれる。また、タンク
内の流体の液位および流量を制御して、液体表面上、特に乾燥液の表面液位と基
板表面との交差点で、均一な表面張力勾配を維持することも望まれる。さらに、
低い保守費用で動作し、高い処理スループットを提供する装置および方法が望ま
れる。
【課題を解決するための手段】 本発明の装置は、半導体基板を均一に乾燥させ、余分な汚染残渣を後に残さず
に基板表面上の残留液体膜を除去する。ある実施形態において、この乾燥装置は
、チャンバに蒸気を導入するための蒸気分配器を持つ蒸気チャンバを備えている
。流体システムは、(i)リザーバ、(ii)リザーバに流体を導入するための流 体ディスペンサ、および(iii)リザーバ内の流体液面を下げるための流体液位 調節器を備えている。基板上の異なる保持点で基板を保持する多点ホルダが使用
されるので、液位下降中の流体表面は基板保持に使用されている保持点を通過し
ない。好ましくは、多点ホルダは、第一の保持点を通過しない第一液位範囲の中
で流体液面が下降している間は、第一の保持点で基板を保持し、第二の保持点を
通過しない第二液位範囲内で流体液面が下降している間は、第二の保持点で基板
を保持する。結果として、流体液面と保持点とが基板上で交差することなく残留
液体が基板表面から流れ落ち、しみやすじを作ることなく基板を均一に乾燥させ
ることができる。 別の実施形態では、この装置は、ある流体液位を有する流体を収容するリザー
バと、流体液面上の蒸気の分圧を維持する蒸気分配器と、を備えている。リザー
バに新鮮な流体を分配するために流体ディスペンサが使用される。ある液位範囲
内で流体液面を下げるために液位下降手段が与えられる。任意で、流体表面が下
降する液位範囲の外の保持点で基板を保持する保持手段が使用される。 本発明の別の態様には、基板をある流体液位を持つ流体中に浸漬し、流体上で
蒸気の分圧を維持する方法が含まれる。リザーバに新鮮な流体を追加する間、流
体の表面液位を下降させる。任意で、流体液面の下降中に異なる保持点で基板が
保持されるので、下降中の流体液面が基板保持に使用されている保持点を通過す
ることはない。好ましくは、この方法は、第一液位範囲外にある第一保持点で基
板を保持しながら第一液位範囲内で流体液面を下降させる第一段階を備え、少な
くとも一つの第二段階では、第二液位範囲外にある第二保持点で基板を保持しな
がら流体液面を第二液位範囲内で下降させる。 さらに別の態様では、本発明の乾燥チャンバは、流体システムを備えている。
この流体システムは、(i)ある流体液位を有する流体を収容するリザーバと、 (ii)リザーバに流体を導入する流体ディスペンサと、を備えている。リザーバ
内に一枚以上の基板を保持する手段および流体液面を基板に対して下降させる手
段が容易される。可動式の蒸気流入部が流体液面の下降に関連して動く。この蒸
気流入部は、チャンバに蒸気を導入する吸気口を有している。流体液面が基板に
対して下降することによって、基板から残留液体が流れ落ちる。好ましくは、可
動式の蒸気排出部も流体液面の下降に関連して動く。この蒸気排出部は、チャン
バから蒸気を排出する排気口を有している。さらに好ましくは、可動式蒸気流入
部および可動式蒸気排出部は、流体液面上にそれぞれ浮かぶ浮動蒸気流入部およ
び浮動蒸気排出部である。 さらに別の態様では、本発明は、基板表面上の液体残渣を除去する方法を含ん
でいる。この方法では、基板表面が、ある流体液位を有する流体を収容するリザ
ーバに浸漬される。リザーバに新鮮な流体を追加する間、流体液面に関連して動
く蒸気流入部を通して蒸気を導入することにより、流体液面上で蒸気の分圧が維
持される。新鮮な流体を追加する間、リザーバ内の流体液面を下降させることに
よって、基板表面から液体残渣が流れ落ちる。 さらに別の態様では、本発明は、残留液体が付着している基板表面を乾燥する
乾燥チャンバを操作するのに適したコンピュータプログラム製品を含んでいる。
このプログラム製品は、コンピュータ読取り可能なプログラムコード手段を具体
化したコンピュータ使用可能な媒体を含んでいる。このプログラムコード手段は
、 (a)チャンバに蒸気を導入するための蒸気分配制御コード、 (b)リザーバに流体を分配するとともに、リザーバ内の流体液位を調節する
ための流体システム制御コード、および (c)基板上の異なる保持点で基板を保持する多点ホルダを動作させ、液位下
降中の流体表面が基板保持に使用されている保持点を通過しないようにするため
の多点ホルダ制御コードを含んでいる。
【発明の実施の形態】 本発明の上記またはその他の特徴、態様、および利点は、以下の記載、特許請
求の範囲、および本発明の例を示す添付図面を参照することで、より良く理解さ
れるであろう。 本発明は、基板のウェット処理または流体処理の後に基板を乾燥する装置およ
び方法を提供するものであり、半導体ウェーハの乾燥に適した典型的なシステム
を用いて説明される。物体乾燥技術の当業者であれば明らかなように、同じ一般
原理が、本発明の範囲から逸脱することなく、他の応用例における他のウェット
な対象物の乾燥に当てはまる。半導体製造において乾燥作業は、半導体基板が液
体中で処理および洗浄された後に基板上に残るポリッシングスラリ、エッチング
液、フォトレジスト、剥離液およびその他の残留液体を除去するために用いられ
る。ここで説明されている基板乾燥処理および基板乾燥装置は、化学機械研磨、
拡散、イオン注入、エピタキシャル成長、および化学気相堆積法を含む様々な半
導体基板プロセスに使用することができる。例えば、本発明は化学機械研磨、洗
浄、および脱イオン水を用いたリンスが施された基板の乾燥に有効である。本発
明の乾燥装置および方法はまた、基板の拡散前洗浄、すなわちドーパントを酸化
膜に拡散させる前の基板洗浄や、エピタキシャル成長層が下地基板と一致した一
様な結晶構造をとり、また基板上の不純物によって不利な影響を受けないように
するためにシリコンのエピタキシャル成長の前に行う基板洗浄に有効である。 ある実施形態では、図1の例に示されるように、本発明の乾燥装置20は、チ
ャンバに蒸気を導入する蒸気分配器30を有する乾燥チャンバ25を備えている
。乾燥チャンバ25の流体分配収容システム35は、(i)流体を内部に収容す るリザーバ40、および(ii)リザーバに流体を導入する流体ディスペンサ45
を備えており、さらに任意で(iii)リザーバ内の流体液面52を下降させ基板 表面から残留液体を流し取る流体液位制御システム50を備えている。基板ホル
ダ60は、リザーバ40内で一枚の基板、または一山の基板を保持する。リザー
バは、液体で満たされる。基板ホルダ60は、ロボット式保持引上げシステム( 例えば、従来の技術で説明したようなもの)を使用して基板を流体液面52より も上に引き上げるホルダを備えていてもよいし、単一の位置で基板を保持するホ
ルダを備えていてもよい。図1は、基板ホルダ60を示している。この基板ホル
ダ60は、異なる保持点63にて基板を保持し、下降中の流体液面52が基板5
5を保持している保持点と交差、横断、または通過しないようにした多点ホルダ
62を有している。多点ホルダ62は、基板上の異なる保持点63で基板55を
保持する保持面64と、保持面64および基板上の保持点を動作させ、その動作
を制御する制御システムと、を有している。異なる保持点63は、流体液面52
の位置に関係して変化するので、残留液体は、基板上の保持点63と流体液面5
2とが交差することなく基板表面から流れ落ちることができ、これによって、し
みやすじを実質的に作らずに基板を均一に乾燥させることができる。 乾燥装置20は、基板55に対してマランゴニ乾燥原理を使用する。蒸気分配
システム30を通じてチャンバに導入された蒸気は、リザーバ40内の流体と混
和性であり、これに溶解する。流体表面上で流体に溶解する蒸気の濃度勾配が、
流体表面上に異なる表面張力を発生させる。基板55付近の低い表面張力と基板
から離れた位置の高い表面張力との差によって、基板を流体から徐々に取り出し
たときに(あるいは、流体を基板表面から徐々に排出したときに)基板上の残留
液体膜が基板から引き離される。蒸気が流体の一部に溶解すると、第一の表面張
力を持つ第一領域が、通常、より高い蒸気濃度に長時間曝された流体領域におい
て、図2に示すように基板表面と流体の界面に沿う流体のメニスカス66のよう
な形で与えられる。新鮮な流体が、第二の表面張力を持つ第二領域を与えるのに
充分な速度で、リザーバ40内にすでにある流体に追加される。この第二の表面
張力領域は、基板表面から遠い位置にある流体表面部分に形成される。その流体
表面部分には、新たに導入された流体中により低い濃度の蒸気が溶解して含まれ
ている。第二の表面張力は一般に第一表面張力よりも高く、基板表面から離れる
正味の推進力を作る。矢印68で示されるように低い表面張力領域から高い表面
張力領域方向への表面張力によって、基板55がリザーバ40から取り出される
とき、あるいはリザーバ内の流体液面52が下降させられるときに、基板55上
の残留液体が流れ落ちることになる。 乾燥チャンバ25は、リザーバ40および基板保持機構60を収容する形状お
よび寸法を有する従来のチャンバを含んでいる。チャンバ25は、リザーバ40
を独立構造で、あるいはチャンバと一体構造で含むことができる。好ましくは、
リザーバ40は、液体に完全に浸漬される一つ以上の基板ホルダ60(例えば、
ウェーハカセット)を収容する形状および寸法の容器を含んでいる。リザーバ4
0は、SEMI(Semiconductior Equipment and Materials Institute)の承認
する一つ以上のウェーハカセットキャリアを並べて収容できる寸法にすることが
できる。リザーバ40は、通常、流体と化学反応しない材料(ポリマなど)から
構成される。流体ディスペンサシステム45は、蒸気チャンバ25内のリザーバ
40に、チャンバ内またはチャンバ底部付近に設置されたノズルを通して流体を
分配する。流体ディスペンサ45は、供給タンク65、ポンプ70または他の流
体輸送手段からの流体ラインと、流体液位制御システム50とを備えている。流
体液位制御システム50は、乾燥装置20の動作中、リザーバ40内の流体の表
面上で溶解された蒸気の安定状態濃度勾配を維持するのに十分な流量にリザーバ
40への流体の流れを制御する。 チャンバ25は、蒸気を収容する封入蒸気ゾーンを形成する。蒸気分配システ
ム30は、バブラシステムなどの蒸気供給装置80を有しており、これによって
チャンバ25内の蒸気流入部85に蒸気が供給される。蒸気流入部85は、通常
、流体液面52の上方の、装置20の上部領域に位置しており、装置の蓋内に一
体化させることも、以下に説明するようにリザーバ40内の液体表面に浮かせて
おくこともできる。蒸気排出部90は、蒸気流入部85との関係で配置されてお
り、チャンバ25内の液体表面の上方に導入された蒸気が、基板表面を通り過ぎ
てチャンバ25内の障害物に妨げられずに直接排出部に流れるように配置される
。蒸気流入部85を基板55の一方の側に配置し、蒸気排出部90を基板55の
他方の側に配置して向き合わせの関係にすることにより、蒸気は基板表面上を横
切って通りぬけ、排出部に流れる。通常、このチャンバは大気圧で動作する。こ
の他に、圧力センサ100を使用してチャンバ25内の蒸気分圧を監視し、監視
信号を用いてマスフローコントローラ110を制御するために蒸気圧制御システ
ム95を用いることができる。マスフローコントローラ110は、バブラの上流
のガス圧を制御し、バブラガスと蒸気との混合気をチャンバに向かわせる。蒸気
吸気口115および蒸気排気口120は、一つ以上のノズルまたはスリットから
構成される。これらのノズルまたはスリットは、蒸気を放出して基板表面を均一
に通過させるような寸法および間隔を有している。例えば、吸気口115および
排気口120は、ウェーハ表面の平面に平行な軸を持った縦方向のスリット、ま
たは離間した複数の孔から構成することができる。好ましくは、複数組の孔が液
体表面に沿って延びる管の長手方向に沿って配置され、基板表面付近に蒸気流を
供給する。好ましくは、吸気口115および排気口120は、約1mm〜10m
mの径を持ち、液体−空気−基板界面の高さに蒸気を供給するように配置される
。 図3aに示される態様では、蒸気分配器30は蒸気流入部85および蒸気排出
部90を有し、これらはいずれもリザーバ40の側面に沿って配置された縦管で
あり、リザーバ40の高さ全体にわたって延びている。蒸気流入部85および蒸
気排出部90は、それぞれ開閉切り替え可能な複数の吸気口115および排気口
120を備えており、これらはチャンバ25の上部、中間部、および底部で均一
に分布している。流体液面52がチャンバの頂部付近にあり、他の口が閉じてい
る場合、蒸気は、開いた最上吸気口から供給され、開いた最上排気口を通じて排
気される。流体液面52が徐々に下降するにしたがい、より低い位置にある他の
切り替え可能な吸気口および排気口が開かれ(例えば、上部の口が閉じて一つ下
の口が開く)、蒸気流をこれら低い位置の口を通るように再方向付けし、流体液
面52における新鮮な蒸気80の供給を維持する。流体液面52の下降中に最上
の吸気口および排気口のみを使用すると、最上の吸気口から最上の排気口へ直接
流れる蒸気流経路ができ、特にリザーバ40内の流体液面が下降しているときに
、この経路が蒸気と流体液面52との接触を制限する。流体液面52の下降中に
、流体表面付近の領域に向けて蒸気流の向きを変え、流体中への蒸気の溶解を増
加させることが好ましい。 図4に示される他の態様では、蒸気分配器30が、下降する流体液面52に関
連して動く一つ以上の可動式蒸気流入部85を有しており、この蒸気流入部は、
チャンバ25に蒸気を導入する吸気口115を備えている。この態様では、リザ
ーバに一つ以上の基板を保持し、基板に対して流体液面52を下降させる適切な
方法が使用される。例えば、流体液面52は、タンク65内の流体を排出するこ
とによって、あるいは基板55をタンクから引き上げることによって、基板面に
対して下降させることができる。蒸気分配器30は、下降する流体液面52に関
連して動く可動式蒸気排出部90も含むことが好ましい。この蒸気排出部は、チ
ャンバ25内の蒸気を排気する排気口120を備えている。蒸気流入部の吸気口
115と蒸気排出部90の排気口120は、実質的に相互に向き合う関係にある
ことが好ましく、さらに好ましくは、図5bに示すように、直接対向し、基板表
面の両側に配置される。このような配置によって、新鮮な蒸気を流体表面上およ
び基板55付近に即座に導入することができ、またそこから直接排気することが
できる。 可動式蒸気流入部85および排出部90の動きは、この流入部および排出部が
流体液面52に関連して移動するように、適切な制御手段によって制御すること
ができる。例えば可動式蒸気流入部85および排出部90は、基板表面に対する
流体液面25の動きにしたがって動くラチェットまたはウォーム伝動装置に沿っ
て移動することができる。流体液面52の動きに関連させて、また好ましくはそ
の速度に合わせて蒸気流入部85を移動させるために、コンピュータ制御ロボッ
トアセンブリ(図示せず)を用いることもできる。好ましくは、可動式蒸気流入
部85および排出部90は、図5aに示すように、流体液面52上に浮かぶ浮動
式蒸気流入部および浮動式蒸気排出部から構成される。浮動式蒸気流入部85お
よび排出部90には、柔軟なガスラインが設けられる。この柔軟なガスラインは
、このラインを通して蒸気を余分に漏出することなく輸送することが可能であり
、同時に流入部および排出部をチャンバ25内で自由に移動できるようにする。
柔軟なガスラインの例としては、蒸気を輸送する蒸気流路を有する折畳み可能な
ベローズ125が挙げられる。浮動式蒸気流入部85および排出部90は、蒸気
分配システム30の動きを簡単かつ効果的に制御および案内する手段を与える。
好適な実施形態では、流体システム35は、リザーバ40内の流体表面の液位
を制御して徐々に下降させる流体液位調節装置150をさらに備える。流体液位
調節装置150は、通常、流体液位制御システム50を含み、この流体液位制御
システム50は、流体流入管のバルブ160やリザーバ40の排水路155を操
作する。排水路155は、リザーバ40の底壁内において基板55の直下に設置
されており、流体液面52が徐々に引いて基板表面を横切り、基板の上部から底
部に向かって後退できるようにしている。流体液位調節装置150によって流体
液面が下降すると、流体液面52における第一および第二の表面張力の差に起因
して基板表面の液体残渣が基板表面から流れ落ちる。好ましくは、流体液位調節
装置150は、閉制御ループシステムを有する流体液位調節システム50を備え
ている。この閉制御システムは、リザーバ40内の流体の液位を監視し、排水路
バルブ160の開き面を調節して流体液面下降の事前プログラム速度を取得する
。この他に、排水路バルブ160を、所望の流体液面排水速度を与える開き寸法
を与えるようにあらかじめ設定したり、流体をリザーバ40からポンプで取り出
すこともできる。この排水制御システムは、基板55周辺の流体液面をある液位
範囲内で下降させる手段を提供する。しかし、リザーバ40内の流体液面を制御
する他の多くの手段を使用することもできる。例えば、基板ホルダ60を固定位
置に保持した状態でリザーバアセンブリ全体を下降させることにより、流体液面
を下げることができる。このように本発明は、ここに説明した流体下降手段に制
限されるものではなく、当業者にとって明らかな他の流体下降手段も含んでいる
。 多点ホルダ62は、流体液面52が下降する間、基板55を基板表面(好まし
くは、基板の周縁)上の異なる保持点63で保持するため、例えば図3b〜図3
cに示されるように、ゆっくり下降する流体液面52は、基板上のどの保持点6
3も横切ったり交差したりしない。通常、多点ホルダ62は、異なる時点に基板
周縁の異なる部分を(ウェーハの厚み部分に沿って)保持するように位置決めさ
れた一つ以上の保持面64を有している。多点ホルダ制御システムは、プログラ
ムされたコンピュータシステムおよびコンピュータ読取り可能媒体に具体化され
た適切な制御コードを含み、コンピュータをプログラムするユーザインタフェー
スを用いて保持クランプの動作を制御する。動作位置では、制御システムが保持
クランプ170を作動させ、その保持面64を基板55に押しつける。非動作位
置では、保持クランプ170の保持面64が基板から取り外されて基板と接触し
なくなる。例えば、図3bに示されるように、多点ホルダ62は、流体液面52
aが基板の上方にある間、保持点63a〜63eで基板55を保持する。さらに
図3cに示すように、多点ホルダ62は、第一保持点63b、63c、63dを
通過しない液位52bから52cまでの第一の液位範囲内で流体液面52bが下
降する間、第一保持点63b、63c、63dで基板55を保持する。その後、
図3dに示されるように、多点ホルダ62は、第二保持点63a、63c、63
eを通過しない液位52cから52dまでの第二の液位範囲内で流体液面52c
が下降する間、第二保持点63a、63c、63eで基板55を保持する。多点
ホルダ62は、第三、第四、または更に追加された保持点セット(例えば、保持
点63a、63b、63dおよび63e)で基板55を保持することもできる。
例えば図3eに示されるように、これら特定の保持点63を通過しない液位52
dから52eまでの追加範囲内で流体液面52が下降する間、保持点63a、6
3b、63dおよび63eで基板55を保持することもできる。 好ましくは、多点ホルダ62は、基板55または複数の基板を収容するホルダ
60を基板またはホルダに沿った異なる点63で保持できる少なくとも二つの保
持クランプ170を備えている。この保持クランプは、基板55の上部、中間部
、および底部を保持することができる接触面を有している。好ましくは、多点ホ
ルダ62は、(i)流体液面52が第一保持点の外側の第一液位範囲内で下降し ている間、基板55を第一保持点で保持する第一ホルダクランプと、(ii)流体
液面52が第二保持点の外側の第二液位範囲内で下降している間、基板を第二保
持点63で保持する少なくとも一つの第二ホルダクランプを有している。ある態
様では、これらの保持クランプは、基板55の周囲に配置された一つ以上のジョ
ーからなるセットを備えており、そのジョー内に基板を確実に保持する。例えば
図1に示されるように、第一保持クランプが基板55の上部および底部の両側に
位置するジョーを備え、第二保持クランプが基板の底部の両側および底部に位置
するジョーを備えていてもよい。第一保持クランプは、流体液面52が第一液位
範囲内で下降している間、基板55の第一の部分を保持することができ、第二保
持クランプは、流体液面52が第二液位範囲内で下降している間、基板55の第
二の部分を保持することができる。 この多点ホルダクランプは、基板55の周囲に位置する一つ以上のクランプ構
造を有する他の多くの態様を含むことができる。図4に示される態様では、多点
ホルダ62は、基板の一部を押圧して基板55を保持することのできる接触端を
有する回転カムを備えている。これらのカムは、その縦軸に垂直な軸を中心とし
て回転し、それによってカムを接触位置から非接触位置に動かすことができる。
非接触位置では、カムの先端が基板外周から外側へ向けられる。動作位置では、
カムの先端を基板55の外周を押圧するようにカムが回転する。3個以上のカム
が三角形の配置を形成するように使用される。この配置は、基板55をしっかり
とした固定配置に保持する。各々が別個の三角形配置あるいは方形配置に配され
た複数のカムセットを使用して、リザーバ40内の流体液面52の第一下降段階
、第二下降段階、および後続の下降段階において基板55を保持することもでき
る。 回転カムに加えて、ローラ、クランピングジョー、および当業者にとって明ら
かな他の機能的に同等な構造も、多点保持配置で基板55を保持するために使用
できる。例えば、図3aに示すように、多点ホルダ62は、基板55を押圧して
基板55を保持するために弓型または円筒型の保持面64を持つローラを備える
ことができる。このローラは、基板55の外周を3点以上の点63で保持するの
に適した構成で基板55の外周に沿って配置され、より安定した保持配置を提供
する。ここに示される例では、一組の6個のローラが、第一および第二のローラ
が基板55の頂部および底部において鉛直に整列して配置され、4個の中間ロー
ラからなるセットが基板中間部の両側に配置されるように位置決めされる。これ
らの中間ローラは、基板55の中心を通る水平軸を介して相互に位置合わせされ
る。第一段階では、流体液面が第一液位範囲内で動く場合、ローラのいくつかが
同時に作動して正三角形をなす三つの点63で基板55を保持する第一ホルダク
ランプを形成する。流体液面52が第二液位範囲内で動く場合、他のローラが作
動して第二ホルダクランプが形成され、流体液面52が下降する範囲の外に位置
する異なる点63で基板55が保持される。さらに、多点ホルダ62は、更なる
プロセス段階で追加の保持クランプとして動作する第三または追加のローラセッ
トを備えていてもよい。 多点ホルダ62は、基板の円周に沿って湾曲した経路を移動して基板を保持す
る弓型の表面を持った半円形の部材を備えていてもよい。 基板表面上の液体残渣膜を除去するために、リザーバ40内に一枚以上の基板
55が配置されるか、あるいは通常カセットキャリアとして知られている基板ホ
ルダ60の中に基板の山(スタック)を搭載した後、基板ホルダ60がリザーバ
内に配置される。図3aは、例示の基板ホルダ60を示したもので、これが降下
させられてリザーバ40内に浸漬されるので、流体液面52が基板55を覆う。
リザーバ40には、流体液位52を有する流体が収容されており、この流体表面
は第一および第二の表面張力を有する。この流体には、脱イオン水及び純水、ア
ルコール、アルデヒド、またはケトンを含む、基板表面を濡らすあらゆる流体を
含めることができる。この流体はまた、シリコン基板55上にシリコン酸化膜を
形成する原因となる過度の溶解酸素を低減するために加熱することもできる。ド
ライヤの作動中は、リザーバ40内に新鮮な流体を連続的にまたは段階的に加え
てリザーバに新鮮な流体を補充し、あるいは流体液面をあふれさせる。それによ
って基板表面における流体メニスカスとバルク流体との間の蒸気濃度勾配が上昇
する。 この後、蒸気流入部85を通って流体液面52の上の蒸気ゾーンに蒸気が導入
され、流体表面上の蒸気の分圧が望ましい値に維持される。蒸気ゾーンに導入さ
れた蒸気は流体との混和性をもつ。また好ましくは、この蒸気は、基板55と反
応せず、基板表面に物理的に付着したり基板表面と化学反応を起こすような汚染
物のない有機化合物である。適した蒸気としては、例えば脂肪族アルコールや流
体と混和性の他の有機物質および無機物質があげられる。この蒸気は、水と混合
してその表面張力を下げるメタノール、プロパノール、またはブタノールのアル
コール蒸気を含むことが好ましい。例えば、メタノールは24dyne/cmの
表面張力を持ち、エタノールは24.1dyne/cmの表面張力、n−プロピ
ルアルコールおよびイソプロピルアルコールは22.9dyne/cmの表面張
力、アセトンは26.3dyne/cmの表面張力、メチルエチルケトンは26
.8dyne/cmの表面張力、および酢酸は29.6dyne/cmの表面張
力を持っている。 好ましい蒸気には、無毒で水と混和性のあるイソプロパノール蒸気が含まれる
。また、イソプロパノールは低い表面張力を持っており、疎水性および親水性の
両方を有している。すなわち、イソプロパノールは油と水の両方に混和性を持ち
、水と混合すれば望ましい表面張力範囲を備える。好ましくは、蒸気供給装置8
0は、タンク一杯分の液体(例えばイソプロパノール)の表面を越えて不活性ま
たは非反応性のガス(例えば窒素)を吹き上げるバブラを有している。このキャ
リアガスは、イソプロパノールの蒸気をバブラから蒸気ゾーンへ輸送する。一般
に、イソプロパノール蒸気の流量は、約10〜約200ml/min(タンク内
の各200mm径基板に対して)であり、窒素の流量は約0.2〜約5l/mi
n(各200mm基板に対して)である。混和性蒸気とキャリアガスの流量比は
、通常、約0.001〜約0.03である。 プロセス中、新鮮な蒸気が蒸気分配システム30によって継続的に蒸気ゾーン
75に導入され、流体表面上の蒸気の望ましい分圧を維持する。同時に、流体流
入部を通じてリザーバ40に新鮮な流体が追加されるので、流体表面上の蒸気の
分圧によって蒸気が流体表面に溶解し、流体表面に異なる表面張力領域を与える
。通常、蒸気分圧および流量を制御して、流体表面の表面張力勾配を少なくとも
約20dyne/cm2に維持する。通常1回の処理で導入されるイソプロパノ ール液の量は、約0.1〜約1mlであり、より一般的には約0.2〜約0.6
mlである。 基板55の周りの流体液面52は基板表面に対して徐々に下降するので、流体
表面の第一および第二表面張力の差によって基板表面から残留液体が流れ落ちる
。特に、基板表面と流体表面との界面にできるメニスカス68は、メニスカスか
ら遠い流体表面部分よりも高い蒸気濃度を持っている。流体表面の下降中に、正
味の表面張力勾配によって基板55の表面から残留液体が引き離される。表面張
力が基板55の表面上の残留液体を均一かつ円滑に取り除くことができるように
、流体液面52の下降速度は比較的低速に保たれる。流体の排出が速すぎると、
残留液体の小滴が基板表面上に残り、蒸発時に基板表面を汚染する。したがって
流体表面は、基板表面上に残留液体が実質的に残らないような速度で下降させら
れることが好ましい。好ましくは、流体液面52は0.7〜10mm/secの
速度で、より好ましくは約1〜5mm/secの速度で下降される。10mm/
secを超える速度では基板55の表面に残留液体を残す結果となり、1mm/
secよりも遅い速度では処理スループットが低いことになる。 好ましくは、流体液面52は、基板55をリザーバ40から取り出すことなく
、多点ホルダ62を使用して基板を保持しながら下降される。図3a〜図3eに
示した態様では、流体液面52が下降する間、基板55は基板表面の外周にある
異なる保持点63で保持されるので、下降する流体液面52が保持点を交差した
り横切ったりすることはない。プロセスの第一段階あるいは最初の段階では、基
板55周辺の流体液面が第一液位範囲内で下降し、その間、基板表面は第一液位
範囲外の第一保持点63で保持される。少なくとも一つの第二段階では、基板5
5周辺の流体液面が第二液位範囲内で下降し、その間、基板表面は第二液位範囲
外の第二保持点63で保持される。基板55の形状や大きさ、および保持クラン
プの配置に応じて、追加的な段階を使用することもできる。異なる段階を設ける
ことで、流体液面の下降によって流体液面52と接触面とが交差することなく基
板表面から液体残渣を流すことができる。多点保持システムは、保持クランプが
基板55と接触する保持点63における残留液体や流体の小滴の捕捉や閉じ込め
を防止することによって、基板表面の保持点63におけるすじやしみを防ぐ。多
点ホルダ62は、流体液面52の下降中に液位範囲外の保持点63で基板表面を
保持する手段を提供する。流体液面52は、保持点構造に干渉したり交差するこ
とのない、基板表面との単一で一様な接触線を形成する。結果としてこの構造は
、基板表面にすじやしみを作らずに残留液体を基板表面から取り除く。 好ましくは、流体液面52に関連して移動する蒸気流入部85を通じて蒸気が
導入されることにより、流体のすぐ上の蒸気の分圧が維持される。例えばリザー
バ40内の流体の流体液面52を、流体を排出するか基板55を引き上げるかの
方法によって下降させると、基板表面上の残留液体が基板表面から流れ落ちる。
より好ましくは、チャンバ25から蒸気を排出する排気口120も流体液面52
の動きに関連して動く。より好ましくは、蒸気吸気口115および蒸気排気口1
20が流体液面52上で浮動し、これにより流体液面52の変化にしたがって蒸
気流入部85および蒸気排出部90の位置が自動的に調節される。 本発明は従来技術よりも著しく優れたいくつかの利点を提供する。まず、基板
表面上に残る残留液体が低レベルである。従来のスピンリンス乾燥後に残る水膜
が約100nmであるのに比べ、乾燥装置20の動作後に基板55の表面に残る
水膜は、通常、約1〜10nmしかない。さらに、本装置20に使用されるイソ
プロパノールおよびアルコールは低濃度であるため、基板表面に残る有機残渣は
実質的に検出不可能な程度である。また、流体および蒸気はいずれも無毒な物質
であり、安全な処理が可能である。他の利点として、基板55はスピン乾燥に伴
う応力を受けにくく、損傷を受ける可能性が少ない。このように、本乾燥装置2
0は、従来技術の装置よりも著しく優れた利点を提供する。 乾燥装置20の動作を制御するために本明細書で説明した処理ステップの実行
中に使用される制御システムには、コンピュータプログラム製品が含まれる。こ
のコンピュータプログラム製品は、周辺制御コンポーネントによってメモリシス
テムと相互接続された中央演算処理装置(CPU)、例えばカリフォルニア州Sy
nenergy Microsystemsから市販されている68400マイクロプロセッサなどを
含む従来のコンピュータシステム上で実行される。ビデオインターフェース端末
およびライトペンまたはキーボードが、本装置のプログラマやオペレータにイン
ターフェースを与える。このコンピュータプログラムコードは、68000アセ
ンブリ言語、C、C++、Pascalなど、あらゆる従来のコンピュータ読取
り可能なプログラミング言語によって書くことができる。適切なプログラムコー
ドを、従来のテキストエディタを用いて単一ファイルまたは複数ファイルに入力
し、コンピュータのメモリシステムのようなコンピュータ使用可能な媒体に記憶
または具体化する。高級言語のコードテキストは、コンパイルされ、得られるコ
ンパイラコードは、プリコンパイルされたウィンドウライブラリルーチンのオブ
ジェクトコードにリンクされる。リンクされたコンパイル済オブジェクトコード
を実行するために、システムユーザは、オブジェクトコードを呼び出し、コンピ
ュータシステムがそのコードをメモリにロードするようにする。この後、CPU
は、プログラム内で識別されたタスクを実行すべきコードを読み取り、実行する
。 ユーザは、プロセス操作データセットをビデオインタフェース端末を介して入
力する。そのプロセスデータセットは、乾燥チャンバ25における特定のタスク
を実行するために必要な、あらかじめ決められたパラメータセットである。シー
ケンササブルーチンには、(i)チャンバ25へ流れる流体および蒸気の流量を 監視するステップ、(ii)チャンバ内の基板および乾燥チャンバ25へのローデ
ィングを待っている輸送チャンバ内の基板55の状態を測定するステップ、(ii
i)多点ホルダ62を作動して、基板の引き上げ段階および/または流体下降段 階の間、基板を異なる点で保持するために使用される多点ホルダサブルーチンを
実行するステップを実行し、さらに任意で(iv)流体下降システムを実行して、
乾燥チャンバ25内の流体液面を下降させるステップを実行するプログラムコー
ドが含まれる。乾燥チャンバ25および基板55の状態を監視する、ポーリング
のような従来の方法もまた使用できる。シーケンササブルーチンは、どのプロセ
スを実行すべきかをスケジューリングする際に、選択されたプロセスに対する望
ましいプロセス状況と比較した乾燥チャンバ25の現在の状況や、各特定のユー
ザ入力要求の「年齢」や、その他システムプログラマがスケジュールの優先順位
を決めるために含めたいと思う他の任意の関連要素を考慮に入れることができる
。 シーケンササブルーチンが、どのプロセスデータセット組合せを次に実行する
かを決定すると、シーケンササブルーチンは、特定のプロセスデータセットパラ
メータをチャンバマネージャサブルーチンへ渡すことによってそのプロセスデー
タセットを実行させる。ここで、このチャンバマネージャサブルーチンは、シー
ケンササブルーチンによって決定されたプロセスデータセットにしたがって乾燥
チャンバ25内の複数の処理タスクを制御する。例えば、乾燥チャンバマネージ
ャサブルーチンは、乾燥チャンバ25内の流体および蒸気の流量とフローレベル
を制御するプログラムコードを含むことができる。チャンバマネージャサブルー
チンはまた、様々なチャンバコンポーネントサブルーチンやプログラムコードモ
ジュールの実行を制御する。これらのサブルーチンやモジュールは、選択された
プロセスセットを実施するために必要な乾燥チャンバ25のコンポーネントの動
作を制御する。そのようなコンポーネントサブルーチンの例としては、基板保持
プログラムコード、流体液位制御プログラムコード、蒸気圧力制御プログラムコ
ード、および流入排出プログラムコードがある。当業者であれば容易に理解する
ことができるように、チャンバ25内で実施が望まれる乾燥プロセス中のステッ
プに応じて、他の乾燥チャンバ制御プログラムコードやサブルーチンを含めるこ
とができる。 例えば、乾燥チャンバの操作に適したコンピュータプログラム製品は、(1)
チャンバに蒸気を導入する蒸気分配制御コード、(2)リザーバ40に流体を分
配し、リザーバ内の流体液位52を調節する流体システム制御コード、および(
3)下降する流体液面が基板保持に使用されている保持点を横切らないように、
基板上の異なる保持点で基板55を保持する多点ホルダ62を作動させる多点ホ
ルダ制御コードを含む、コンピュータ読取り可能なプログラムコードを含んでい
る。蒸気分配コードは、チャンバ25に蒸気を導入する吸気口115を有する可
動式蒸気流入部85および蒸気を排出する排気口120を有する可動式蒸気排出
部90の一つ以上の動きを制御する。流体システム制御コードは、ある液位範囲
内で流体液面52を下降させる下降手段の動作を制御するコードを含む。多点ホ
ルダ制御コードは、流体液面52が第一保持点を横切らない第一液位範囲内で下
降する間、基板55を第一保持点で保持するように多点ホルダを操作し、流体液
面が第二保持点を横切らない第二液位範囲内で下降する間、基板を第二保持点で
保持するように多点ホルダを操作する。このような基本的なプログラム構造が乾
燥チャンバ25を操作および制御することができ、チャンバ内外への基板55の
輸送および移動を調整することができる。 特定の好適な態様を参照しながら本発明を詳細に説明したが、当業者には他に
も多くの態様があることが明白であろう。例えば、乾燥装置は、流体内の基板を
昇降したり、(例えば、所定の速度で流体液面をあふれさせることにより)ドラ
イヤタンク内の流体液面を制御する等価な保持機構を備えていてもよい。したが
って、各請求項の趣旨と範囲は、本明細書で説明された好適な態様の記載に限定
されるものではない。
【図面の簡単な説明】
【図1】 本発明の基板乾燥システムの概略断面図である。
【図2】 基板表面と流体表面の界面における流体メニスカスの概略断面図である。
【図3a】 ローラタイプの保持クランプを有する多点ホルダを示す、本発明の装置の概略
斜視図である。
【図3b】 図3aの装置の概略正面断面図であり、リザーバ内の流体液面よりも下に浸漬
された基板を示している。
【図3c】 図3aの装置の正面図であり、流体液面を第一液位範囲内で下降させている間
、基板を保持する多点ホルダを示している。
【図3d】 図3aの装置の正面図であり、流体液面を第二液位範囲内で下降させている間
、基板を保持する多点ホルダを示している。
【図3e】 図3aの装置の正面図であり、流体液面を第三液位範囲内で下降させている間
、基板を保持する多点ホルダを示している。
【図4】 本発明の装置の別の実施形態の概略正面断面図であり、開位置および閉位置に
おける多点ホルダを示している。
【図5a】 可動式蒸気流入部および可動式蒸気排出部を備える本発明の装置の別の実施形
態の概略正面断面図である。
【図5b】 図5aの装置の平面図であり、蒸気流入部の吸気口および蒸気排出部の排気口
を示している。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ハーン, ジョン, エス. アメリカ合衆国, カリフォルニア州, ロス アルトス, フロンテロ アヴェニ ュー 1456 (72)発明者 ローレンス, ロバート, ビ−. アメリカ合衆国, カリフォルニア州, ロス ガトス, エドマンド ドライヴ 15822 Fターム(参考) 3L113 AA01 AB02 AB10 AC20 AC67 AC76 BA34 DA04

Claims (47)

    【特許請求の範囲】
  1. 【請求項1】 残留液体が付着した基板表面を乾燥させる乾燥チャンバであ
    って、 (a)チャンバ内へ蒸気を導入する蒸気分配器と、 (b)(i)リザーバ、(ii)リザーバに流体を導入する流体ディスペンサ、 および(iii)リザーバ内の流体液面を下降させる流体液位調節器を備える流体 システムと、 (c)下降中の流体液面が基板の保持に使用されている保持点を横切らないよ
    うに、基板上の異なる保持点で基板を保持する多点ホルダと、 を備える乾燥チャンバ。
  2. 【請求項2】 第一の保持点を横切らない第一液位範囲内で流体液面が下降
    している間は、前記多点ホルダが第一の保持点で基板を保持し、第二の保持点を
    横切らない第二液位範囲内で流体液面が下降している間は、前記多点ホルダが第
    二の保持点で基板を保持する請求項1記載の装置。
  3. 【請求項3】 前記多点ホルダが、 (1) 基板の周縁に沿った保持点で基板を保持する保持面を有する一つ以上 の保持クランプ、 (2)基板を保持する弓型の表面を有する複数のローラ、または (3)基板を保持する接触端を有する複数の回転カム のうちの少なくとも一つを備えている請求項1記載の装置。
  4. 【請求項4】 前記蒸気分配器が前記チャンバ内に蒸気を導入する吸気口を
    有する可動式蒸気流入部を備えている請求項1記載の装置。
  5. 【請求項5】 前記蒸気分配器が備えている請求項4記載の装置。
  6. 【請求項6】 前記蒸気分配器が、前記チャンバ内に蒸気を導入する吸気口
    を有する浮動式蒸気流入部と、蒸気を排出する排気口を有する浮動式蒸気排出部
    と、を備えている請求項5記載の装置。
  7. 【請求項7】 基板表面上の残留液体を除去する装置であって、 (a)ある流体液位を有する流体を収容するリザーバと、 (b)流体液面上の蒸気の分圧を維持する蒸気分配器と、 (c)リザーバに新鮮な流体を分配する流体ディスペンサと、 (d)ある液位範囲内で流体液面を下降させる下降手段と、 (e)流体表面が下降する液位範囲の外に位置する異なる保持点で基板を保持
    する保持手段と、 を備える装置。
  8. 【請求項8】 保持手段が、流体液面が下降する第一液位範囲の外に位置す
    る第一保持点で基板を保持し、その後、流体表面が下降する第二液位範囲の外に
    位置する第二保持点で基板を保持する請求項7記載の装置。
  9. 【請求項9】 蒸気分配器がチャンバ内に蒸気を導入する吸気口を有する浮
    動式蒸気流入部と、蒸気を排出する排気口を有する浮動式蒸気排出部と、を備え
    る、前記吸気口と前記排気口とが実質的に相互に向き合っている請求項7記載の
    装置。
  10. 【請求項10】 基板表面上の液体残渣を除去する方法であって、 (a)ある流体液位を有する流体中に基板を浸漬するステップと、 (b)前記流体上の蒸気の分圧を維持するステップと、 (c)新鮮な流体を追加する間、流体液面を下降させるステップと、 (d)下降中の流体液面が基板保持に使用されている保持点を横切らないよう
    に、前記流体液面を下降させる間、前記基板上の異なる保持点で前記基板を保持
    するステップと、 を備える方法。
  11. 【請求項11】 前記ステップ(d)が、流体液面の位置に関連して選択さ
    れる異なる保持点で基板を保持するステップを含んでいる、請求項10記載の方
    法であり。
  12. 【請求項12】 前記ステップ(d)が、第一液位範囲内で前記流体液面が
    下降する間、第一保持点で基板を保持するステップと、第二液位範囲内で前記流
    体液面が下降する間、第二保持点で基板を保持するステップと、を備えている、
    請求項10記載の方法。
  13. 【請求項13】 前記ステップ(b)が、下降する前記流体液面に関連して
    可動式蒸気流入部および可動式蒸気排出部を移動させるステップを備えており、
    前記蒸気流入部は、前記流体上に蒸気を導入する吸気口を有し、前記蒸気排出部
    は、蒸気を排出する排気口を有している、請求項10記載の方法。
  14. 【請求項14】 前記ステップ(b)が、前記可動式蒸気流入部および可動
    式蒸気排出部を流体液面上で浮動させるステップを備えている、請求項13記載
    の方法。
  15. 【請求項15】 基板表面上の液体残渣を除去する方法であって、 (a)ある流体液位を有する流体中に基板表面を浸漬するステップと、 (b)新鮮な流体を追加する間、前記流体上の蒸気の分圧を維持するステップ
    と、 (c)第一段階において、流体液面を第一液位範囲内で下降させるとともに前
    記第一液位範囲の外に位置する第一保持点で前記基板を保持するステップと、 (d)少なくとも一つの第二段階において、流体液面を第二液位範囲内で下降
    させるとともに前記第二液位範囲の外に位置する第二保持点で基板を保持するス
    テップと、 を備える方法。
  16. 【請求項16】 前記第一段階において、前記第一保持点が前記第一液位範
    囲の外に配置された保持点からなり、前記第二段階において、前記第二保持点が
    前記第二液位範囲の外に配置された保持点からなる請求項15記載の方法。
  17. 【請求項17】 前記第一保持点および第二保持点が、それぞれほぼ三角形
    に配置された少なくとも3個の保持点からなる請求項16記載の方法。
  18. 【請求項18】 前記ステップ(b)は、下降する前記流体液面に関連して
    可動式蒸気流入部および可動式蒸気排出部を移動させるステップを備えており、
    前記蒸気流入部は、流体上に蒸気を導入する吸気口を有し、前記蒸気排出部は、
    蒸気を排出する排気口を有している、請求項15記載の方法。
  19. 【請求項19】 前記可動式蒸気流入部および可動式蒸気排出部を流体液面
    上で浮動させるステップを備える請求項18記載の方法。
  20. 【請求項20】 残留液体が表面に付着した基板を乾燥させる乾燥チャンバ
    であって、 (a)ある流体液位を有する流体を収容するリザーバ、および前記リザーバ内
    に流体を導入する流体ディスペンサを備える流体システムと、 (b)前記リザーバ内で一枚以上の基板を保持し、流体液面を前記基板に対し
    て下降させる手段と、 (c)下降する前記流体液面に関連して動く可動式の蒸気流入部であって、前
    記チャンバ内に蒸気を導入する吸気口を有する蒸気流入部と、 を備え、前記基板に対して前記流体液面を下降させることにより残留液体を前記
    基板から流し落とす乾燥チャンバ。
  21. 【請求項21】 下降する前記流体液面に関連して動く可動式の蒸気排出部
    を更に備える請求項20記載の乾燥チャンバであって、前記蒸気排出部が前記チ
    ャンバ内の蒸気を排出する排気口を有している乾燥チャンバ。
  22. 【請求項22】 前記蒸気流入部上の吸気口と前記蒸気排出部の排気口とが
    実質的に相互に向き合っている請求項21記載の乾燥チャンバ。
  23. 【請求項23】 前記可動式蒸気流入部および可動式蒸気排出部が流体液面
    上で浮動する請求項21記載の乾燥チャンバ。
  24. 【請求項24】 前記浮動式蒸気流入部および浮動式蒸気排出部が、蒸気を
    輸送する蒸気通路を有する折畳みベローズをそれぞれ備えている請求項23記載
    の乾燥チャンバ。
  25. 【請求項25】 前記下降手段が、前記リザーバ内の流体を排出する流体液
    位調節器を含んでいる請求項20記載の乾燥チャンバ。
  26. 【請求項26】 前記下降手段は、下降中の流体液面が前記基板上の保持点
    と交差しないように前記流体液面の下降中に異なる保持点で基板を保持する多点
    ホルダを含んでいる、請求項20記載の乾燥チャンバ。
  27. 【請求項27】 前記下降手段は、前記流体から前記基板を引き上げる手段
    を含んでいる、請求項20記載の乾燥チャンバ。
  28. 【請求項28】 残留液体が表面に付着した基板表面を乾燥させる乾燥チャ
    ンバであって、 (a)リザーバ内に流体を分配する流体ディスペンサと、 (b)流体液面が基板表面に対して下降する間、前記リザーバ内の流体中で前
    記基板表面を保持する手段と、 (c)流体液面上でそれぞれ浮動する浮動式蒸気流入部および浮動式蒸気排出
    部を備える蒸気分配器であって、前記蒸気流入部は、チャンバ内に蒸気を導入す
    る吸気口を有し、前記蒸気排出部は、チャンバ内の蒸気を排出する排気口を有し
    ている蒸気分配器と、 を備え、前記流体液面を基板に対して下降させることにより残留液体を基板か ら流し落とす乾燥チャンバ。
  29. 【請求項29】 前記浮動式蒸気流入部上の吸気口と前記浮動式蒸気排出部
    の排気口が実質的に相互に向き合っている請求項28記載の乾燥チャンバ。
  30. 【請求項30】 前記浮動式蒸気流入部および浮動式蒸気排出部が、蒸気を
    輸送する蒸気通路を有する折畳みベローズをそれぞれ備えている請求項28記載
    の乾燥チャンバ。
  31. 【請求項31】 前記下降手段が、前記リザーバ内の流体を排出する流体液
    位調節器を含んでいる請求項28記載の乾燥チャンバ。
  32. 【請求項32】 前記下降手段は、下降中の流体液面が前記基板上の保持点
    と交差しないように前記流体液面の下降中に異なる保持点で基板を保持する多点
    ホルダを含んでいる、請求項28記載の乾燥チャンバ。
  33. 【請求項33】 基板表面上の液体残渣を除去する方法であって、 (a)ある流体液位を有する流体を含むリザーバ内に前記基板表面を浸漬する
    ステップと、 (b)前記リザーバに新鮮な流体を追加する間、流体液面に関連して動く蒸気
    吸入口を通じて蒸気を導入することによって流体液面上の蒸気の分圧を維持する
    ステップと、 (c)前記リザーバ内の前記流体液面を下降させて液体残渣を前記基板表面か
    ら流し落とすステップと、 を備える方法。
  34. 【請求項34】 前記ステップ(b)は、前記流体液面に関連して動く蒸気
    排気口を通じて流体液面上の蒸気を排出するステップを更に備える請求項33記
    載の方法。
  35. 【請求項35】 前記ステップ(b)は、前記蒸気吸入口および蒸気排気口
    を流体液面上で浮動させるステップを備えている、請求項33記載の方法。
  36. 【請求項36】 前記ステップ(c)は、前記リザーバ内の流体を排出する
    ステップを備えている、請求項33記載の方法。
  37. 【請求項37】 前記ステップ(c)は、流体液面が前記基板上の保持点と
    交差しないように前記流体液面の下降中に異なる保持点で前記基板を保持するス
    テップを備えている、請求項33記載の方法。
  38. 【請求項38】 残留液体が付着した基板表面を乾燥させる乾燥チャンバを
    操作するコンピュータプログラム製品であって、コンピュータ読取り可能なプロ
    グラムコード手段を内部に具体化したコンピュータ使用可能な媒体を備えており
    、前記コンピュータ読取り可能なプログラムコード手段は、 (1)前記チャンバ内に蒸気を導入する蒸気分配制御コードと、 (2)前記リザーバ内に流体を分配し、前記リザーバ内の流体液位を調節する
    流体システム制御コードと、 (3)下降する流体液面が基板保持に使用されている保持点を横切らないよう
    に基板上の異なる保持点で基板を保持する多点ホルダを作動させる多点ホルダ制
    御コードと、 を備えている、コンピュータプログラム製品。
  39. 【請求項39】 前記多点ホルダ制御コードは、第一保持点を横切らない第
    一液位範囲内で流体液面が下降する間、前記多点ホルダが基板を第一保持点で保
    持し、第二保持点を横切らない第二液位範囲内で流体液面が下降する間、多点ホ
    ルダが基板を第二保持点で保持するように多点ホルダを操作する、請求項38記
    載のコンピュータプログラム製品。
  40. 【請求項40】 前記蒸気分配コードは、前記チャンバ内に蒸気を導入する
    吸気口を有する一つ以上の可動式蒸気流入部、および蒸気を排出する排気口を有
    する可動式蒸気排出部の動きを制御する、請求項38記載のコンピュータプログ
    ラム製品。
  41. 【請求項41】 前記流体システム制御コードは、ある液位範囲内で流体液
    面を下降させる下降手段の動作を制御するコードを含んでいる、請求項38記載
    のコンピュータプログラム製品。
  42. 【請求項42】 基板表面上の液体残渣を除去する方法であって、 (a)ある流体液位を有する流体中に基板表面を浸漬するステップと、 (b)新鮮な流体を追加する間、前記流体上の蒸気の分圧を維持するステップ
    と、 (c)流体液面を下降させて、前記基板表面上の液体残渣を除去するステップ
    と、 を備える方法。
  43. 【請求項43】 基板が異なる点で保持されている間に前記流体液面が下降
    させられる請求項42記載の方法。
  44. 【請求項44】 下降する流体液面に関連して可動式蒸気流入部および可動
    式蒸気排出部を移動させるステップを更に備える請求項42記載の方法であって
    、前記蒸気流入部は、前記流体上に蒸気を導入する吸気口を有し、前記蒸気排出
    部は、蒸気を排出する排気口を有している方法。
  45. 【請求項45】 前記可動式蒸気流入部および可動式蒸気排出部を流体液面
    上で浮動させるステップを含む請求項18記載の方法。
  46. 【請求項46】 残留液体が表面に付着した基板を乾燥させる乾燥チャンバ
    であって、 (a)ある流体液位を有する流体を収容するリザーバ、および前記リザーバ内
    に流体を導入する流体ディスペンサを備える流体システムと、 (b)流体液面上に蒸気を供給する蒸気分配器と、 (c)前記リザーバ内の流体液面を下降させて残留液体を基板から流し落とす
    下降手段と、 を備える乾燥チャンバ。
  47. 【請求項47】 前記下降手段が流体排出システムを備えている請求項46
    記載の乾燥チャンバ。
JP2000506492A 1997-08-07 1998-08-05 基板乾燥のための方法および装置 Withdrawn JP2001512902A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/908,245 1997-08-07
US08/908,245 US5884640A (en) 1997-08-07 1997-08-07 Method and apparatus for drying substrates
PCT/US1998/016298 WO1999008057A2 (en) 1997-08-07 1998-08-05 Method and apparatus for drying substrates

Publications (1)

Publication Number Publication Date
JP2001512902A true JP2001512902A (ja) 2001-08-28

Family

ID=25425436

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000506492A Withdrawn JP2001512902A (ja) 1997-08-07 1998-08-05 基板乾燥のための方法および装置

Country Status (5)

Country Link
US (2) US5884640A (ja)
EP (2) EP1002211A2 (ja)
JP (1) JP2001512902A (ja)
TW (1) TW434390B (ja)
WO (1) WO1999008057A2 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007059866A (ja) * 2005-03-31 2007-03-08 Kaijo Corp 洗浄装置及び洗浄方法
JP2008091576A (ja) * 2006-09-29 2008-04-17 Kaijo Corp 乾燥方法
JP2008537346A (ja) * 2005-04-20 2008-09-11 エヌエックスピー ビー ヴィ 回路基板の洗浄装置
JP2011146730A (ja) * 2011-02-28 2011-07-28 Koninkl Philips Electronics Nv 回路基板の洗浄装置
JP2014038905A (ja) * 2012-08-13 2014-02-27 Showa Shinku Co Ltd 封止装置

Families Citing this family (355)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3230051B2 (ja) * 1997-05-16 2001-11-19 東京エレクトロン株式会社 乾燥処理方法及びその装置
US6122837A (en) * 1997-06-25 2000-09-26 Verteq, Inc. Centrifugal wafer processor and method
KR19990010200A (ko) * 1997-07-15 1999-02-05 윤종용 감압식 건조 장치를 이용하는 반도체장치 건조방법
US6354311B1 (en) * 1997-09-10 2002-03-12 Dainippon Screen Mfg. Co., Ltd. Substrate drying apparatus and substrate processing apparatus
JP3043709B2 (ja) * 1997-11-19 2000-05-22 株式会社カイジョー 基板の乾燥装置
US6141518A (en) * 1997-12-13 2000-10-31 Samsung Electronics Co., Ltd. Carrier recovery apparatus of liquid electrophotographic printer
US6571806B2 (en) 1998-09-04 2003-06-03 Komag, Inc. Method for drying a substrate
US6216709B1 (en) * 1998-09-04 2001-04-17 Komag, Inc. Method for drying a substrate
US6392334B1 (en) * 1998-10-13 2002-05-21 Micron Technology, Inc. Flat panel display including capacitor for alignment of baseplate and faceplate
US6328814B1 (en) * 1999-03-26 2001-12-11 Applied Materials, Inc. Apparatus for cleaning and drying substrates
US6516816B1 (en) * 1999-04-08 2003-02-11 Applied Materials, Inc. Spin-rinse-dryer
US6625901B1 (en) * 1999-05-27 2003-09-30 Oliver Design, Inc. Apparatus and method for drying a thin substrate
DE19924302A1 (de) * 1999-05-27 2000-12-07 Steag Micro Tech Gmbh Vorrichtung und Verfahren zum Trocknen von Substraten
AU5173100A (en) * 1999-05-27 2000-12-18 Lam Research Corporation Apparatus and methods for drying batches of wafers
US6729040B2 (en) * 1999-05-27 2004-05-04 Oliver Design, Inc. Apparatus and method for drying a substrate using hydrophobic and polar organic compounds
JP3448613B2 (ja) * 1999-06-29 2003-09-22 オメガセミコン電子株式会社 乾燥装置
DE10036867B4 (de) * 1999-07-30 2006-04-13 Tokyo Electron Ltd. Substrat-Bearbeitungsverfahren und -vorrichtung
US6415803B1 (en) 1999-10-06 2002-07-09 Z Cap, L.L.C. Method and apparatus for semiconductor wafer cleaning with reuse of chemicals
US6457478B1 (en) 1999-11-12 2002-10-01 Michael J. Danese Method for treating an object using ultra-violet light
US6272768B1 (en) 1999-11-12 2001-08-14 Michael J. Danese Apparatus for treating an object using ultra-violet light
US6497055B2 (en) * 2000-01-04 2002-12-24 Texas Instruments Incorporated System and method for controlling a vapor dryer process
EP1168422B1 (en) 2000-06-27 2009-12-16 Imec Method and apparatus for liquid-treating and drying a substrate
US6418945B1 (en) * 2000-07-07 2002-07-16 Semitool, Inc. Dual cassette centrifugal processor
US6481447B1 (en) * 2000-09-27 2002-11-19 Lam Research Corporation Fluid delivery ring and methods for making and implementing the same
US6748961B2 (en) * 2001-03-30 2004-06-15 Lam Research Corporation Angular spin, rinse, and dry module and methods for making and implementing the same
MY140644A (en) * 2001-04-17 2010-01-15 Komag Inc Method and apparatus for drying a substrate.
JP3511514B2 (ja) * 2001-05-31 2004-03-29 エム・エフエスアイ株式会社 基板浄化処理装置、ディスペンサー、基板保持機構、基板の浄化処理用チャンバー、及びこれらを用いた基板の浄化処理方法
KR100435808B1 (ko) * 2001-06-26 2004-06-10 삼성전자주식회사 마란고니 방식 웨이퍼 건조 방법 및 그 방법에 적합한 장치
US6564469B2 (en) 2001-07-09 2003-05-20 Motorola, Inc. Device for performing surface treatment on semiconductor wafers
US20030234029A1 (en) * 2001-07-16 2003-12-25 Semitool, Inc. Cleaning and drying a substrate
JP4296090B2 (ja) * 2001-11-02 2009-07-15 アプライド マテリアルズ インコーポレイテッド 枚葉式のウエハ乾燥装置及び乾燥方法
US7513062B2 (en) * 2001-11-02 2009-04-07 Applied Materials, Inc. Single wafer dryer and drying methods
KR100456527B1 (ko) * 2001-12-11 2004-11-09 삼성전자주식회사 마란고니 효과를 증대시키기 위한 건조 장비 및 건조 방법
US20040031167A1 (en) * 2002-06-13 2004-02-19 Stein Nathan D. Single wafer method and apparatus for drying semiconductor substrates using an inert gas air-knife
KR100481858B1 (ko) * 2002-07-22 2005-04-11 삼성전자주식회사 공비혼합 효과를 이용하여 반도체기판을 건조시키는 장비및 상기 장비를 사용하는 건조방법
KR100493849B1 (ko) * 2002-09-30 2005-06-08 삼성전자주식회사 웨이퍼 건조 장치
US6918192B2 (en) * 2002-11-07 2005-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate drying system
KR20050015411A (ko) * 2003-08-05 2005-02-21 삼성전자주식회사 세정 장치 및 이를 이용한 세정 방법
US7214978B2 (en) * 2004-02-27 2007-05-08 Micron Technology, Inc. Semiconductor fabrication that includes surface tension control
CN1965388A (zh) * 2004-02-27 2007-05-16 应用材料股份有限公司 用于干燥衬底的设备和方法
KR100604051B1 (ko) * 2004-06-30 2006-07-24 동부일렉트로닉스 주식회사 게이트 산화막의 전세정방법
KR100644054B1 (ko) * 2004-12-29 2006-11-10 동부일렉트로닉스 주식회사 세정 장치 및 게이트 산화막의 전세정 방법
US7228645B2 (en) * 2005-01-11 2007-06-12 Xuyen Ngoc Pham Multi-zone shower head for drying single semiconductor substrate
KR100928495B1 (ko) * 2005-06-20 2009-11-26 엘지디스플레이 주식회사 배향막 인쇄 마스크용 지그 장치와, 이를 적용한 배향막인쇄 마스크용 세정 장비 및 이를 이용한 마스크 세정 방법
US7637029B2 (en) * 2005-07-08 2009-12-29 Tokyo Electron Limited Vapor drying method, apparatus and recording medium for use in the method
JP4758846B2 (ja) * 2005-11-18 2011-08-31 東京エレクトロン株式会社 乾燥装置、乾燥方法、及び乾燥プログラム、並びに、これらを有する基板処理装置、基板処理方法、及び基板処理プログラム
JP2007273758A (ja) * 2006-03-31 2007-10-18 Dainippon Screen Mfg Co Ltd 基板処理装置
US20070246079A1 (en) * 2006-04-21 2007-10-25 Xuyen Pham Multi zone shower head for cleaning and drying wafer and method of cleaning and drying wafer
JP4762835B2 (ja) 2006-09-07 2011-08-31 東京エレクトロン株式会社 基板処理方法、基板処理装置、プログラムおよびプログラム記録媒体
US7980000B2 (en) 2006-12-29 2011-07-19 Applied Materials, Inc. Vapor dryer having hydrophilic end effector
US20080155852A1 (en) * 2006-12-29 2008-07-03 Olgado Donald J K Multiple substrate vapor drying systems and methods
US7694688B2 (en) 2007-01-05 2010-04-13 Applied Materials, Inc. Wet clean system design
US7950407B2 (en) * 2007-02-07 2011-05-31 Applied Materials, Inc. Apparatus for rapid filling of a processing volume
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8002901B1 (en) 2009-01-15 2011-08-23 Wd Media, Inc. Temperature dependent pull speeds for drying of a wet cleaned workpiece
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110186088A1 (en) * 2010-01-31 2011-08-04 Miller Kenneth C Substrate nest with drip remover
US20120308346A1 (en) 2011-06-03 2012-12-06 Arthur Keigler Parallel single substrate processing system loader
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN102896129A (zh) * 2012-11-01 2013-01-30 常州捷佳创精密机械有限公司 一种用于太阳能光伏清洗槽的慢提抽风装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9984867B2 (en) 2014-12-19 2018-05-29 Applied Materials, Inc. Systems and methods for rinsing and drying substrates
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9352471B1 (en) 2015-01-21 2016-05-31 Applied Materials, Inc. Substrate gripper apparatus and methods
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JP6489524B2 (ja) * 2015-08-18 2019-03-27 株式会社Screenホールディングス 基板処理装置
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10283396B2 (en) 2016-06-27 2019-05-07 Asm Nexx, Inc. Workpiece holder for a wet processing system
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
RU178989U1 (ru) * 2017-08-28 2018-04-24 федеральное государственное бюджетное образовательное учреждение высшего образования "Белгородский государственный технологический университет им. В.Г. Шухова" Камерная сушилка для керамических изделий
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN108831849A (zh) * 2018-06-25 2018-11-16 清华大学 基于热马兰哥尼效应的晶圆干燥装置和干燥方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
CN109513678B (zh) * 2018-11-16 2021-09-17 林照凯 一种多功能生物化学用具清洗装置
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11607691B2 (en) 2019-10-29 2023-03-21 TMRW Life Sciences, Inc. Apparatus to facilitate transfer of biological specimens stored at cryogenic conditions
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
WO2021236463A1 (en) 2020-05-18 2021-11-25 TMRW Life Sciences, Inc. Handling and tracking of biological specimens for cryogenic storage
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
USD963194S1 (en) 2020-12-09 2022-09-06 TMRW Life Sciences, Inc. Cryogenic vial carrier
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023199296A2 (de) * 2022-06-27 2023-10-19 Epple Ernst Hebe- und halteeinrichtung zum behandeln von mindestens einem in einem trägerelement angeordneten substrat sowie verfahren mit einer solchen hebe- und halteeinrichtung

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60223130A (ja) * 1984-04-19 1985-11-07 Sharp Corp 基板の洗滌乾燥方法及びその装置
US4984597B1 (en) * 1984-05-21 1999-10-26 Cfmt Inc Apparatus for rinsing and drying surfaces
US4722752A (en) * 1986-06-16 1988-02-02 Robert F. Orr Apparatus and method for rinsing and drying silicon wafers
NL8900480A (nl) * 1989-02-27 1990-09-17 Philips Nv Werkwijze en inrichting voor het drogen van substraten na behandeling in een vloeistof.
JPH0322427A (ja) * 1989-06-19 1991-01-30 Nec Corp 半導体基板乾燥方法
EP0428784B1 (en) * 1989-11-23 1995-03-15 Cfm Technologies, Inc. Process for drying surfaces
US5271774A (en) 1990-03-01 1993-12-21 U.S. Philips Corporation Method for removing in a centrifuge a liquid from a surface of a substrate
DE4040131A1 (de) * 1990-12-15 1992-06-17 Semax Gmbh Verfahren zum trocknen von scheiben
JP3347814B2 (ja) * 1993-05-17 2002-11-20 大日本スクリーン製造株式会社 基板の洗浄・乾燥処理方法並びにその処理装置
JPH0714820A (ja) * 1993-06-25 1995-01-17 Sumitomo Precision Prod Co Ltd 乾燥機
US5575079A (en) * 1993-10-29 1996-11-19 Tokyo Electron Limited Substrate drying apparatus and substrate drying method
JP3204284B2 (ja) * 1993-11-13 2001-09-04 株式会社カイジョー 遠心乾燥装置
DE4413077C2 (de) * 1994-04-15 1997-02-06 Steag Micro Tech Gmbh Verfahren und Vorrichtung zur chemischen Behandlung von Substraten
US5660642A (en) * 1995-05-26 1997-08-26 The Regents Of The University Of California Moving zone Marangoni drying of wet objects using naturally evaporated solvent vapor
US5752532A (en) * 1995-08-17 1998-05-19 Schwenkler; Robert S. Method for the precision cleaning and drying surfaces
US5714203A (en) * 1995-08-23 1998-02-03 Ictop Entwicklungs Gmbh Procedure for the drying of silicon
US5807439A (en) * 1997-09-29 1998-09-15 Siemens Aktiengesellschaft Apparatus and method for improved washing and drying of semiconductor wafers

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007059866A (ja) * 2005-03-31 2007-03-08 Kaijo Corp 洗浄装置及び洗浄方法
JP2008537346A (ja) * 2005-04-20 2008-09-11 エヌエックスピー ビー ヴィ 回路基板の洗浄装置
US8752228B2 (en) 2005-04-20 2014-06-17 Freescale Semiconductor, Inc. Apparatus for cleaning of circuit substrates
JP2008091576A (ja) * 2006-09-29 2008-04-17 Kaijo Corp 乾燥方法
JP2011146730A (ja) * 2011-02-28 2011-07-28 Koninkl Philips Electronics Nv 回路基板の洗浄装置
JP2014038905A (ja) * 2012-08-13 2014-02-27 Showa Shinku Co Ltd 封止装置

Also Published As

Publication number Publication date
WO1999008057A3 (en) 1999-06-17
US5884640A (en) 1999-03-23
EP1291902A2 (en) 2003-03-12
TW434390B (en) 2001-05-16
WO1999008057A2 (en) 1999-02-18
EP1002211A2 (en) 2000-05-24
US6027574A (en) 2000-02-22

Similar Documents

Publication Publication Date Title
JP2001512902A (ja) 基板乾燥のための方法および装置
US10473396B2 (en) Wafer dryer apparatus and method
US6875289B2 (en) Semiconductor wafer cleaning systems and methods
US7284917B2 (en) Coating and developing system and coating and developing method
US8522799B2 (en) Apparatus and system for cleaning a substrate
JP2000188251A (ja) 成膜装置及び成膜方法
JPH11176798A (ja) 基板洗浄・乾燥装置及び方法
KR102121240B1 (ko) 기판 처리 장치 및 방법
KR102635382B1 (ko) 기판 처리 장치 및 방법
US7005010B2 (en) Multi-process system
EP0739252B2 (en) Process and apparatus for the treatment of semiconductor wafers in a fluid
US20030136429A1 (en) Vapor cleaning and liquid rinsing process vessel
KR102585104B1 (ko) 액 처리 장치 및 약액 제어 방법
JP2019047131A (ja) 塗布、現像方法、記憶媒体及び塗布、現像装置
US20050121142A1 (en) Thermal processing apparatus and a thermal processing method
JPH07283194A (ja) 洗浄・乾燥方法と洗浄装置
US6742281B2 (en) Apparatus for drying semiconductor wafer using vapor dry method
KR20210042628A (ko) 기판 처리 장치 및 방법
KR102359533B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR102600411B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR102583262B1 (ko) 기판 처리 방법 및 기판 처리 장치
KR102243063B1 (ko) 액 공급 유닛, 기판 처리 장치, 그리고 기판 처리 방법
JP3120782B2 (ja) 基板処理装置
JPH05166715A (ja) 処理装置
KR20220084537A (ko) 액 공급 유닛, 이를 포함하는 기판 처리 장치 및 방법

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20051101