JP2001196363A - 基板を加熱及び冷却する方法及び装置 - Google Patents

基板を加熱及び冷却する方法及び装置

Info

Publication number
JP2001196363A
JP2001196363A JP2000321953A JP2000321953A JP2001196363A JP 2001196363 A JP2001196363 A JP 2001196363A JP 2000321953 A JP2000321953 A JP 2000321953A JP 2000321953 A JP2000321953 A JP 2000321953A JP 2001196363 A JP2001196363 A JP 2001196363A
Authority
JP
Japan
Prior art keywords
chamber
substrate
cooling
heating
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000321953A
Other languages
English (en)
Inventor
Ratson Morad
モラド ラトソン
Ho Seon Shin
セオン シン ホー
Robin Cheung
チエウン ロビン
Igor Kogan
コーガン イゴー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001196363A publication Critical patent/JP2001196363A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

(57)【要約】 【課題】 基板を加熱及び冷却する方法及び装置を提供
すること。 【解決手段】 近接して位置決めされる基板25を加熱
する加熱メカニズム11と、加熱メカニズムから離間し
ていて、近接して位置決めされる基板を冷却する冷却メ
カニズムと、両メカニズムに近接する上記位置間で基板
を転送する転送メカニズム43とを含むチャンバが設け
られている。加熱メカニズムは、基板を支持して、支持
した基板を所定の温度まで加熱する被加熱基板支持体1
5を含むことが好ましく、冷却メカニズムは、冷却板3
9を含むことが好ましい。転送メカニズムは、例えば、
基板を支持する複数のフィンガー29、または複数のウ
ェーハリフトピン27を含むことができる。乾性ガスを
チャンバに供給するためにその源をチャンバに結合する
ことができる。チャンバは、少なくとも冷却中に、ポン
プによって所定の圧力に排気する。基板を加熱し、冷却
する方法も提供される。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、一般的には半導体
デバイスの製造に関し、特定的には基板を加熱及び冷却
する方法及び装置に関する。
【0002】
【従来の技術】本発明は、1997年7月10日付米国特許出
願第08/891,048号“IMPROVED DEGASSING METHOD AND AP
PARATUS”の部分継続である。
【0003】半導体ウェーハ、フラットパネルディスプ
レイ、及び他の類似基板は、典型的にデバイスの製造中
にそれらの上に堆積された多くの材料層を有している。
一般的に堆積される若干の層(例えば、スピンオンガラ
ス(SOG)フィルム)は、汚染物、欠陥、または望ま
しくないミクロ組織を含む可能性があり、これらは適切
な時間にわたって適切な温度で基板を加熱または“焼鈍
する”ことによってそれらの数を減少させるか、または
完全に除去することができる。他の堆積された層(例え
ば、銅フィルム)は時間が経過すると望ましくなく変化
する、または“自己焼鈍”特性を呈する可能性があり、
予測できない堆積層特性(例えば、予測不能な固有抵
抗、応力、粒度、硬さ、等)をもたらす。汚染物、欠
陥、または望ましくないミクロ組織を有しているとして
も、堆積層特性は制御された焼鈍ステップ(例えば、銅
フィルムの場合、N2、または約96%のN2と4%のH2
のようなガス中で、200−400℃、15秒−3分間の焼鈍)
によって安定化させ得ることが多い。何等かの焼鈍ステ
ップに続いて、基板上で他のプロセスを遅滞なく遂行で
きるようにするために(即ち、スループットを増大させ
るために)、基板を急速に冷却させることが好ましい。
【0004】伝統的に、焼鈍は、所望の焼鈍温度までゆ
っくりと余熱しなければならない石英炉において、また
は所望の焼なまし温度まで急速に加熱できる急速熱処理
(RTP)システムにおいて遂行される。次いで、焼鈍
された基板は分離した冷却モジュールへ転送される。冷
却モジュールは、伝統的に、冷却された基板支持体を使
用し、熱伝導を高めるためにアルゴンのようなガスを僅
かに戻し充填する。この分離した冷却モジュールは、設
備の費用及び複雑さ、並びに設備の占有床面積を増大さ
せ、加熱システムと冷却システムとの間の基板転送時間
が必要であるために基板スループットを低下させる。従
って、それ程高価格ではなく、それ程複雑ではなく、設
備の占有床面積が小さく、そして伝統的な基板加熱及び
冷却システムに比してスループットを増加させるよう
な、基板を加熱し、冷却するための改善された方法及び
装置に対する要望が存在している。
【0005】
【発明の概要】従来技術の要望を解消するために、本発
明は、単一のチャンバ内で基板の急速な加熱及び冷却が
可能なチャンバを提供する。分離した冷却モジュールへ
の転送時間が存在しないので、本発明は、設備の費用、
複雑さ、及び占有床面積を減少させ、基板スループット
を増大させる。詳述すれば、本発明のチャンバは、加熱
メカニズムと、冷却メカニズムと、転送メカニズムとを
含んでいる。加熱メカニズムは、それに近接して位置決
めされた基板を加熱するようになっており、加熱メカニ
ズムから離間している冷却メカニズムは、それに近接し
て位置決めされた基板を冷却するようになっており、転
送メカニズムは、基板を、加熱メカニズムに近接する位
置と冷却メカニズムに近接する位置との間で転送するよ
うになっている。本明細書において使用する“近接する
(した)”とは、基板の加熱または冷却するために、十
分な熱エネルギの転送を行うように十分接近しているこ
とをいう。加熱メカニズム及び冷却メカニズムは、好ま
しくは、約1乃至5インチだけ離間させる。
【0006】加熱メカニズムは、好ましくは、基板を支
持し、支持した基板を所定の温度まで加熱する被加熱基
板支持体を備え、冷却メカニズムは、好ましくは、冷却
板(例えば、水冷式冷却板、または冷媒冷却式冷却板)
を備えている。冷却板内には複数の孔が設けられてお
り、これらの孔は、冷却板に近接して位置決めされてい
る基板にガスが衝突する前に、(ガスを冷却するため
に)ガスが冷却板を通って流れることができるようにす
るものである。
【0007】転送メカニズムは、基板を加熱メカニズム
に近接する位置から冷却メカニズムに近接する位置まで
転送し、好ましくは、単軸の線形運動だけを使用して設
備の複雑さ及び費用を更に低下させる。転送メカニズム
は、例えば、基板を支持するための複数の指、または複
数のウェーハリフトピンを有するウェーハリフトフープ
を備えることができる。乾性ガスをチャンバへ供給する
ために、乾性ガス源をチャンバに結合することができ
る。チャンバは、冷却中にチャンバを所定の圧力(例え
ば、約20乃至200トル)まで排気するポンプを含むこと
ができる。これは、発明者らが、距離が短い場合はチャ
ンバ圧力を低下させると良好な熱伝導が得られる(それ
故、冷却メカニズムに近接して位置決めされる基板が冷
却メカニズムによって冷却される)が、距離が大きくな
ると、熱伝導が貧弱になる(それ故、冷却メカニズムに
近接して位置決めされたことによって冷却中の基板が、
遠く離された加熱メカニズムによって加熱されることが
ない)ことを発見したことに基づいている。
【0008】以上の説明から、本発明が単一チャンバ内
において、基板を効率的に加熱(例えば、焼鈍、脱ガ
ス、等)し、そして冷却するための方法を提供している
ことが理解されたであろう。ウェーハ転送時間が短縮さ
れ、占有床面積が小さくなり、そしてより簡単なウェー
ハ運動が使用される。
【0009】本発明の他の目的、特色、及び長所は、以
下の添付図面を参照しての詳細な説明からより完全に理
解されよう。
【0010】
【実施の形態】図1は、本発明に従って構成された加熱
・冷却装置11の側断面図である。説明の都合上、本発
明の装置11の成分を、ある物体を加熱し、及び冷却す
ることに関連して説明することにする。しかしながら、
その物体自体は装置の一部ではないことを理解された
い。
【0011】図1に示すように、加熱・冷却装置11
は、被加熱基板支持体15(例えば、抵抗加熱素子15
aを有する基板支持体)を含むチャンバ13を備えてい
る。チャンバ13は、好ましくは、チャンバを急速排気
できるようにするために(後述)、及び処理ガスの消費
を減少させるために、約5−20リットルの小さい容積を
有している。被加熱基板支持体15は、処理を遂行する
のに十分な温度範囲(殆どの焼鈍応用の場合、典型的に
は約150−600℃)を有するどのような普通の被加熱基板
支持体(例えば、ステンレス鋼基板支持体)からなるこ
ともできる。ガス入口17が、乾性ガス(例えば、希ガ
スまたは窒素、好ましくは、数ppmより少ないO2
有する100%のN2、または4%またはそれ以下のH2
希釈され、且つ数ppmより少ないO2を有するN2)の
源19をチャンバ13に結合する。乾性ガス源19から
放出されたガスは、ガス入口17内のゲッターまたは冷
トラップ(図示してない)によって更に“乾燥”させる
ことができる。ガス出口21がチャンバ13を真空ポン
プ23に結合し、真空ポンプ23は動作中にチャンバ1
3からガスを排出する。
【0012】半導体ウェーハ25は、被加熱基板支持体
15の上に直接配置することができる。またオプション
として、ウェーハ25の裏側に沿うガスの流れを促進す
るように、及びウェーハ25と基板支持体15とが接触
する可能性を低下させる(それによって、このような接
触による粒子の生成を減少させる)ように、基板支持体
15から伸びる複数のピン27(好ましくは3−6本の
ピン、最も好ましくは図1及び2に示すように3本のピ
ン27a−c)によってウェーハ25を支持する。ピン
の高さを短くすることにより、基板支持体15からウェ
ーハ25への熱転送が助長される。好ましくは、ピンの
高さは0.005−0.02インチである。複数のピン27の配
置が、被加熱基板支持体15の上面図である図2に明示
されている。加熱中の基板の温度の均一性を改善するた
めに、好ましくは、被加熱基板支持体15の直径は、加
熱中の基板の直径より大きくする(例えば、8インチの
基板を加熱する場合、9インチの基板支持体が好まし
い)。被加熱基板支持体15は主として伝導によって
(例えば、もし基板が被加熱基板支持体15に接触して
いれば直接接触伝導によって、または基板がピン27上
に載っている場合には、基板支持体15と基板との間を
流れる窒素のような乾性ガスを通しての伝導によって)
ウェーハを加熱する。もし加熱中にウェーハ25の裏側
に沿ってガスを流せば、対流加熱成分も使用することが
できる。しかしながら、基板の加熱中に裏側ガス流のよ
うな対流加熱成分を追加しても、典型的には使用される
加熱時間(例えば、約15秒乃至数分)が短く、ウェーハ
25と被加熱基板支持体15との間の間隙が小さい(例
えば、0.005−0.02インチ)ので、加熱時間には殆ど影
響を与えないことを見出した。裏側ガス流を使用する場
合には、ガス流によるウェーハの運動を防ぐためのウェ
ーハクランピング(例えば、公知のように部分/全クラ
ンプ環、または静電チャックによる)も必要になる。
【0013】ウェーハを容易に被加熱基板支持体15上
に配置するために、及びそれからウェーハを抜き出すた
めに、普通の3−6指ウェーハリフトフープ29(その
動作は当分野においては、公知である)等が使用され
る。ウェーハリフトフープ29は基板支持体15から伸
縮するようになっており(サーボまたはステッパー電動
機によって)、またウェーハ25の縁の下に伸びる少な
くとも3本の指(番号29a−cで示す)を有する型で
ある。従って、ウェーハの昇降中に、ウェーハが3本指
29a−cより上の領域と接触することは制限され、粒
子は殆ど生成されない。指29a−cの好ましい構成の
特定の詳細に関しては、前記1997年7月10日付米国特許
出願第08/891,048号を参照されたい。代替として、ピン
27a−cを電動化して基板支持体15へ、及びそれか
ら伸縮させることができる。好ましくは、ウェーハリフ
ティングメカニズム(例えば、リフトフープ29、また
はピン27)は、基板支持体15に近接する位置と冷却
板39との間で伸縮する。
【0014】チャンバ13内へ流入するガスの流量は、
ガス入口17に沿って作動的に結合されているニードル
弁または流量コントローラ35(例えば、質量流コント
ローラ)によって制御される。好ましくは、真空ポンプ
23は、チャンバ13を急速排気するために約1乃至50
リットル/秒の間のポンピング速度を有する乾式ポンプ
のような粗引きポンプからなる。ガス出口21は、チャ
ンバ13からのガス流量を制御するように真空ポンプ2
3に作動的に結合されている空気圧式粗引きポート弁の
ような、そして好ましくはチャンバのパージング中に使
用されるチャンバ排気弁38のような隔離弁37を備え
ている。粗引きポンプはチャンバを数ミリトルまたはそ
れより高い圧力まで排気することができるから、加熱・
冷却装置11の圧力が数ミリトルより低く排気されない
応用(例えば、基板を加熱・冷却装置11の中へローデ
ィングする前に、または基板を加熱・冷却装置11と、
数ミリトルまたはそれより高い圧力を使用している他の
処理チャンバとの間で直接的に転送する場合に、加熱・
冷却装置11を大気圧の窒素のような非酸化ガスに通気
されている独立モジュールとして使用する場合)に粗引
きポンプを単独で使用することができる。しかしなが
ら、数ミリトルより低い圧力(例えば、粗引きポンプ単
独では達することができない圧力)を必要とする応用
(例えば、図5を参照して後述するように加熱・冷却装
置11を製造ツールと共に使用するか、またはそれ以外
に、基板を加熱・冷却装置11と数ミリトルより低い圧
力を使用する他の処理チャンバとの間で直接転送する場
合)の場合には、高真空環境とチャンバ13との間で基
板を転送できるようにするために、クライオポンプのよ
うな高真空ポンプ(図示してない)を使用することがで
きる。
【0015】チャンバ13内でのウェーハ加熱に続いて
ウェーハ25の急速冷却(後述)を遂行するために、水
冷式のまたは冷媒冷却式の冷却板39(例えば、冷却用
流体源40から供給される冷却用流体によって、約5乃
至25℃まで冷却することができるアルミニウム冷却板)
が、被加熱基板支持体15から離れて(例えば、好まし
くは、被加熱基板支持体15から約1−5インチ離れ
て)配置されている。基板支持体15及び冷却板39は
好ましくは互いに対向させて配置し、それらの間に基板
を転送するのに単軸線形運動(例えば、多軸運動程高価
でも、複雑な運動でもない)だけが必要であるようにす
る。実際に、ウェーハリフトメカニズム(例えば、ウェ
ーハリフトフープ29、またはピン27)は、ウェーハ
を、基板支持体15に近接する位置と、冷却板39に近
接する位置との間で転送するように構成することができ
る。
【0016】冷却板39は、好ましくは、当分野におい
ては公知のディフューザー、または0.02−0.1インチ直
径の孔(図1に番号39a−nで示してある)を10,000
個まで有するシャワーヘッド設計を使用する。孔39a
−nは、ガス(例えば、乾性ガス源19からの)が冷却
板39を通過できるように、そしてそれによってガスが
冷却板39によって冷却され、後述するようにウェーハ
25の冷却を改善するようにする。チャンバ13の壁
は、好ましくは、水または冷媒(例えば、純水よりも低
い凝固点を有する50%の脱イオン水/50%のグリコール
溶液)によって冷却し、基板の冷却を更に高める。
【0017】図1に示すように、ガス入口17は、被加
熱基板支持体15に接近して位置決めされている。しか
しながら、代替として、ガス入口17をチャンバ13の
上側部分に結合し(破線で示してある)、乾性ガスを冷
却板39の孔39a−nへ、及び/または複数の開口を
有していてガス入口17から放出されたガスをチャンバ
13内に拡散させてウェーハ25の表側上に実質的に均
一な乾性ガスの流れを生じさせるマニホルド(図示して
ない)へ供給することができる。このようなマニホルド
の設計は、CVD反応器設計の分野に精通している者に
とっては公知である。米国特許第4,854,263号“Inlet M
anifold and Method for Increasing Gas Disscociaton
and for PECVD of Dielectric Films”に開示されてお
り、本明細書はその特定の入口マニホルドの教示を参照
している。
【0018】本発明の加熱・冷却装置11は単一のチャ
ンバだけを使用し、または比較的安価な成分(例えば、
被加熱基板支持体15、水冷式冷却板39、それらの間
で基板を転送するための好ましくは単軸・線形運動、
等)を使用しているので、分離した冷却モジュールへ基
板を転送するために要する時間が排除されるために、加
熱及び冷却は、少ない占有床面積と増加したスループッ
トで、経済的に遂行される。コントローラCは、いろい
ろなチャンバ成分(例えば、被加熱基板支持体15、ウ
ェーハリフトメカニズム27または29、流量コントロ
ーラ35、隔離弁37、チャンバ排気弁38、冷却用流
体源40、チャンバ隔離スリット弁41,及び転送ステ
ーションウェーハハンドラー43a)に結合され、本発
明のチャンバに以下に説明する本発明の方法を遂行させ
るようにプログラムされている。
【0019】動作を説明すると、ウェーハ25をチャン
バ13内に配置する前に、チャンバ13は予め調整され
る。例えば、基板支持体15を所望の加熱温度(例え
ば、焼鈍または脱ガスの目的で)まで予熱することがで
き、また冷却板39を所望の冷却温度まで予冷すること
ができる。更に、チャンバを所定の汚染レベル(例え
ば、チャンバ13内のO2残留が10ppm以下になるよ
うに)に予め調整するために、チャンバ排気弁38を開
いて乾性ガス源19から乾性ガスをチャンバ13内へ流
入させることによって大気圧においてパージし、チャン
バ13を粗引きポンプ23によって所定の真空レベルま
で排気することによって(それらの間に結合されている
隔離弁37を開いて)1回排気パージし、次いでチャン
バ13を乾性ガス源19からの乾性ガスで戻し充填する
ことも、またはチャンバ13を所定の真空レベルまで排
気し、次いでチャンバ13を乾性ガス源19からの乾性
ガスで戻し充填することを繰り返すことによってサイク
ルパージし、汚染レベルを大気圧パージングまたは1回
の排気パージングによって達成可能なレベルを越えて更
に減少させることもできる。
【0020】例えば、チャンバ13内において銅を焼鈍
する場合には、基板支持体を約150−600℃の範囲まで、
より好ましくは約200−400℃の範囲まで加熱し、冷却板
を約5−25℃の範囲まで、より好ましくは約15℃まで冷
却する。銅フィルムは、特に焼鈍中に使用される温度の
ような高温において容易に酸化し、望ましくない酸化銅
領域を形成する。これらの領域は、フィルムの固有抵抗
を劣化させ、それらによって作られる相互接続の接触抵
抗を増加させる。従って、酸素含量を約10ppmより少
なくするように、チャンバ13の環境を予め調整するこ
とが好ましい。例えば、チャンバを乾性ガス源19から
の乾性ガス(数ppmだけの酸素を有するN2、より好
ましくは4%のH2(少量のH2が酸化物の形成を抑圧す
るので)と、数ppmだけの酸素とを有する約96%のN
2からなる)でパージングまたはサイクルパージングす
る。
【0021】チャンバ13を予め調整した後に、ウェー
ハをチャンバ13内にロードする、またはそれからアン
ロードするステーション(即ち、転送ステーション4
3)と、チャンバ13とを結合しているチャンバ隔離ス
リット弁41を開き、それを通して転送ステーションウ
ェーハハンドラー43aを伸ばして被加熱基板支持体1
5の上の位置までウェーハ25を運搬する。転送ステー
ション43は、典型的には大気圧(好ましくは、窒素、
またはアルゴン雰囲気のような他の非酸化雰囲気)であ
り、ウェーハ転送中に窒素または他の何等かの非酸化ガ
スで定期的にパージしてチャンバ内に入る酸素の濃度を
低下させることができる。代替として、転送ステーショ
ン43は(例えば、もし加熱・冷却装置及び/または転
送ステーション43が減圧された他の処理チャンバに結
合されていれば)、好ましくは低酸素分圧を有する低下
させたチャンバ圧にすることができる。
【0022】スリット弁41の開きは、好ましくは、ウ
ェーハ25及びウェーハハンドラー43aのブレードを
チャンバ13内へ、またはそれから運動させるのに必要
な最小面積より大きくせず、それによって転送ステーシ
ョン43の雰囲気がチャンバ13の雰囲気に与える衝撃
を最小にする。ウェーハ25がチャンバ13へ転送され
る際に汚染物(例えば、銅フィルム焼鈍中の酸素)がチ
ャンバ13へ入るのを防ぐために、ウェーハ転送中にチ
ャンバ13を乾性ガス源19からの乾性ガス(典型的に
は、約5−100 s.l.m.の流量で)パージすることができ
る。これは、転送ステーション43の雰囲気が清浄でな
い場合(例えば、高い酸素濃度、またはチャンバ13内
における加熱または冷却中に形成され、ウェーハ25ま
たはフィルムに影響し得る他の高い不純物濃度を有して
いる場合)には特に重要である。ウェーハリフトフープ
29が(3本の指29a−cによって)ウェーハ25を
転送ステーションウェーハハンドラー43aから持ち上
げ、転送ステーションウェーハハンドラー43aが十分
に後退した後にスリット弁41が閉じ、ウェーハリフト
フープ29がウェーハを被加熱基板支持体15上に降下
させる。好ましくは、ウェーハ25と基板支持体15と
の間の熱転送を最大にし、ウェーハの加熱時間を最短に
するように、ウェーハ25は基板支持体15と(また
は、ピン27a−cと)直接接触させる。チャンバ13
内の圧力は、好ましくは、チャンバ13を粗引きポンプ
23からシールする(隔離弁37によって)ことによっ
て、またはチャンバ排気弁38を開いて、または粗引き
ポンプ23を用いてチャンバ13をポンピング中に乾性
ガスでチャンバ13をパージすることによって、窒素の
ような非酸化ガスでほぼ大気圧に維持する。チャンバ1
3内のガス圧力が、被加熱基板支持体15からウェーハ
25への熱の転送を援助することに注目されたい(前記
1997年7月10日付米国特許出願第08/891,048号参照)。
チャンバ圧力を数トルまたはそれ以下にすると、ウェー
ハ25と被加熱基板支持体15との間の熱伝導通路が貧
弱になる。従って、好ましくは、チャンバ圧力が低い場
合にはウェーハ裏側ガスを使用する(例えば、アルゴ
ン、ヘリウム、または窒素裏側ガスを用い、裏側ガスに
よってウェーハが移動するのを防ぐように適切なウェー
ハクランピングを使用する)。
【0023】次に、基板支持体15を使用してウェーハ
25に対する焼鈍、脱ガス、または他の加熱プロセスを
遂行することができる。例えば銅の焼鈍は、被加熱基板
支持体15の温度、及び望ましい焼鈍、脱ガス、または
他の加熱プロセス持続時間に依存して、約15秒乃至3分
間にわたってウェーハ25を基板支持体15と接触させ
続けることによって遂行することができる。加熱・冷却
装置11を使用して前記1997年7月10日付米国特許出願
第08/891,048号に記載されている脱ガスプロセスのよう
な脱ガスプロセスを遂行するためには、それに必要な低
圧(例えば、1×10-5トル)を達成するために、粗引き
ポンプ23に加えて、またはそれの代わりに、クライオ
ポンプまたは他の高真空ポンプを設けることが好まし
い。
【0024】焼鈍、脱ガス、または他の加熱プロセスに
続いてウェーハ25を冷却させるために、ウェーハリフ
トフープ29が上昇してウェーハ25を被加熱基板支持
体15より上の冷却板39に近接する位置まで上昇させ
る。図3を参照して後述するように、ウェーハ25の冷
却速度を最適化するために、好ましくはウェーハ25の
上面と冷却板39の底面との間の間隙を約0.02インチ、
即ち約0.5mmより小さくし、好ましくは冷却中のチャ
ンバ13内の圧力を約20−200トルの間まで減圧させ、
そして冷却板39を通して(例えば、孔39a−nによ
って)乾性ガス源19から乾性ガスを流して(例えば、
約100−150 s.l.m.の流量で)ウェーハ25の上面に衝
突する冷たい乾性ガスを発生させることができる。
【0025】図3は、以下の表1内にリストしたチャン
バ13のいろいろな冷却条件におけるウェーハ温度対時
間のグラフである。これらのグラフにプロットされてい
るデータを得るために、基板支持体15を(例えば、冷
却プロセスの直前に遂行される加熱プロセスをシミュレ
ートするために)350℃の温度まで加熱し、冷却板39
を25℃の温度まで冷却し、そして基板支持体15と冷却
板39との間の距離を約40mmに設定した。ウェーハ
は、ピン27a−cを使用せずに、被加熱基板支持体1
5との直接的な接触を維持した。 表 1
【0026】図3及び表1を併せて見ると理解できるよ
うに、ウェーハと冷却板との間の距離を固定し(例え
ば、曲線301−303の場合には3mm)、チャンバ
13内の圧力を低下させて冷却板39の孔39a−nを
通して乾性ガス(例えば、N2)を流し、そしてウェー
ハ25の裏側にも流すと、ウェーハ25の冷却速度が増
加する。しかしながら、曲線304(冷却板の孔39a
−nを通してのN2パージを行わず、ウェーハ・冷却板
距離を0.25mmにし、そしてチャンバ圧力を760トルの
条件の下で達成された冷却を表す)、及び曲線305
(冷却板の孔39a−nを通してのN2パージを行わ
ず、ウェーハ・冷却板距離を0.45mmにし、そしてチャ
ンバ圧力を47トルの条件の下で達成された冷却を表す)
に示されている冷たい乾性ガスを流すよりも、ウェーハ
25と冷却板39との間の距離、及び最適化されたチャ
ンバ圧力の方がウェーハの冷却に重要な役割を果たして
いる。
【0027】詳述すれば、発明者らは、冷却中にチャン
バ圧力を低下(例えば、約20−200トル)させると、ウ
ェーハ25と冷却板39との間の距離が小さい(例え
ば、0.5mmより小さい)場合にはこの低下させた圧力
が良好な熱伝導を与え続けるので、冷却プロセスが最適
化されることを発見した。同時に、チャンバ圧力を低下
させると、好ましくは約25−125mmだけ分離している
(例えば、基板支持体15と冷却板39との間が約25−
125mm)被加熱基板支持体15からウェーハ25への
熱転送が抑えられることも発見した。図3から解るよう
に、350℃からの冷却には、使用する冷却条件に依存し
て約20秒のような長い時間が必要であるが、最適冷却条
件(例えば、47トルのチャンバ圧力、0.45mmのウェー
ハ・冷却板距離)の場合には約5秒に短縮させることが
できる。曲線301と302を比較すると解るように、
冷却板39a−nを通してガスを流すことによって対流
冷却成分を追加しても、冷却中に被加熱基板支持体15
とウェーハ25との間の熱伝導を減少させるよりも、冷
却に与える衝撃は少ない。加熱におけると同様に、冷却
においても主として伝導が優勢に現れる。
【0028】冷却プロセスに続いて、チャンバ13は、
約760トル(1気圧)の圧力まで乾性ガス源19からの
乾性ガスが通気されるか、または製造システム内へウェ
ーハを転送する(図5を参照して後述する)ために要求
される圧力まで排気される。チャンバ隔離スリット弁4
1が開き、転送ステーションウェーハハンドラー43a
がチャンバ13内に到達してウェーハ25の下に伸び
る。次いで、ウェーハリフトフープ29が降下し(ウェ
ーハ25をウェーハハンドラー43aへ転送する)、ウ
ェーハハンドラー43aが後退してウェーハ25を転送
ステーション43へ運ぶ。ウェーハ25を転送ステーシ
ョン43から転送する際に転送ステーション43からの
汚染物がチャンバ13内に入るのを防ぐために、チャン
バ13は、スリット弁41が開いている間に乾性ガス源
19からの乾性ガスで(典型的には約5−100 s.l.m.の
流量で)絶えずパージすることができる。ウェーハハン
ドラー43aがチャンバ13から後退した後にスリット
弁41が閉じ、チャンバ13のパージング(もし遂行さ
れていれば)を停止させることができる。
【0029】図4は、図1の加熱・冷却装置11内にお
ける典型的な焼鈍及び冷却プロセス中のウェーハ温度対
時間のグラフである。基板支持体15は、340℃の温度
に予熱されている。冷却板39は25℃の温度に予冷さ
れ、チャンバ13は約10ppmより少ない酸素を含むよ
うに予め調整されている(例えば、前述したようにチャ
ンバ13をパージ、またはサイクルパージすることによ
って)。チャンバ13は、好ましくは、窒素のような乾
性ガスで約760トルの圧力に戻し充填されている。図4
の時点1においてウェーハ25は、ウェーハリフトフー
プ29によって被加熱基板支持体15上に直接配置され
(ピン27a−cを使用せずに)、時点1と2との間に
焼鈍が遂行される(例えば、約760トルのチャンバ圧力
で)。時点2においてウェーハ25は、ウェーハリフト
フープ29によって被加熱基板支持体15から持ち上げ
られ、時点3において冷却板39に近接する位置(例え
ば、冷却板39から約0.45mm)に到着し、ウェーハ冷
却サイクルが開始される。時点4において、粗引きポン
プ23がチャンバ13の排気を開始する。この排気は、
チャンバ13内の圧力が約47トルに到達する時点5まで
継続する。チャンバ13の圧力が約47トルに到達する
と、ウェーハ25は急速に冷却し始める(時点5と6と
の間)。時点6において冷却プロセスが終了し、チャン
バ13は乾性ガス源19からの乾性ガス(例えば、
2)で大気圧まで通気される(または、図5を参照し
て後述するように排気される)。時点7にチャンバ隔離
スリット弁41が開き、ウェーハ25は前述したように
チャンバ13から抜き出される。もし望むならば、時点
4の前に(例えば、ウェーハを基板支持体15から冷却
板39へ転送中に)粗引きポンプ23によってチャンバ
13を排気することができる。しかしながら、発明者ら
は、ウェーハ25が冷却板39に到達するまでチャンバ
13の排気を遂行しない場合に、冷却がより効率的(例
えば、より高速)であることを発見した。
【0030】加熱・冷却装置11は、複数の処理チャン
バを結合することも、または製造システムの一部として
使用することもできる(製造システムから分離された)
独立型加熱・冷却システムとして使用することができ
る。例えば、図5は、図1の本発明の加熱・冷却装置を
使用する製造システム45の上面図である。製造システ
ム45は、少なくとも第1のロードロック47、少なく
とも1つの処理チャンバ49、少なくとも1つのウェー
ハハンドラー51、及び本発明の加熱・冷却装置11を
備えている。少なくとも1つのウェーハハンドラー51
は、第1のロードロック47、処理チャンバ49、及び
本発明の加熱・冷却装置11を結合する転送チャンバ5
3内に存在している。
【0031】動作を説明すると、少なくとも1つのウェ
ーハを収容しているウェーハキャリヤーが第1のロード
ロック47内にロードされる。第1のロードロック47
は、典型的には処理チャンバ49内で遂行されるプロセ
スによってセットされる所望の真空レベルに排気される
(例えば、低k誘電体堆積のような亜大気圧CVDプロ
セスの場合には大気圧より僅かに低く、PVDプロセス
の場合には低圧である等)。もし本発明の加熱・冷却装
置11を焼鈍だけに使用するのであれば、ウェーハハン
ドラー51は第1のウェーハを第1のロードロック47
から抜き出し、それを処理チャンバ49へ輸送する。焼
鈍可能なプロセス(例えば、低k誘電体フィルム堆積
等)がウェーハに対して遂行され、ウェーハはウェーハ
ハンドラー51によって本発明の加熱・冷却装置11へ
転送される。チャンバ13上のスリット弁41(図1)
のようなシール可能なポートが開き、前述したように、
ウェーハハンドラー51がチャンバ13内に進入して第
1のウェーハを被加熱基板支持体15上に載せることが
できるようになる。ウェーハハンドラー51が後退する
と、スリット弁41が閉じる。次いでウェーハは、図1
−4を参照して説明したように、本発明に従って加熱及
び冷却される。加熱及び冷却の後に、ウェーハは第1の
ロードロック47へ戻される。このシーケンスは、ウェ
ーハキャリヤー内の各ウェーハが処理され、第1のロー
ドロック47へ戻されてしまうまで繰り返される。
【0032】もし本発明の加熱・冷却装置11を脱ガス
のために使用するのであれば、上記シーケンスが反転さ
れる。各ウェーハは第1のロードロック47から本発明
の加熱・冷却装置11へ輸送され、その中で脱ガスされ
る。次いで、各ウェーハは、本発明の加熱・冷却装置1
1から処理チャンバ49へ転送され、ウェーハに対して
処理が遂行され、そして処理チャンバ49から第1のロ
ードロック47へ転送される(直接的に、または冷却ス
テップの後に、または加熱・冷却装置11内においてウ
ェーハに対して遂行される焼鈍及び冷却ステップの後
に)。脱ガスステップを必要とする多くのプロセス(例
えば、PVDプロセス)が、高真空レベルをも必要とす
ることに注目されたい。従って、加熱・冷却装置11に
は、製造システム45が要求する高真空レベル(処理チ
ャンバ49によってセットされる)に到達するように、
粗引きポンプの他にクライオポンプを設ける必要があろ
う。
【0033】以上の説明は、本発明の好ましい実施の形
態だけに対してなされているが、当分野に精通していれ
ば、本発明の範囲内において上述した装置及び方法の変
更は明白であろう。例えば、本発明の加熱・冷却装置の
成分、及び上述した構成は現在では好ましいものである
が、本発明の範囲内において多くの変更がなされるかも
知れない。例えば、加熱をチャンバ13の上側、即ち第
1の側部分において遂行し、冷却をチャンバ13の下
側、即ち第2の側部分において遂行することができる。
ニードル弁または流量コントローラ、及び隔離弁は、手
動で調整することもできるが、好ましくはコンピュータ
制御する。基板支持体15は、抵抗加熱することも、ラ
ンプ(例えば、チャンバ13の内側または外側に設けた
赤外ランプ)により加熱することも、下側から加熱する
ことも、または他の公知の加熱メカニズムによって加熱
することもできる。
【0034】基板は、基板支持体15に接触させること
によって、または単に基板支持体15に近接させて保持
することによって加熱することができる。同様に、基板
は、冷却板39に接触させることによって、または単に
冷却板39に密に近接させて保持することによって冷却
することができる。冷却板39の代わりに、冷却された
基板支持体または他の冷却メカニズムを使用することが
できる。加熱及び/または冷却は、大気圧の、またはそ
れより僅かに高いチャンバ圧力で、または低いチャンバ
圧で、且つ冷却板39を通してガスを流しながら、また
は流さずに遂行することができる。
【0035】ウェーハリフトメカニズムは電動化するこ
とも、空気圧式にすることもでき、または他の公知のリ
フティングメカニズム(例えば、ウェーハハンドラー4
3aのようなウェーハハンドラー)を使用することもで
きる。ウェーハは加熱され、次いでリフトメカニズムに
よって冷却メカニズムに近接した位置へ転送される。1
つのこのような支持メカニズム、及びそれへの転送プロ
セスが1997年6月4日付米国特許出願第08/869,111号に
開示されている。更に、ウェーハ以外の多くの対象(例
えば、液晶ディスプレイパネル、及びガラス板)が本発
明のプロセスの恩恵を受けることができる。窒素に加え
て、アルゴン、ヘリウム等のような他の非酸化ガスも、
基板の加熱、冷却及び/または転送中の、またはチャン
バ遊休中の、チャンバ13の雰囲気の全て、または一部
を形成することができる。
【0036】以上に、本発明を好ましい実施の形態に関
して説明したが、他の実施の形態も特許請求の範囲に記
載されている本発明の思想及び範囲内にあるものと理解
すべきである。
【図面の簡単な説明】
【図1】本発明に従って構成された加熱・冷却装置の側
断面図である。
【図2】図1の加熱・冷却装置の基板支持体の上面図で
ある。
【図3】図1の加熱・冷却装置におけるいろいろな冷却
条件の下でのウェーハ温度対時間のグラフである。
【図4】図1の加熱・冷却装置における典型的な焼鈍及
び冷却プロセス中のウェーハ温度対時間のグラフであ
る。
【図5】図1の本発明の加熱・冷却装置を使用する製造
ツールの上面図である。
【符号の説明】
11 加熱・冷却装置 13 チャンバ 15 被加熱基板支持体 17 ガス入口 19 乾性ガス源 21 ガス出口 23 真空ポンプ 25 半導体ウェーハ 27 ピン 29 ウェーハリフトフープ 35 流量コントローラ 37 隔離弁 38 チャンバ排気弁 40 冷却用流体源 41 チャンバ隔離スリット弁 43 転送ステーション 43a 転送ステーションウェーハハンドラー 45 製造システム 47 第1のロードロック 49 処理チャンバ 51 ウェーハハンドラー 53 転送チャンバ
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ホー セオン シン アメリカ合衆国 カリフォルニア州 94040 マウンティン ヴィユー ウイッ ツ ロード 105 (72)発明者 ロビン チエウン アメリカ合衆国 カリフォルニア州 95014 クーパーティノ タルジタ プレ イス 21428 (72)発明者 イゴー コーガン アメリカ合衆国 カリフォルニア州 94121 サンフランシスコ ゲアリー ブ ールヴァード 7144

Claims (29)

    【特許請求の範囲】
  1. 【請求項1】 基板を加熱及び冷却するチャンバであっ
    て、 近接して位置決めされる上記基板を加熱する加熱メカニ
    ズムと、 上記加熱メカニズムから離間していて、近接して位置決
    めされる上記基板を冷却する冷却メカニズムと、 上記基板を、上記加熱メカニズムに近接する位置と、上
    記冷却メカニズムに近接する位置との間で転送する転送
    メカニズムと、を備えていることを特徴とするチャン
    バ。
  2. 【請求項2】 上記加熱メカニズムは、被加熱基板支持
    体を備えていることを特徴とする請求項1に記載のチャ
    ンバ。
  3. 【請求項3】 上記被加熱基板支持体は、上記基板を支
    持し、且つ上記支持した基板を所定の温度に加熱するよ
    うになっていることを特徴とする請求項2に記載のチャ
    ンバ。
  4. 【請求項4】 上記加熱メカニズム及び冷却メカニズム
    は、約1乃至5インチだけ離間していることを特徴とす
    る請求項1に記載のチャンバ。
  5. 【請求項5】 上記冷却メカニズムは、冷却板からなる
    ことを特徴とする請求項1に記載のチャンバ。
  6. 【請求項6】 上記冷却板は、水冷式冷却板及び冷媒冷
    却式冷却板からなるグループから選択された冷却板から
    なることを特徴とする請求項5に記載のチャンバ。
  7. 【請求項7】 上記冷却板は、上記冷却板を通してガス
    を流し、上記ガスを冷却できるようにする複数の孔を含
    むことを特徴とする請求項5に記載のチャンバ。
  8. 【請求項8】 上記冷却板は、約5乃至25℃の間で冷却
    できることを特徴とする請求項5に記載のチャンバ。
  9. 【請求項9】 上記転送メカニズムは、複数のウェーハ
    リフトピンを備えていることを特徴とする請求項1に記
    載のチャンバ。
  10. 【請求項10】 上記転送メカニズムは、上記加熱メカ
    ニズムに近接して位置決めされている上記基板を、上記
    冷却メカニズムから約0.02インチより近い位置へ転送す
    るようになっていることを特徴とする請求項1に記載の
    チャンバ。
  11. 【請求項11】 上記チャンバに結合され、上記チャン
    バへ乾性ガスを供給するようになっている乾性ガス源を
    更に備えていることを特徴とする請求項1に記載のチャ
    ンバ。
  12. 【請求項12】 上記乾性ガスは、ほぼ100%のN2と、
    共に約5ppmより少ないO2を有する約96%またはそ
    れ以上のN2及び4%またはそれ以下のH2とからなるグ
    ループから選択された乾性ガスからなることを特徴とす
    る請求項11に記載のチャンバ。
  13. 【請求項13】 上記冷却メカニズムは、上記冷却メカ
    ニズムを通してガスを流して上記ガスを冷却できるよう
    にする複数の孔を含み、上記乾性ガス源は、上記冷却メ
    カニズムに結合されていて上記冷却メカニズムの複数の
    孔を通して乾性ガスを供給するようになっていることを
    特徴とする請求項11に記載のチャンバ。
  14. 【請求項14】 複数の孔を有するマニホルドを更に備
    え、上記複数の孔は上記マニホルドを通してガスを流し
    て上記ガスを拡散させることができるようになってお
    り、上記乾性ガス源は上記マニホルドに結合されていて
    上記マニホルドを通して流れる乾性ガスを供給するよう
    になっていることを特徴とする請求項11に記載のチャ
    ンバ。
  15. 【請求項15】 上記チャンバに結合され、上記チャン
    バを所定の圧力まで排気するようになっているポンプを
    更に備えていることを特徴とする請求項1に記載のチャ
    ンバ。
  16. 【請求項16】 上記チャンバに結合されているコント
    ローラを有し、上記コントローラは、上記基板を上記冷
    却メカニズムを用いて冷却中に、上記ポンプに上記チャ
    ンバを所定の圧力まで排気させるようにプログラムされ
    ていることを特徴とする請求項15に記載のチャンバ。
  17. 【請求項17】 上記所定の圧力は、約20乃至200トル
    の間であることを特徴とする請求項16に記載のチャン
    バ。
  18. 【請求項18】 製造システムであって、 少なくとも1つのロードロックと、 上記少なくとも1つのロードロックに結合されている転
    送チャンバと、 上記転送チャンバに結合されている少なくとも1つの処
    理チャンバと、 上記転送チャンバに結合されている請求項1に記載のチ
    ャンバと、 上記転送チャンバ内に配置され、基板を上記少なくとも
    1つのロードロック、上記少なくとも1つの処理チャン
    バ、及び請求項1に記載のチャンバの間で転送するよう
    になっているウェーハハンドラーと、を備えていること
    を特徴とする製造システム。
  19. 【請求項19】 基板を加熱及び冷却する方法であっ
    て、 加熱メカニズム及び冷却メカニズムを有するチャンバを
    準備するステップと、 上記チャンバ内へ上記基板をロードするステップと、 上記基板を上記加熱メカニズムに近接させて位置決めす
    るステップと、 上記基板を上記加熱メカニズムを用いて加熱するステッ
    プと、 上記基板を上記加熱メカニズムに近接する位置から上記
    冷却メカニズムに近接する位置まで転送するステップ
    と、 上記基板を上記冷却メカニズムを用いて冷却するステッ
    プと、を含むことを特徴とする方法。
  20. 【請求項20】 上記基板を上記加熱メカニズムに近接
    させて位置決めするステップは、上記基板を被加熱基板
    支持体上に配置することからなることを特徴とする請求
    項19に記載の方法。
  21. 【請求項21】 上記基板を上記加熱メカニズムに近接
    する位置から上記冷却メカニズムに近接する位置まで転
    送するステップは、上記基板を上記加熱メカニズムに近
    接するある位置から、ある冷却メカニズムに近接するあ
    る位置まで転送することからなることを特徴とする請求
    項19に記載の方法。
  22. 【請求項22】 上記基板を上記加熱メカニズムに近接
    する位置から上記冷却メカニズムに近接する位置まで転
    送するステップは、上記基板を上記加熱メカニズムに近
    接するある位置から、上記冷却メカニズムから約0.02イ
    ンチより近い位置まで転送することからなることを特徴
    とする請求項19に記載の方法。
  23. 【請求項23】 上記基板を上記冷却メカニズムを用い
    て冷却するステップは、上記基板を約5乃至25℃の間の
    温度を有する上記冷却メカニズムを用いて冷却すること
    からなることを特徴とする請求項19に記載の方法。
  24. 【請求項24】 上記基板の加熱及び冷却の少なくとも
    一方を遂行中に、上記チャンバ内へ乾性ガスを流すステ
    ップを更に含むことを特徴とする請求項19に記載の方
    法。
  25. 【請求項25】 上記基板を冷却中に、上記冷却メカニ
    ズム内の複数の孔を通して乾性ガスを流すステップを更
    に含むことを特徴とする請求項19に記載の方法。
  26. 【請求項26】 上記基板を冷却中に、上記チャンバを
    所定の圧力まで排気するステップを更に含むことを特徴
    とする請求項19に記載の方法。
  27. 【請求項27】 上記基板を冷却中に上記チャンバを所
    定の圧力まで排気するステップは、上記基板を冷却中
    に、上記チャンバを約20乃至200トルの間まで排気する
    ことからなることを特徴とする請求項26に記載の方
    法。
  28. 【請求項28】 上記基板を上記加熱メカニズムを用い
    て加熱するステップは、上記基板を焼鈍することからな
    ることを特徴とする請求項19に記載の方法。
  29. 【請求項29】 上記基板を上記加熱メカニズムを用い
    て加熱するステップは、上記基板を脱ガスすることから
    なることを特徴とする請求項19に記載の方法。
JP2000321953A 1999-09-15 2000-09-14 基板を加熱及び冷却する方法及び装置 Pending JP2001196363A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/396007 1999-09-15
US09/396,007 US6276072B1 (en) 1997-07-10 1999-09-15 Method and apparatus for heating and cooling substrates

Publications (1)

Publication Number Publication Date
JP2001196363A true JP2001196363A (ja) 2001-07-19

Family

ID=23565457

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000321953A Pending JP2001196363A (ja) 1999-09-15 2000-09-14 基板を加熱及び冷却する方法及び装置

Country Status (6)

Country Link
US (5) US6276072B1 (ja)
EP (1) EP1085557A2 (ja)
JP (1) JP2001196363A (ja)
KR (1) KR100768598B1 (ja)
SG (1) SG90167A1 (ja)
TW (1) TW501162B (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009524222A (ja) * 2006-01-18 2009-06-25 オー・ツェー・エリコン・バルザース・アクチェンゲゼルシャフト 円板状の基板の脱ガスをする装置
JP2009147379A (ja) * 2004-03-29 2009-07-02 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
WO2010026772A1 (ja) * 2008-09-04 2010-03-11 キヤノンアネルバ株式会社 基板冷却方法及び半導体の製造方法
US20120213501A1 (en) * 2011-02-21 2012-08-23 Masahiko Harumoto Apparatus for and method of heat-treating film formed on surface of substrate
JP2012222334A (ja) * 2011-04-14 2012-11-12 Panasonic Corp プラズマ処理装置及び残留ガスの排気方法
US8837924B2 (en) 2009-06-24 2014-09-16 Canon Anelva Corporation Vacuum heating/cooling apparatus and manufacturing method of magnetoresistance element

Families Citing this family (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6276072B1 (en) * 1997-07-10 2001-08-21 Applied Materials, Inc. Method and apparatus for heating and cooling substrates
US6073366A (en) * 1997-07-11 2000-06-13 Asm America, Inc. Substrate cooling system and method
JPH11186257A (ja) * 1997-12-24 1999-07-09 Asahi Kasei Micro Syst Co Ltd 半導体装置の製造方法
US7192494B2 (en) * 1999-03-05 2007-03-20 Applied Materials, Inc. Method and apparatus for annealing copper films
US6656281B1 (en) * 1999-06-09 2003-12-02 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6561796B1 (en) * 1999-09-07 2003-05-13 Novellus Systems, Inc. Method of semiconductor wafer heating to prevent bowing
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
TW584921B (en) * 2000-02-18 2004-04-21 Applied Materials Inc Method and apparatus for annealing copper films
JP4522527B2 (ja) * 2000-03-06 2010-08-11 キヤノンアネルバ株式会社 半導体製造装置における基板搭載方法
JP3607664B2 (ja) * 2000-12-12 2005-01-05 日本碍子株式会社 Iii−v族窒化物膜の製造装置
US20020155364A1 (en) * 2001-04-20 2002-10-24 David Trost Method and system to achieve thermal transfer between a workpiece and a heated body disposed in a chamber
JP2003174007A (ja) * 2001-12-04 2003-06-20 Supurauto:Kk 基板の真空乾燥方法
US6764386B2 (en) * 2002-01-11 2004-07-20 Applied Materials, Inc. Air bearing-sealed micro-processing chamber
US6897411B2 (en) * 2002-02-11 2005-05-24 Applied Materials, Inc. Heated substrate support
KR100813083B1 (ko) * 2002-04-17 2008-03-14 셀레스 유도 가열 장치용 단열 가스 밀봉 및 진공 밀봉 챔버
US6809035B2 (en) * 2002-08-02 2004-10-26 Wafermasters, Inc. Hot plate annealing
US6783630B2 (en) * 2002-08-27 2004-08-31 Axcelis Technologies, Inc. Segmented cold plate for rapid thermal processing (RTP) tool for conduction cooling
US20040053514A1 (en) * 2002-08-27 2004-03-18 Ali Shajii Apparatus for cooling a substrate through thermal conduction in the viscous regime
JP2005538566A (ja) * 2002-09-10 2005-12-15 アクセリス テクノロジーズ, インコーポレイテッド 温度固定されたチャックを用いた温度可変プロセスにおける基板の加熱方法
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7431967B2 (en) 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US7141483B2 (en) * 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
DE10260672A1 (de) * 2002-12-23 2004-07-15 Mattson Thermal Products Gmbh Verfahren und Vorrichtung zum thermischen Behandeln von scheibenförmigen Substraten
TWI327336B (en) * 2003-01-13 2010-07-11 Oc Oerlikon Balzers Ag Arrangement for processing a substrate
JP3890026B2 (ja) * 2003-03-10 2007-03-07 東京エレクトロン株式会社 液処理装置および液処理方法
US20040182315A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US7311810B2 (en) * 2003-04-18 2007-12-25 Applied Materials, Inc. Two position anneal chamber
US7221553B2 (en) * 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US20040226513A1 (en) * 2003-05-12 2004-11-18 Applied Materials, Inc. Chamber for uniform heating of large area substrates
US20050092255A1 (en) * 2003-11-04 2005-05-05 Taiwan Semiconductor Manufacturing Co. Ltd. Edge-contact wafer holder for CMP load/unload station
US7256111B2 (en) * 2004-01-26 2007-08-14 Applied Materials, Inc. Pretreatment for electroless deposition
US7651583B2 (en) * 2004-06-04 2010-01-26 Tokyo Electron Limited Processing system and method for treating a substrate
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
KR100966430B1 (ko) * 2004-08-31 2010-06-28 엘지디스플레이 주식회사 기판지지핀을 구비하는 소프트 베이크 장치 및 이를이용한 소프트 베이킹 방법
JP4410147B2 (ja) * 2005-05-09 2010-02-03 東京エレクトロン株式会社 加熱装置、塗布、現像装置及び加熱方法
KR100771381B1 (ko) * 2005-07-01 2007-10-30 오성엘에스티(주) 엘시디 기판용 가열장치
KR100771382B1 (ko) * 2005-07-01 2007-10-30 오성엘에스티(주) 기판처리방법 및 그 장치
JP4519037B2 (ja) * 2005-08-31 2010-08-04 東京エレクトロン株式会社 加熱装置及び塗布、現像装置
US8635784B2 (en) * 2005-10-04 2014-01-28 Applied Materials, Inc. Methods and apparatus for drying a substrate
KR101146759B1 (ko) * 2005-12-26 2012-05-17 주식회사 디엠에스 기판 건조 장치
US20070254494A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Faceplate with rapid temperature change
US7867403B2 (en) * 2006-06-05 2011-01-11 Jason Plumhoff Temperature control method for photolithographic substrate
JP4996184B2 (ja) * 2006-09-19 2012-08-08 東京エレクトロン株式会社 ウエハの温度制御装置及びウエハの温度制御方法
US7378618B1 (en) 2006-12-14 2008-05-27 Applied Materials, Inc. Rapid conductive cooling using a secondary process plane
US7867868B2 (en) * 2007-03-02 2011-01-11 Applied Materials, Inc. Absorber layer candidates and techniques for application
US20080232636A1 (en) * 2007-03-23 2008-09-25 Sonic Dynamics, Llc Sonic piston
FR2914496B1 (fr) 2007-03-29 2009-10-02 Soitec Silicon On Insulator Amelioration de la defectivite post decollement d'une couche mince par modification de son recuit de decollement.
US9383138B2 (en) * 2007-03-30 2016-07-05 Tokyo Electron Limited Methods and heat treatment apparatus for uniformly heating a substrate during a bake process
US20080241400A1 (en) * 2007-03-31 2008-10-02 Tokyo Electron Limited Vacuum assist method and system for reducing intermixing of lithography layers
US8383429B2 (en) * 2007-08-29 2013-02-26 Acm Research (Shanghai) Inc. Method and apparatus for thermal treatment of semiconductor workpieces
WO2009029104A1 (en) * 2007-08-31 2009-03-05 Midwest Research Institute Rapid thermal processing by stamping
US7649729B2 (en) * 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
US8007275B2 (en) * 2008-01-25 2011-08-30 Micron Technology, Inc. Methods and apparatuses for heating semiconductor wafers
US20090269939A1 (en) * 2008-04-25 2009-10-29 Asm International, N.V. Cyclical oxidation process
US20100181500A1 (en) * 2009-01-16 2010-07-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for low temperature ion implantation
US8889565B2 (en) * 2009-02-13 2014-11-18 Asm International N.V. Selective removal of oxygen from metal-containing materials
US9127340B2 (en) * 2009-02-13 2015-09-08 Asm International N.V. Selective oxidation process
US7829457B2 (en) * 2009-02-20 2010-11-09 Asm International N.V. Protection of conductors from oxidation in deposition chambers
US8420981B2 (en) * 2009-11-13 2013-04-16 Tel Nexx, Inc. Apparatus for thermal processing with micro-environment
US20110217848A1 (en) * 2010-03-03 2011-09-08 Bergman Eric J Photoresist removing processor and methods
US8507388B2 (en) 2010-04-26 2013-08-13 Asm International N.V. Prevention of oxidation of substrate surfaces in process chambers
JP5881956B2 (ja) * 2011-02-28 2016-03-09 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびウェーハホルダ
US8834155B2 (en) * 2011-03-29 2014-09-16 Institute of Microelectronics, Chinese Academy of Sciences Wafer transfer apparatus and wafer transfer method
US9177842B2 (en) 2011-08-10 2015-11-03 Applied Materials, Inc. Degassing apparatus adapted to process substrates in multiple tiers with second actuator
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
JP5941016B2 (ja) * 2013-05-27 2016-06-29 株式会社神戸製鋼所 成膜装置およびそれを用いた成膜方法
JP6240440B2 (ja) * 2013-08-30 2017-11-29 東京応化工業株式会社 チャンバー装置及び加熱方法
US9809491B2 (en) * 2013-09-09 2017-11-07 Shenzhen China Star Optoelectronics Technology Co., Ltd. Device and method for baking substrate
US9245767B2 (en) 2013-09-12 2016-01-26 Applied Materials, Inc. Anneal module for semiconductor wafers
US10325790B2 (en) * 2016-04-29 2019-06-18 Applied Materials, Inc. Methods and apparatus for correcting substrate deformity
US10119191B2 (en) * 2016-06-08 2018-11-06 Applied Materials, Inc. High flow gas diffuser assemblies, systems, and methods
CN106191818A (zh) * 2016-09-08 2016-12-07 北京精诚铂阳光电设备有限公司 一种lpcvd镀膜工艺后期基板冷却系统
US10777527B1 (en) * 2019-07-10 2020-09-15 Mikro Mesa Technology Co., Ltd. Method for transferring micro device
CN113493904B (zh) * 2020-03-19 2022-06-07 中国科学院沈阳科学仪器股份有限公司 一种高温高真空退火炉

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07254545A (ja) * 1994-03-15 1995-10-03 Oki Electric Ind Co Ltd 半導体基板の熱処理方法及びそのための装置
JPH11102904A (ja) * 1997-09-25 1999-04-13 Tokyo Electron Ltd 基板冷却装置
JP2003504865A (ja) * 1999-07-12 2003-02-04 エフエスアイ インターナショナル インコーポレイテッド ウエハ状物体の加熱及び冷却処理用熱処理チャンバ

Family Cites Families (111)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2026605A (en) * 1933-01-09 1936-01-07 Copperweld Steel Co Method for working and treating metals
US3649509A (en) * 1969-07-08 1972-03-14 Buckbee Mears Co Electrodeposition systems
US3727620A (en) * 1970-03-18 1973-04-17 Fluoroware Of California Inc Rinsing and drying device
US3770598A (en) * 1972-01-21 1973-11-06 Oxy Metal Finishing Corp Electrodeposition of copper from acid baths
US4027686A (en) * 1973-01-02 1977-06-07 Texas Instruments Incorporated Method and apparatus for cleaning the surface of a semiconductor slice with a liquid spray of de-ionized water
IT1046971B (it) * 1975-03-11 1980-09-10 Oxy Metal Industries Corp Begno per l elettrodeposizione di rame e metodo per prepararlo
JPS5271871A (en) * 1975-12-11 1977-06-15 Nec Corp Washing apparatus
JPS5819350B2 (ja) * 1976-04-08 1983-04-18 富士写真フイルム株式会社 スピンコ−テイング方法
US4265943A (en) * 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4326940A (en) * 1979-05-21 1982-04-27 Rohco Incorporated Automatic analyzer and control system for electroplating baths
US4315059A (en) * 1980-07-18 1982-02-09 The United States Of America As Represented By The United States Department Of Energy Molten salt lithium cells
US4405416A (en) * 1980-07-18 1983-09-20 Raistrick Ian D Molten salt lithium cells
US4336114A (en) * 1981-03-26 1982-06-22 Hooker Chemicals & Plastics Corp. Electrodeposition of bright copper
US4376685A (en) * 1981-06-24 1983-03-15 M&T Chemicals Inc. Acid copper electroplating baths containing brightening and leveling additives
EP0076569B1 (en) * 1981-10-01 1986-08-27 EMI Limited Electroplating arrangements
US4489740A (en) * 1982-12-27 1984-12-25 General Signal Corporation Disc cleaning machine
US4481406A (en) * 1983-01-21 1984-11-06 Varian Associates, Inc. Heater assembly for thermal processing of a semiconductor wafer in a vacuum chamber
US4428815A (en) * 1983-04-28 1984-01-31 Western Electric Co., Inc. Vacuum-type article holder and methods of supportively retaining articles
US4789445A (en) * 1983-05-16 1988-12-06 Asarco Incorporated Method for the electrodeposition of metals
US4510176A (en) * 1983-09-26 1985-04-09 At&T Bell Laboratories Removal of coating from periphery of a semiconductor wafer
US4518678A (en) * 1983-12-16 1985-05-21 Advanced Micro Devices, Inc. Selective removal of coating material on a coated substrate
US4519846A (en) * 1984-03-08 1985-05-28 Seiichiro Aigo Process for washing and drying a semiconductor element
US4568431A (en) * 1984-11-13 1986-02-04 Olin Corporation Process for producing electroplated and/or treated metal foil
US4693805A (en) * 1986-02-14 1987-09-15 Boe Limited Method and apparatus for sputtering a dielectric target or for reactive sputtering
US4732785A (en) * 1986-09-26 1988-03-22 Motorola, Inc. Edge bead removal process for spin on films
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US5484011A (en) 1986-12-19 1996-01-16 Applied Materials, Inc. Method of heating and cooling a wafer during semiconductor processing
JPS63204726A (ja) 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
US4816098A (en) * 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US4786337A (en) * 1988-03-25 1988-11-22 Rockwell International Corporation Method of treating aluminum-lithium alloys
US5224504A (en) * 1988-05-25 1993-07-06 Semitool, Inc. Single wafer processor
US5235995A (en) * 1989-03-27 1993-08-17 Semitool, Inc. Semiconductor processor apparatus with dynamic wafer vapor treatment and particulate volatilization
US5230743A (en) * 1988-05-25 1993-07-27 Semitool, Inc. Method for single wafer processing in which a semiconductor wafer is contacted with a fluid
US5092975A (en) * 1988-06-14 1992-03-03 Yamaha Corporation Metal plating apparatus
US5156731A (en) * 1988-12-13 1992-10-20 Sumitomo Metal Mining Co. Ltd. Polyimide substrate and method of manufacturing a printed wiring board using the substrate
US5316974A (en) * 1988-12-19 1994-05-31 Texas Instruments Incorporated Integrated circuit copper metallization process using a lift-off seed layer and a thick-plated conductor layer
JPH02197599A (ja) * 1989-01-25 1990-08-06 Yamaha Motor Co Ltd 金属表面の化学処理装置
US5039381A (en) * 1989-05-25 1991-08-13 Mullarkey Edward J Method of electroplating a precious metal on a semiconductor device, integrated circuit or the like
US5162260A (en) * 1989-06-01 1992-11-10 Hewlett-Packard Company Stacked solid via formation in integrated circuit systems
US5055425A (en) * 1989-06-01 1991-10-08 Hewlett-Packard Company Stacked solid via formation in integrated circuit systems
JP2824280B2 (ja) * 1989-06-30 1998-11-11 ヤマハ発動機株式会社 ワークの表面処理装置
US5155336A (en) * 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5098198A (en) 1990-04-19 1992-03-24 Applied Materials, Inc. Wafer heating and monitor module and method of operation
US5222310A (en) * 1990-05-18 1993-06-29 Semitool, Inc. Single wafer processor with a frame
US5673750A (en) * 1990-05-19 1997-10-07 Hitachi, Ltd. Vacuum processing method and apparatus
US5259407A (en) * 1990-06-15 1993-11-09 Matrix Inc. Surface treatment method and apparatus for a semiconductor wafer
US5252807A (en) * 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5230741A (en) 1990-07-16 1993-07-27 Novellus Systems, Inc. Gas-based backside protection during substrate processing
US5368711A (en) * 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
US5256274A (en) * 1990-08-01 1993-10-26 Jaime Poris Selective metal electrodeposition process
BE1005217A3 (fr) * 1990-10-08 1993-06-01 Four Industriel Belge Procede et dispositif de degraissage et de decapage de fils ou rubans metalliques ou d'elements analogues.
CA2059841A1 (en) * 1991-01-24 1992-07-25 Ichiro Hayashida Surface treating solutions and cleaning method
JPH04132388U (ja) 1991-05-24 1992-12-08 千住金属工業株式会社 真空乾燥装置
KR0155572B1 (ko) 1991-05-28 1998-12-01 이노우에 아키라 감압처리 시스템 및 감압처리 방법
US5324684A (en) * 1992-02-25 1994-06-28 Ag Processing Technologies, Inc. Gas phase doping of semiconductor material in a cold-wall radiantly heated reactor under reduced pressure
JP3200468B2 (ja) * 1992-05-21 2001-08-20 日本エレクトロプレイテイング・エンジニヤース株式会社 ウエーハ用めっき装置
JP2654314B2 (ja) * 1992-06-04 1997-09-17 東京応化工業株式会社 裏面洗浄装置
US5328589A (en) * 1992-12-23 1994-07-12 Enthone-Omi, Inc. Functional fluid additives for acid copper electroplating baths
US5718813A (en) * 1992-12-30 1998-02-17 Advanced Energy Industries, Inc. Enhanced reactive DC sputtering system
US5478429A (en) 1993-01-20 1995-12-26 Tokyo Electron Limited Plasma process apparatus
US5665167A (en) 1993-02-16 1997-09-09 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus having a workpiece-side electrode grounding circuit
WO1995002900A1 (en) * 1993-07-15 1995-01-26 Astarix, Inc. Aluminum-palladium alloy for initiation of electroless plating
US5608943A (en) * 1993-08-23 1997-03-11 Tokyo Electron Limited Apparatus for removing process liquid
US5468597A (en) * 1993-08-25 1995-11-21 Shipley Company, L.L.C. Selective metallization process
US6171922B1 (en) 1993-09-01 2001-01-09 National Semiconductor Corporation SiCr thin film resistors having improved temperature coefficients of resistance and sheet resistance
US5616208A (en) 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5384284A (en) * 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
US5442235A (en) * 1993-12-23 1995-08-15 Motorola Inc. Semiconductor device having an improved metal interconnect structure
US5415890A (en) * 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5625170A (en) * 1994-01-18 1997-04-29 Nanometrics Incorporated Precision weighing to monitor the thickness and uniformity of deposited or etched thin film
JP3377849B2 (ja) * 1994-02-02 2003-02-17 日本エレクトロプレイテイング・エンジニヤース株式会社 ウエーハ用メッキ装置
US5431700A (en) * 1994-03-30 1995-07-11 Fsi International, Inc. Vertical multi-process bake/chill apparatus
JP3529849B2 (ja) 1994-05-23 2004-05-24 富士通株式会社 半導体装置の製造方法
US5651865A (en) * 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5705223A (en) * 1994-07-26 1998-01-06 International Business Machine Corp. Method and apparatus for coating a semiconductor wafer
US5982986A (en) 1995-02-03 1999-11-09 Applied Materials, Inc. Apparatus and method for rotationally aligning and degassing semiconductor substrate within single vacuum chamber
US5516412A (en) * 1995-05-16 1996-05-14 International Business Machines Corporation Vertical paddle plating cell
US5716207A (en) * 1995-07-26 1998-02-10 Hitachi Techno Engineering Co., Ltd. Heating furnace
US5846598A (en) * 1995-11-30 1998-12-08 International Business Machines Corporation Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating
US5891513A (en) * 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5820692A (en) * 1996-01-16 1998-10-13 Fsi Interntional Vacuum compatible water vapor and rinse process module
US5677244A (en) * 1996-05-20 1997-10-14 Motorola, Inc. Method of alloying an interconnect structure with copper
US6602348B1 (en) 1996-09-17 2003-08-05 Applied Materials, Inc. Substrate cooldown chamber
KR100243368B1 (ko) 1996-10-18 2000-02-01 유무성 리드프레임의 열처리 방법
US5913147A (en) * 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
TW383414B (en) * 1997-03-05 2000-03-01 Tokyo Electron Ltd Photoresist agent processing method and photoresist agent processing system and evaluation method and processing apparatus for photoresist agent film
US5994675A (en) * 1997-03-07 1999-11-30 Semitool, Inc. Semiconductor processing furnace heating control system
JP3715073B2 (ja) * 1997-04-22 2005-11-09 大日本スクリーン製造株式会社 熱処理装置
US6037257A (en) 1997-05-08 2000-03-14 Applied Materials, Inc. Sputter deposition and annealing of copper alloy metallization
JPH118175A (ja) * 1997-06-13 1999-01-12 Sony Corp ベーキング装置
US5885749A (en) * 1997-06-20 1999-03-23 Clear Logic, Inc. Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
US6113698A (en) * 1997-07-10 2000-09-05 Applied Materials, Inc. Degassing method and apparatus
US6276072B1 (en) * 1997-07-10 2001-08-21 Applied Materials, Inc. Method and apparatus for heating and cooling substrates
US6182376B1 (en) * 1997-07-10 2001-02-06 Applied Materials, Inc. Degassing method and apparatus
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
JPH1180989A (ja) 1997-09-02 1999-03-26 Oki Electric Ind Co Ltd メッキ装置
US6103638A (en) * 1997-11-07 2000-08-15 Micron Technology, Inc. Formation of planar dielectric layers using liquid interfaces
US6294219B1 (en) * 1998-03-03 2001-09-25 Applied Komatsu Technology, Inc. Method of annealing large area glass substrates
US6072163A (en) 1998-03-05 2000-06-06 Fsi International Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate
US6015749A (en) * 1998-05-04 2000-01-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between copper and titanium nitride, for copper interconnect structures, via the use of an ion implantation procedure
US6071388A (en) 1998-05-29 2000-06-06 International Business Machines Corporation Electroplating workpiece fixture having liquid gap spacer
US6030208A (en) 1998-06-09 2000-02-29 Semitool, Inc. Thermal processor
US6187152B1 (en) 1998-07-17 2001-02-13 Cutek Research, Inc. Multiple station processing chamber and method for depositing and/or removing material on a substrate
BR9914891A (pt) * 1998-10-27 2001-07-17 Mayo Foundation Processos para aperfeiçoamento de cura de ferimento
TW582050B (en) * 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6174388B1 (en) 1999-03-15 2001-01-16 Lockheed Martin Energy Research Corp. Rapid infrared heating of a surface
JP2000286267A (ja) * 1999-03-31 2000-10-13 Tokyo Electron Ltd 熱処理方法
JP2002110949A (ja) * 2000-09-28 2002-04-12 Canon Inc Soiの熱処理方法及び製造方法
US6512206B1 (en) * 2002-01-02 2003-01-28 Mrl Industries Continuous process furnace

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07254545A (ja) * 1994-03-15 1995-10-03 Oki Electric Ind Co Ltd 半導体基板の熱処理方法及びそのための装置
JPH11102904A (ja) * 1997-09-25 1999-04-13 Tokyo Electron Ltd 基板冷却装置
JP2003504865A (ja) * 1999-07-12 2003-02-04 エフエスアイ インターナショナル インコーポレイテッド ウエハ状物体の加熱及び冷却処理用熱処理チャンバ

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009147379A (ja) * 2004-03-29 2009-07-02 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2012074737A (ja) * 2004-03-29 2012-04-12 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法及び基板処理装置
US8221835B2 (en) 2004-03-29 2012-07-17 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and apparatus for processing substrate
US8227030B2 (en) 2004-03-29 2012-07-24 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and apparatus for processing substrate
JP2009524222A (ja) * 2006-01-18 2009-06-25 オー・ツェー・エリコン・バルザース・アクチェンゲゼルシャフト 円板状の基板の脱ガスをする装置
WO2010026772A1 (ja) * 2008-09-04 2010-03-11 キヤノンアネルバ株式会社 基板冷却方法及び半導体の製造方法
US8837924B2 (en) 2009-06-24 2014-09-16 Canon Anelva Corporation Vacuum heating/cooling apparatus and manufacturing method of magnetoresistance element
US20120213501A1 (en) * 2011-02-21 2012-08-23 Masahiko Harumoto Apparatus for and method of heat-treating film formed on surface of substrate
US8781308B2 (en) * 2011-02-21 2014-07-15 Sokudo Co., Ltd. Apparatus for and method of heat-treating film formed on surface of substrate
JP2012222334A (ja) * 2011-04-14 2012-11-12 Panasonic Corp プラズマ処理装置及び残留ガスの排気方法

Also Published As

Publication number Publication date
EP1085557A2 (en) 2001-03-21
US20020116836A1 (en) 2002-08-29
US6276072B1 (en) 2001-08-21
KR20010030404A (ko) 2001-04-16
US20030131495A1 (en) 2003-07-17
US6357143B2 (en) 2002-03-19
SG90167A1 (en) 2003-08-20
TW501162B (en) 2002-09-01
US6929774B2 (en) 2005-08-16
US20020007567A1 (en) 2002-01-24
US6477787B2 (en) 2002-11-12
KR100768598B1 (ko) 2007-10-18
US6658763B2 (en) 2003-12-09
US20040154185A1 (en) 2004-08-12

Similar Documents

Publication Publication Date Title
JP2001196363A (ja) 基板を加熱及び冷却する方法及び装置
US4985372A (en) Method of forming conductive layer including removal of native oxide
US7198447B2 (en) Semiconductor device producing apparatus and producing method of semiconductor device
JP3504784B2 (ja) 熱処理方法
JP4916140B2 (ja) 真空処理システム
US8123858B2 (en) Manufacturing method of semiconductor device and substrate processing apparatus
JPH05218176A (ja) 熱処理方法及び被処理体の移載方法
JPH0555148A (ja) マルチチヤンバ型枚葉処理方法およびその装置
JP2011049507A (ja) ロードロック装置及び処理システム
JP2006273563A (ja) ロードロック装置,処理システム及び処理方法
US20080014351A1 (en) Film forming system, method of operating the same, and storage medium for executing the method
US6169032B1 (en) CVD film formation method
TWI700764B (zh) 裝載鎖定裝置中的基板冷卻方法、基板搬運方法及裝載鎖定裝置
JP2002100574A (ja) 基板処理装置
TW202201512A (zh) 蝕刻方法及蝕刻裝置
JP2003100736A (ja) 基板処理装置
JP4503713B2 (ja) 真空成膜法の基板冷却方法
JPH1092754A (ja) 枚葉式の熱処理装置及び熱処理方法
JP4118189B2 (ja) ロードロック装置
JP3664193B2 (ja) 熱処理装置及び熱処理方法
JPH11345771A (ja) 枚葉式真空処理方法及び装置
JPH04251921A (ja) マルチチャンバプロセス装置および半導体装置の製造方法
JP3076996B2 (ja) 被処理体の移載方法
JPS60150633A (ja) プラズマエツチング装置のロ−ドロツク室
CN114388413A (zh) 舟搬入方法和热处理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070905

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100812

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100819

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20101119

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101125

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110414