DE2635066A1 - Verfahren zum entfernen einer photoresistschicht von einer substratoberflaeche und vorrichtung zur durchfuehrung des verfahrens - Google Patents

Verfahren zum entfernen einer photoresistschicht von einer substratoberflaeche und vorrichtung zur durchfuehrung des verfahrens

Info

Publication number
DE2635066A1
DE2635066A1 DE19762635066 DE2635066A DE2635066A1 DE 2635066 A1 DE2635066 A1 DE 2635066A1 DE 19762635066 DE19762635066 DE 19762635066 DE 2635066 A DE2635066 A DE 2635066A DE 2635066 A1 DE2635066 A1 DE 2635066A1
Authority
DE
Germany
Prior art keywords
ozone
substrate
photoresist
layer
reaction chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE19762635066
Other languages
English (en)
Inventor
Thomas Clifton Penn
Samuel Ray Shortes
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of DE2635066A1 publication Critical patent/DE2635066A1/de
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

Patentanwälte
Dipl.-Ing. Dipl.-Chem. Dipl.-Ing. 2 6 3 5
E. Prinz - Dr. G. Hauser - G. Leiser
Ernsbergerstrasse
8 München 60
Unser Zeichen: T 2059 3.August 1976
TEXAS INSTRUMENTS INCORPORATED 13500 North Central Expressway-Dallas, Texas, V.St.Ä.
Verfahren zum Entfernen einer Photoresistschicht von einer Substratoberfläche und Vorrichtung zur Durchführung des Verfahrens
Die Erfindung bezieht sich auf ein Verfahren und eine Vorrichtung zum Entfernen einer Photoresistschicht von der Oberfläche eines Substrats aus unterschiedlichem Material, beispielsweise einer Halbleiterscheibe, bei der Herstellung einer elektronischen Struktur, beispielsweise eines diskreten Halbleiterbauelements einschließlich Transistoren, Dioden, usw. oder einer integrierten Schaltung. Das Entfernen der Schicht aus Photoresistmaterial erfolgt dabei dadurch, daß dieses einer Ozonbehandlung unterzogen wird, in dem die Photoresistschicht einer ozonhaltigen gasförmigen Atmosphäre ausgesetzt wird. Das Ozon ist in der gasförmigen Atmosphäre als aktives Reaktionsmittel in einer solchen ausreichenden Menge vorhanden, daß es mit dem gesamten Photoresistmaterial in der zu entfernenden Schicht reagiert,
Schvi/Ba
709.808/080 A
2635068
Photoresistmaterialen werden üblicherweise in einer großen Anzahl industrieller Verfahren angewendet, bei denen es erwünscht ist, in Dünnfilmen, die auf einer Substratoberfläche angebracht sind, genaue Muster zu bilden oder Zonen mit veränderten Eigenschaften bezüglich anderer Oberflächenbereiche des Substrats zu bilden. Solche Photoresistmaterialien sind lichtempfindlich;sie sind durch ein unterschiedliches Reaktionsvermögen bezüglich spezieller flüssiger Lösungsmittel nach dem Belichten mit einer Energiequelle, beispielsweise einer Ultraviolettstrahlung gekennzeichnet. Ein herkömmliches photographisches Verfahren zur Anwendung von Photoresistschichten als Mustervorlagen besteht darin, daß auf einem Substrat eine Schicht aus Photoresistmaterial aufgebracht wird, worauf die Photoresistschicht dann in selektiver Weise einer Energiequelle ausgesetzt wird, so daß sich Abschnitte der Photoresistechicht auf Grund ihrer Aussetzung der Energiequelle hinsichtlich ihrer Eigenschaften ändern. Nach dem Belichten wird die Photoresistschicht dann in einem "Naßentwicklungsvorgang11 unter Verwendung flüssiger chemischer Lösungsmittel entwickelt, damit in ausgewählter ¥eise Abschnitte der Photoresistschicht zur Bildung des gewünschten Musters entfernt werden. Für diesen Zweck stehen negative und positive Photoresistmaterialien zur Verfügung. Bei einem negativen Photoresistmaterial ändern sich die chemischen Eigenschaften des mit der Energiequelle belichteten Abschnitts der Schicht, der im Gegensatz zum nichtbelichteten Abschnitt polymerisiert und für die chemische Lösungsmittelflüssigkeit unlöslich wird, die den nichtbelichteten Abschnitt der negativen Photoresistschicht zur Erzielung des gewünschten Musters entfernt. Bei einer positiven Photoresistschicht ist die
7 0.9808/0804
Situation genau umgekehrt, was bedeutet, daß der mit der Energiequelle belichtete Abschnitt für die Lösungsflüssigkeit lösbar gemacht wird, während der nichtbelichtete Abschnitt unlöslich für diese Lösungsflüssigkeit ist und nach der Entwicklung zur Bildung des gewünschten Musters zurückbleibt.
Bei der Herstellung einer elektronischen Anordnung muß die in einem bestimmten Muster verlaufende Photoresistschicht meistens entfernt werden, nachdem sie ihren Zweck, eine in einem bestimmten Muster verlaufende Maske zu bilden, erfüllt hat, damit beispielsweise ein Dünnfilm auf die darunterliegende Substratoberfläche aufgebracht oder ein Dotierungsmaterial auf ausgewählte Zonen des Substrats angewendet werden kann.Viele Verfahren zur Herstellung diskreter elektronischer Bauelemente und integrierter Schaltungen erfordern die wiederholte Anwendung von Photoresistschichten bei den verschiedenen Verfahrens- ' stufen, ehe die elektronische Anordnung fertig ist. Jede Photoresistschicht muß, nachdem sie ihren Zweck in der Herstellung der elektronischen Anordnung erfüllt hat, entfernt werden, bevor die weiteren Stufen der Herstellung ausgeführt werden können; zumindest als abschliessende Herstellungsstufe bei der Fertigstellung der elektronischen Anordnung ist das Entfernen erforderlich.
Zum Entfernen belichteter oder unbelichteter Abschnitte einer Photoresistschicht von einer darunterbefindlichen Substratoberfläche sind verschiedene Arten lösender Naßentwickler verwendet worden. TypischeNaßentwiekler sind Wasserstoffperoxid-Schwefelsäure-Entwickler sowie Entwickler auf der Basis von Phenol-Methyläthylketon und Slrichloräthylen. In einem Wasserstoffperoxid-Schwefelsäure-
'7 09808/0804
System kann eine Mischung aus 10 bis 33,3% Wasserstoffperoxid und 66,6 bis 90% Schwefelsäure zum vollständigen Entfernen des Photoresists verwendet werden, nachdem ein mit einer Säure durchgeführtes Ätzen· auf dem belichteten Abschnitt der mit einem Muster versehenen darunterliegenden Substratoberfläche ausgeführt worden ist. Im allgemeinen kann ein solches System für alle Prozesse zum Entfernen einer Photoresistschicht verwendet werden, ausgenommen bei den Prozessen, die das Entfernen einer Thotoresistschicht von einer Aluminiumfläche erfordern, da dieses spezielle System Aluminium auflöst. Bei der Verwendung dieser Chemikalien tritt das Problem der Verunreinigung durch anorganische Ionen auf, die auf dem mit einem Resistmuster versehenen, üblicherweise als "Scheibe" (slice) bezeichneten Substrat verbleiben, nachdem die Entwicklung des Musters beendet ist. Diese Verunreinigung führt dazu,daß eine weitere chemische Behandlung der entwickelten Scheiben zum Entfernen der Verunreinigung nötig ist, was bei der Herstellung der elektronischen Anordnung weitere Zeit, Behandlungsvorgänge und Kosten verursacht.
Die Gruppe der Naßentwickler auf der Basis von Phenol-Methyläthylketon und Trichloräthylen werden auf Substraten mit Metallisierungsschichten aus Aluminium verwendet, da dieses System zur Entwicklung und Entfernung des Photoresistmaterials Aluminium chemisch nicht angreift«, Das Entwickeln mit diesem System ist Jedoch ebenfalls zeitraubend, und es tritt mit beträchtlicher Häufigkeit eine Verunreinigung auf.
709808/0804
Außerdem ist die Anwendung eines lösenden Naßentwicklers allgemein dafür verantwortlich, daß aus der entfernten Photoresistschicht ein Materialrückstand erzeugt wird, das auf der Substratoberfläche verbleibt. Dieser Materialrückstarrl ist auch an den Kanten des Photoresistmusters vorhanden, wo dieses schräg zur Substratoberfläche abfällt, so daß das Musterauflösungsvermägen herabgesetzt wird, was dazu führt, daß die Einzelheiten des Musters in ihren Umrißlinien unschärfer werden.
Ein weiteres Problem, das beim Ätzen einer auf einem Substrat befindlichen Aluminiumschicht auftritt, die zunächst mit einer Photoresistschicht überzogen ist, die mittels eines herkömmlichen Naßentwicklers zur Bildung eines Ätzmusters für freiliegende Abschnitte der Aluminiumschicht entwickelt wird,' besteht darin, daß durch das chemische Ätzmittel bei der Reaktion mit dem Aluminiummetall Wasserstoffbläschen entstehen. Diese Wasserstoffbläschen zeigen die Neigung, sich an der Oberfläche der Aluminiumschicht festzusetzen; insbesondere scheinen sie sich an dem vom Naßentwickler zurückgelassenen Rest zu sammeln« Ihre Anwesenheit hindert frische Säure daran, die Aluminiumoberfläche zu erreichen, so daß ein sauberes Ätzen dieser Oberfläche verhindert wird.Bisher sind verschiedene Benetzungsmittel und eine mechanische Bewegung zur Überwindung dieses Problems mit einigem Erfolg angewendet worden, da diese Mittel das Lösen der Wasserstoffbläschen von der Aluminiumoberfläche erleichterten.
Zusätzlich zu den Naßentwicklungsverfahren zum Entfernen einer Schicht aus Photoresistmaterial ist zu diesem Zweck ein weiteres Verfahren angewendet worden, das auf einer
709808/0804
nichtlösenden oder trockenen Basis erfolgt. Bei diesem Verfahren wird das Plasmaätzen angewendet, das mittels eines HF-Plasmaverfahrens mit Sauerstoff erhalten wird, "bei dem das erzeugte Plasma, das als aktiven Stoff einatomigen Sauerstoff enthält, zum Entfernen der Schicht aus Photoresistmaterial verwendet wird. Eine Beschreibung des Plasmaätzens zur Entfernung von Photoresistmaterial findet sich in dem Aufsatz von Irving mit dem Titel 11A Dry Photoresist Removal Method", der in Kodak Photoresist Seminar Proceedings, 1968, Band II, Seiten 26 bis 29 veröffentlicht wurde. Allgemein kann ein einatomigen Sauerstoff O enthaltendes Plasma dadurch erzeugt werden,- daß zweiatomiga Sauerstoff moleküle (Op) in eine evakuierte Kammer eingebracht werden und ein. elektrisches Feld mit einer solchen Stärke erzeugt wird, daß die Sauerstoffmoleküle Op disoziieren. Diese sogenannten Piasmamaschinen oder "asher" sind gewöhnlich Wechselstromsysteme, die mit Hochfrequenz im kHz-Bereich arbeiten. Typischerweise werden zwei einander gegenüberliegende horizontale ebene Elektrodenplatten oder rohrförmige Elektroden dazu verwendet, zwischen ihnen das Plasma zu erzeugen. Wie in der Technik anerkannt ist, wird der Ausdruck "asher" im allgemeinen auf eine HF-Plasmamaschine angewendet, die zum Entfernen von Photoresistmaterial durch Plasmaätzen eingesetzt wird. Dieses unter Verwendung von Sauerstoff arbeitende HF-Plasmaverfahren ist zwar wirksam beim Entfernen von Photoresistmaterial, doch ist es charakteristischerweise langsam und erfordert 10 bis 30 Minunten zum Entfernen des Photoresistmaterials; außerdem erfordert es ein chargenweises Arbeiten und kein kontinuierliches Arbeiten, wobei einzelne Scheiben oder Gruppen von Scheiben in einem chargenweise durchgeführten Arbeitsgang in eine Glasglocke
709808/0804
eingegeben und wieder herausgenommen werden müssen. Bei Halbleiterscheiben in MOS-Technologie, kann durch Anwendung dieses Verfahrens zum Entfernen von Photoresistmaterial eine Verschlechterung auftreten, da solche Scheiben äußerst empfindlich für elektrische Felder sind.
Das gemäß der Erfindung ausgeführte Ozonbehandlungsverfahren bewirkt das Entfernen einer Schicht aus Photoresistmaterial von der darunterliegenden oberfläche eines Substrats aus anderem Material mit großer Geschwindigkeit ohne Verwendung von Naßentwicklungslösungen, während gleichzeitig die Probleme der Restverschmutzung und andere Probleme, die bei speziellen Typen von Halbleiterscheiben auftreten, wesentlich gemildert werden, beispielsweise· das Problem, das auf die Anwesenheit eines Aluminiumfilms aus einem Substrat zurückzuführen ist, an dem während des mit Säure durchgeführten Ätzvorgangs erzeugte Wasserstoffbläschen haften und das Ätzen entsprechend einem Muster nachteilig beeinflussen können.
Die Erfindung bezieht sich auf ein Verfahren und eine Vorrichtung zum Entfernen einer Schicht aus Photoresistmaterial von der Oberfläche eines aus einem anderen Material bestehenden Substrats, beispielsweise einer Halbleiterscheibe, bei derHerstellung einer elektronischen Anordnung; das Entfernen der Schicht aus Photoresistmaterial wird dabei dadurch erzielt, daß die Photoresistschicht einer ozonhaltigen Gasatmosphäre ausgesetzt wird. Das Ozon ist in der Gasatmosphäre als aktives Reaktionsmittel in einer solchen Menge vorhanden, daß sie zum Reagieren mit dem gesamten Photoresistmaterial in der Schicht ausreicht. Das Substrat, auf dem sich die Photoresistschicht befindet, ist in einer Reaktions-
709808/0804
zone eines Reaktors angeordnet, in die die ozonhaltige Gasatmosphäre eingeführt wird. Gemäß einem speziellen Merkmal wird bei dem Verfahren die Photoresistschicht auf dem Substrat auf eine vorbestimmte Temperatur erwärmt, ehe sie der ozonhaltigen Gasatmosphäre ausgesetzt wird; die vorbestimmte Temperatur, auf die die Photoresistschicht erwärmt wird, liegt dabei im Bereich von 200 bis 2500C. Vor dem Einführen in die Reaktionszone des Reaktors wird die ozonhaltige Gasatmosphäre etwa auf Umgebungstemperatur gehalten, damit ein Zerfallen des Oszons in molekularen Sauerstoff im wesentlichen vermieden wird; die Neigung dazu wird erhöht, wenn das Ozon den erhöhten Temperaturen in der Größenordnung ausgesetzt wird, auf die die zu entfernende Photoresistschicht erwärmt :wird. Im Zusammenhang mit der Erfindung ist es also wichtig, einen ausreichenden Prozentsatz von Ozonmolekülen im Bereich der durch Oxydation zu entfernenden Photoresistschicht aufrecht zu erhalten, indem ein Zerfallen der Ozonmoleküle in molekularen Sauerstoff so gut wie möglich vermieden v/ird, obgleich die hohe Temperatur, auf die die Photoresistschicht erwärmt wird, einen günstigen Einfluß auf das Erleichtern des Entfernens der Photoresistschicht durch das Ozon hat.
Eine Möglichkeit zum Verbessern der Stabilität der Ozonmoleküle in der ozonhaltigen Gasatmosphäre besteht darin, daß ein Wassermantel am Reaktor verwendet wird, der das Einlaßrohr zur Reaktionszone umgibt, durch das die ozonhaltige Gasatmosphäre eingeführt wird. Durch den Wassermantel strömt Wasser, damit das Einlaßrohr und der Vorratsbehälter, in dem sich die ozonhaltige Gasatmosphäre vor dem Einführen in die Reaktionszone des Reaktors befindet, gekühlt werden«
709808/0804
Die ozonhaltige Gasatmosphäre kann auch dadurch etwa auf Umgebungstemperatur gehalten werden, daß ein Reaktor verwendet wird, der mit einzelnen Begrenzungskappen., für die jeweiligen Substrate mit zu entfernenden Photoresistschichten ausgestattet ist. Die einzelnen Begrenzungskappen sind jeweils mit Einlaßdurchführungen versehen und so in der Gehäusewand des Reakto ;s befestigt, daß sie jeweils über mit Photoresistschichten versehenen Substraten hängen. Auf Grund dieser Anordnung kann die ozonhaltige Gasatmosphäre unmittelbar durch die Durchführung in der Begrenzungskappe auf die Photoresistschicht des Substrats gerichtet werden, damit die ozonhaltige Gasatmosphäre der erhöhten Temperatur der Reaktionszone möglichst wenig ausgesetzt wird, ehe die Ozonmoleküle mit der Photoresistschicht in Kontakt kommen.
Beim Einwirken einer ozonhaltigen Gasatmosphäre auf die Photoresistschicht des Substrats erfolgt eine Reaktion, bei der die Photoresistschicht von den Ozonmolekülen oxydiert wird, so daß sie vom Substrat als strömungsfähiges Reaktionsprodukt entfernt wird. Die Reaktionsprodukte werden durch eine Ozonreduktionskammer geleitet, so daß vor dem Auslassen der Abgase an die Umgebungsatmosphäre als Sicherheitsmaßnahme wegen der gefährlichen Eigenschaften von Ozon in den Reaktionsprodukten enthaltenes überschüssiges Ozon zu molekularem Sauerstoff reduziert wird. In einer praktischen Ausführungsform kann die Ozonreduktionskammer katalytisches Material in Form eines Silbernetzes enthalten, damit die Zersetzung von Ozon zu molekularem Sauerstoff erleichtert wird.
709808/0804
Für die Durchführung des Verfahrens zum Photoresistentfernen mittels Ozon geeignete Reaktorvorrichtungen umfassen sowohl chargenweise arbeitende Typen als auch Typen für ein kontinuierliches Substrat.Ein Reaktor mit kontinuierlichem Substratvorschub ist an der Eingangsseite und an der Ausgangsseite der Reaktionskammer, in der die Photoresistschichten von seriell zugeführten Substraten entfernt werden, mit Labyrinthdichtungen ausgestattet. Das Reaktorgehäuse ist dabei so konstruiert, daß es eine äußere Durchführung bildet, in die ein inertes Gas, beispielsweise Stickstoff oder Luft mit einem im Vergleich zum Druck der von der Reaktionszone des Reaktors abgegebenen gasförmigen Reaktionsprodukte erhöhten Druck eingeführt" werden kann. Der Abgasweg für die gasförmigen Reaktionsprodukte und darin enthaltenes überschüssiges Ozon ist innerhalb der das inerte Gas enthaltenden Durchführung angeordnet; die Konstruktion ist dabei so ausgeführt,daß das inerte Gas im Eingangsbereich der Auslaßdurchführung für die Reaktionsprodukte und das überschüssige Ozon mit einem höheren Druck abgegeben wird, so daß aus dem inerten Gas ein Vorhang gebildet wird, der eine Bewegung der Reaktionsprodukte und des überschüssigen Ozons aus der Reaktionszone des Reaktors nach außen blockiert und die Reaktionsprodukte und das überschüssige Ozon zwingt, einem Abströmweg zu folgen, der durch die zur Ozonreduktionskammer führende Auslaßdurchführung verläuft.
Das hier zu beschreibendeOzonbehandlungsverfahren ist bei der Lösung eines Problems von weiterer Bedeutung, das beim Ätzen einer Aluminiumschicht auf einem Substrat aus einem anderen Material, beispielsweise einer Halbleiterscheibe, auftritt, wobei die Aluminiumschicht durch Verwendung einer Photoresistschicht beim Entwickeln mittels eines herkömmlichen
709808/0804
Naßentwicklungsverfahrens zu einem Muster geformt wird. Die Reaktion des typischen Säureätzmittels mit Aluminium verursacht dabei die Erzeugung von Wasserstoffbläschen, die die Neigung zeigen,sich ander Oberfläche der Aluminiumschicht festzusetzen, wodurch frisches Säureätzmittel daran gehindert wird, die Aluminiumoberfläche dort zu erreichen, wo die ¥asserstoffbläschen vorhanden sind. Durch Anbringen solcher mit Aluminiumschichten und entwickelten Photoresistschichten versehenen Scheiben in einer ozonhaltigen Gasatmosphäre für eine kurze Zeitdauer und bei niedriger Temperatur haben Gasbläschen, die sich während der Reaktion des Säureätzmittels mit dem Aluminium bei der Bildung des Musters der Aluminiumschicht.bilden, eine relativ kleine Größe, so daß sie leicht in der Lösung der Reaktionsprodukte aufgelöst v/erden können. Dieses Verfahren arbeitet schnell, und es führt zu einem Entfernen des Photoresistrückstandes', der auf der durch Ätzen zum Reinigen der Scheibe zu entfernenden Aluminiumschicht verbleibt; ferner verbessert es die Glätte des Randes einer Aluminiummusterlinie. Eine solche "Schnellbehandlung mit Ozon kann in relativ kurzer Zeit, beispielsweise 30 Sekunden,erreicht werden, wobei sich Vorteile in zeitlicher Hinsicht und auch hinsichtlich der Bequemlichkeit ergeben, was im Gegensatz zu herkömmlichen Verfahren steht, bei denen verschiedene Benetzungsmittel und ein mechanisches Bewegen der Scheibe als Beitrag zum Entfernen der Wasserstoffbläsehen von der Aluminiumoberfläche angewendet werden.
Das hier zu beschreibende Ozonbehandlungsverfahren zum Entfernen einer Photoresistschicht· setzt den auf dem Substrat verbleibenden Anteil an Photoresistrückstand S1Q herab, daß ein Spülen des Substrats
70 9808/.0 8 OA
mit einer wässrigen nichtätzenden Lösung, beispielsweise heißes deionisiertes Wasser,im wesentliche!das gesamte verbleibende Restmaterial entfernt, so daß es nicht mehr nötig ist, zu diesem Zweck weitere chemische Reaktionsmittel zu verwenden.
Die Erfindung wird nun an Hand der Zeichnung beispielshalber erläutert. Es zeigen:
Fig.1 eine teilweise schematische Schnittansicht einer Ausführungsform eines Reaktors zur Durchführung des Ozonbehandlungsverfahrens zum Entfernen einer Schicht aus Photoresistmaterial von einem Substrat gemäß der Erfindung,
Fig«2 eine teilweise schematische Schnittansicht einer weiteren Ausführungsform eines gemäß der Erfindung *verwendbaren Reaktors,
Fig.3a eine Schnittansicht einer weiteren Ausführungsfprm eines erfindungsgemäßen Reaktors im offenen Zustand,
Fig.3b eine Schnittansicht des Reaktors von Fig.3a in geschlossenem Zustand,
Fig.4 eine teilweise schematische Schnittansicht einer weiteren Ausführungsform eines Reaktors zum Entfernen von Photoresistmaterial von einem Substrat gemäß der Erfindung,
Fig.5 eine teilweise schematische Schnittansicht einer weiteren Ausführungsform eines zum Entfernen einer Schicht aus Photoresistmaterial von einem Substrat verwendeten Reaktors nach der Erfindung,
7098Q8/08CU
Fig.6a einen Längsschnitt eines Substrats mit darauf befindlichen Schichten aus Aluminium und Photoresistmaterial, wobei die Aluminiumschicht mit Hilfe einer ätzenden Säure in ein von der Photoresistschicht bestimmtes Muster zu bringen ist,
Fig.6b einen ähnlichen Längsschnitt wie in Fig.6a, bei dem die Bildung von Wasserstoffbläschen dargestellt ist, die durch die Reaktion von Aluminium mit der ätzenden Säure erzeugt werden,
Fig.6c einen Längsschnitt zur Veranschaulichung einer weiteren Stufe des in den Figuren 6a und 6b dargestellten Verfahrens,
Fig.7 eine Schnittansicht zur Veranschaulichung der Beibehaltung von Aluminiuminseln unter restlichem Photoresistmaterial nach der Beendigung der Ätzschritte in den Figuren 6a und 6c,
Fig. 8 eine Schnittansicht eines Substrats mit daraufbefindlichen Aluminium - und Photoresistschichten nach einer erfindungsgemäßen Ozonbehandlung zur Entfernung restlichen Photoresistmaterials von zu ätzenden Bereichen der Photoresistschicht,
Fig.9a bis 9c Diagramme zur Erläuterung der Beseitigunge-. geschwindigkeit eines positiven Photoresistmaterials von einem Substrat mittels Ozon für verschiedene Temperaturen, auf die die Photoresistschicht erwärmt ist,
Fig.10 ein Diagramm zur Darstellung der Beseitigungsgeschwindigkeit einer Schicht aus positivem Photoresistmaterial, das von dem Material verschieden 709808/0804
263B066
ist, das die in den Diagrammen von Fig.9a bis 9c dargestellten Daten ergabt r wobei die Beseitigungsgeschwindigkeit durch eine Ozonbehandlung bei einer bestimmten Temperatur, auf der die Photoresistschicht erwärmt ist, erhalten wird,
Fig.11a bis 11c Diagramme, die die Beseitigungsgeschwindigkeit einer negativen Photoresistschicht von einem Substrat zeigen,das einer Ozonbehandlung gemäß der Erfindung unterzogen wird, wobei die Reaktion bei unterschiedlichen Temperaturen erfolgt,
Fig.12 ein Diagramm zur Veranschaulichung der Beziehung zwischen der Photoresistbeseitigung und der Ozonkonzentration bei einem positiven Photoresistmaterial ,
Fig.13 ein ähnlihes Diagramm wie Fig.12, das die Beziehung zwischen der Photoresistbeseitigung und der Ozonkonzentration für ein anderes positives Photoresistmaterial zeigt,
Fig.14 ein ähnliches Diagramm wie in den Figuren 12 und 13, das die Beziehung zwischen der Photoresistbeseitigung und der Ozonkonzentration für ein negatives Photoresistmaterial zeigt,
Fig.15 ein Diagramm, das die Beziehung zwischen der Photoresistbeseitigung und der Ozonströmungsmenge für ein positives Photoresistmaterial zeigt,
Fig.16 ein ähnliches Diagramm wie in Fig.15, das die Beziehung zwischen der Photoresistbeseitigung und der
709808/0804
OzonströmungsmBnge für ein anderes positives Photoresistmaterial zeigt,
Fig.17 ein ähnliches Diagramm wie in den Figuren 15 und 16, das die Beziehung zwischen der Photoresistbeseitigung und der Ozonströmungsmenge für ein negatives Photoresistmaterial zeigt,
Fig.18 ein Diagramm, das die Beziehung zwischen der Photoresistbeseitigung und der Verschiebungsgeschwindigkeit des die Photoresistschicht tragenden Substrats durch die Reaktionszone des Reaktors bei Verwendung eines positiven Photoresistmaterials zeigt,
Fig.19 ein ähnliches Diagramm wie in Fig.18, das die Beziehung zwischen der Photoresistbeseitigung und der Substratverschiebungsgeschwindigkeit für ein anderes positives Photoresistmaterial zeigt, und
Fig.20 ein ähnliches Diagramm wie in denFiguren 18 und 19, das die Beziehungen zwischen der Photoresistbeseitigung und der Substratverschiebungsgeschwindigkeit für ein negatives Photoresistmaterial zeigt.
In Fig.1 ist eine Ausführungsform eines Reaktors dargestellt, der sich für die Durchführung des Verfahrens zur Beseitigung einer Photoresistschicht durch Ozonbehandlung nach der Erfindung eignet. Der Reaktor von Fig.1 besteht aus einem aus einzelnen Abschnitten zusammengesetzten Gehäuse, das einen Deckelabschnitt Π aufweist, der mit Hilfe eines Gelenks 13 drehbar mit einem Sockel 12 verbunden ist. Wenn der Deckel 11 seine in Fig.1 dargestelltegeschlossene Stellung einnimmt, dann ist zwischen dem Deckel 11 und dem
709808/08CH
Sockel 12 eine strömungsmitteldichte Abdichtimg mit Hilfe einer (nicht dargestellten) Einrichtung gebildet. Der Deckel 11 ist mit einem in der Mitte seines oberen Wandabschnitts angebrachten Einlaß 14 versehen, der mit einer inneren Reaktionskammer 15 in Verbindung steht. Vorzugsweise ist eine gelochte Diffusionswand 16 vorgesehen, die eine Trennung zwischen oberen und unteren Zonen der Reaktionskammer 15 bildet, damit die Strömung von durch den Einlaß 14 eingeführtenGasen über die Querschnittsfläche der Reaktionskammer 15 begünstigt wird. Auf dem Deckel 11 ist auch ein den Einlaß 14 umgebender Kühlmantel 17 angebracht. Der Kühlmantel 17 enthält einen Einlaß 20 und einen Auslaß 21, damit eine Kühlflüssigkeit oder ein Kühlgas durch den Kühlmantel 17 zur Steuerung der Temperatur der den Einlaß 14 enthaltenden Zone zirkulieren kann. Die Reaktionskammer 15 ist mit einer Auslaßöffnung 22 ausgestattet, die sich unterhalb der gelochten Diffusionswand 16 befindet und mit einem Ozon reduzierenden Gasreiniger 23 in Verbindung steht, der zur Umgebungsatmosphäre offen ist.
Der Sockel 12 des Reaktors 10 dient also Substratträgerfläche, auf der innerhalb der Reaktionskammer 15 des Reaktors 10 in einer Reaktionszone Photoresistschichten tragende Substrate angeordnet sind. Auf den Substraten 24 sind jeweils Photoresistschichten 25 angebracht, die gemäß der Erfindung dadurch beseitigt werden sollen, daß sie einer in die von der Reaktionskammer 15 gebildete Reaktionszone über den Einlaß 14 eingeführten ozonhaltigen Gasatmosphäre ausgesetzt werden, zur Förderung der Photoresistbeseitigung in Anwesenheit von Ozon werden die Substrate 24 vorzugsweise mittels geeigneter Heizvorrichtungen auf
709808/0804
eine erhöhte Temperatur erwärmt. Zu diesem Zweck besteht der Sockel 12 des Reaktors 10 aus einem hitzebeständigen Material, in das ein elektrischer Widerstandsdraht 26 eingebettet ist. Der elektrische Widerstandsdraht 26 ist mit einer geeigneten Energieversorgungsquelle 27 verbunden, damit der Substrat-Trägersockel 12 nach dem Einschalten erwärmt wird.
Bei dem in Fig.1 dargestellten Reaktor 10 müssen die Substrate 24, von denen die Photoresistschichten entfernt werden sollen, von Hand eingegeben und herausgenommen werden. Der Sockel 12 des Reaktors 10 wird auf eine Temperatur im Bereich von 150 bis 2500C erwärmt. Nachdem die Substrate 24 mit den jeweiligen Photoresistschichten 25 auf dem Substratträgersockel 12 angebracht, worden sind, wird der Deckel 11 des Reaktors 10 in die in Fig.1 dargestellte geschlossene .Position gebracht. Durch den Einlaß wird dann in die Reaktionskammer 15 eine ozonhaltige Gasatmosphäre eingeführt, die mit Hilfe der gelochten Diffusionswand 16 gleichmässig über die Oberflächen der jeweiligen Photoresistschichten 25 der Substrate 24 verteilt wird. Wenn die ozonhaltige Gasatmosphäre über den Einlaß 14 in die Kammer 15 eingeführt wird, zirkuliert ein Kühlmittel in dem den Einlaß 14 umgebenden Kühlmantel 17, damit die Ozonmoleküle unmittelbar vor der Einführung der ozonhaltigen Gasatmosphäre in die Kammer 15 etwa auf Umgebungstemperatur gehalten werden. Beim Eintritt in die Reaktionszone greifen die Ozonmoleküle, die in der über den Einlaß 14 eingeführten Gasatmosphäre enthalten sind, die Photoresistschicht · 25 an, was ihre Oxydation und Besei tigung In Form von gasförmigen Reaktionsprodukten bewirkt, die dann zusammen mit überschüssigem Ozon durch die Auslaßöffnung 22 im Deckel 11
7098 0 8/0804
des Reaktors 10 ausströmen. Anschliessend werden die Abströmprodukte einschließlich des überschüssigen Ozons einer geeigneten Ozonreduktionsbehandlung unterzogen, damit überschüssiges Ozon in molekularem Sauerstoff zerlegt wird. Zu diesem Zweck ist an die Auslaßöffnung der Ozongasreiniger 23 zur Aufnahme der Abströmprodukte aus der Kammer 15 angeschlossen, der das Zerlegen des überschüssigen Ozons bewirkt. Für diesen Zweck können auch andere Vorrichtungen eingesetzt werden; beispielsweise können die Abströmprodukte durch eine Naturgasflamme oder durch erwärmtes katalytisches Material wie Kupferoxid geleitet werden.
Eine weitere Ausführungsform eines zur Durchführung der Photoresistbeseitigung von Substraten mittels Ozonbehandlung geeigneten Reaktors ist in Fig.2 dargestellt. Bei dieser und bei weiteren Ausführungsformen der Reaktoren, die in den Figuren 3a, 3b, 4-ind 5 dargestellt sind, ist zu erkennen, daß für Konstruktionselemente, die Konstruktionselementen in der Ausführungsform von Fig.1 entsprechen, gleiche Bezugszeichen verwendet worden sind. Der in Fig.2 dargestellte Reaktor 30 unterscheidet sich vom Reaktor 10 von Fig.1 grundsätzlich dadurch, daß er eine kontinuierliche serielle Zufuhr vonHalbleiterscheiben mit darauf befindlichen Photoresistechichten in die Reaktionszone des Reaktors ermöglicht, die in der Kammer 15 gebildet ist, so daß das hier beschriebene Verfahren zur Resistbeseitigung mittels Ozon an einem automatisierten Fertigungsfließband durchgeführt werden kanno Der Reaktor 30 enthält zu diesem Zweck ein allgemein zylindrisches Gehäuse mit einem im oberen Abschnitt gebildeten Kühlmantel 17, der einen mit der Kammer 15 in Verbindung stehenden Einlaß
709808/0804
- - 19 -
umgibt. Der Kühlmantel 17 ist mit einem Einlaß 20 und einem Auslaß 21 versehen, damit ebenso wie beim Reaktor 10 von Fig.1 ein Kühlmittel wie Wasser um den Einlaß 14 zirkulieren kann.
Der Reaktor 30 enthält ferner Labyrinthdichtungsvorrichtungen an der Eintrittsseite und an der Austrittsseite der Kammer 15J diese Labyrinthdichtungsvorrichtungen an der Eintrittsseite und an der Austrittsseite sind gleichartig aufgebaut, und sie enthalten eine zylindrische Zwischenwand 32, die im radialen Abstand außerhalb des Gehäuses 31 verläuft und mit dem Gehäuse 31 einen ringförmigen Abströmdurchlaß 33 bildet. Der ringförmige Äbströmdurchlaß 33 führt zu einer mit ihm in Verbindung stehenden Ozonreduktionskammer 34, die angrenzend an den Kühlmantel 17 angeordnet ist. Die Ozonreduktionskammer ist vorzugsweise mit einem katalytischen Material, beispielsweise Silberspänen, gefüllt, damit die Zerlegung überschüssiger Ozonmoleküle erleichtert wird, die in den aus der Kammer 15 durch den Abströmdurchlaß 33 abgegebenen gasförmigen Reaktionsprodukten vorhanden sind. Für die Ozonreduktionskammer 34 sind jeweils Auslässe 35 vorgesehen, durch die die Reaktionsprodukte aus dem Reaktor 30 abströmen können, nachdem überschüssiges Ozon, das darin enthalten sein kann, in molekularen Sauerstoff zerlegt worden ist. Die Labyrinthdichtungsvorrichtung enthält außerdem eine zylindrische Außenwand 36, die radial außerhalb der Zwischenwand 32 verläuft und mit dieser eine ringförmige Durchführung 37 radial außerhalb der Abströmdurchführung 33 bildet. Das obere Ende der ringförmigen Durchführung 37 steht mit einer ein inertes Gas abgebenden Quelle in Verbindung; Stickstoff und Luft· sind für diesen Zweck geeignet.
709808/0804
Der Reaktor 30 ist über eine Luftförderbahn 40 so angeordnet, daß sich die unteren Enden des Gehäuses 31, der Zwischenwand 32 und der Außenwand 36 im Abstand davon befinden, so daß Scheiben 24 in die die Reaktionszone des Reaktors 30 bildende Kammer 15 eingeführt und wieder herausgeführt werden können. Die Luftförderbahn ist mit mehreren Öffnungen 41 versehen, die in Richtung der Scheibenbewegung geneigt verlaufen. Die einzelnen Öffnungen 41 sind an eine geeignete Druckluftquelle angeschlossen, damit aus ihnen einzelne Luftstrahlen in einer Richtung ausströmen, die die jeweiligen Scheiben 24 in der Darstellung von Fig.2 von links nach rechts bewegen. In Ausrichtung auf die Kammer 15 des Reaktors enthält die Luftförderbahn 40 eine oder mehrere Luftkissenhaltestationen 42; in Fig.2 sind zwei solche Stationen 42 dargestellt. Jede Luftkissenhaltestation besteht aus einem vertieften Bereich in der Luftförderbahn 40 mit Abmessungen, die geringfügig größer als eine einzelne zu bearbeitende Scheibe 24 sind; sie enthält mehrere im wesentlichen senkrecht zu ihrer Oberfläche verlaufende Gasauslaßöffnungen 43 in Gegenüberstellung zur Scheibe 24. Es sei bemerkt, daß die einzelnen Öffnungen 43 der Luftkissenhaltestation 42 an eine geeignete Druckgasquelle angeschlossen sind, die eine Stickstoffquelle oder eine Ozonquelle sein kann, wobei Ozon dann verwendet wird, wenn das Entfernen von Photoresistmaterial von der Unterfläche der Scheibe 24 zusätzlich zur Entfernung der Photoresistschicht 25 von der Oberseite der Scheibe 24 erforderlich ist. Es sind Vorrichtungen zum Erwärmen der Luftkissenhaltestationen 42 vorgesehen; beispielsweise ist in den auf die Kammer 15 der Luftförderbahn 40 ausgerichteten Abschnitt, der durch die Luftkissenhaltestationen 42
709808/0804
definiert ist, ein elektrischer Widerstandsdraht 26 eingebettet.
Im Betrieb wird der äußeren Durchführung 37 der Labyrinthdichtungsvorrichtungen an der Eingangsseite und an der •Ausgangsseite der Reaktionszone des Reaktors 30, die von der Kammer 15 definiert wird, ein inertes Gas wie Stickstoff oder Luft zugeführt. Das inerte Gas in der Durch-' führung 37 wird bei einem ausreichenden Druck über dem Druck gasförmiger Reaktionsprodukte gehalten, die aus der Kammer 15 abgeführt werden sollen, damit an der Eingangsseite und an der Ausgangsseite der Kammer 15 des Reaktors ein Vorhang aus inertem Gas gebildet wird. Auf diese Weise werden gasförmige Reaktionsprodukte einschließlich überschüssiger Ozonmoleküle beim Entströmen aus der Kammer von dem aus inertem Gas bestehenden Vorhang gezwungen, längs der Abströmdurchführung 33 zur Ozonreduktionskammer zu strömen, bevor sie über die Auslaßöffnungen 35 zur Atmosphäre entweichen.
Aus den schrägen Öffnungen 41 ausströmende Luftstrahlen setzen die einzelnen Halbleiterscheiben 24 in Bewegung. Jede Scheibe 24 bewegt sich längs der Luftförderbahn 40, und sie hält in der Kammer 15 des Reaktors 30 bei der ersten Luftkissenhaltestation 42 an; sie verbleibt dort für eine vorbestimmte Zeitdauer, in der eine ozonhaltige Gasatmosphäre in die Kammer 15 über den Einlaß 14 eingeführt wird. Die Scheibe 24 wird dann zur zweiten Haltestation für eine ebensolche Zeitdauer von beispielsweise 30 Sekunden weiter bewegt, worauf sie längs der Luftförderbahn 40 aus der Kammer 15 heraustransportiert wird, nachdem die Photoresistschicht 25 entfernt worden ist. An beiden Enden der Kammer 15 werden gasförmige Reaktionsprodukte und überschüssiges Ozon in den Abströmdurchlaß 33 abgeführt, wobei
709808/080A
sie von den durch Überdruck gebildeten Vorhang aus inertem Gas auf einem Strömungsweg durch den Abströmdurchlaß 33 beschränkt werden; der Vorhang aus inertem Gas strömt dabei durch die Durchführung 37 nach unten und in entgegengesetzten Richtungen vom unteren Ende nach außen, so daß ein Entweichen der gasförmigen Reaktionsprodukte und des überschüssigen Ozons aus der Kammer 15 zur Atmosphäre verhindert wird. Die von dem Vorhang aus inertem Gas in der Durchführung 37 gebildete Labyrinthdichtungsvorrichtung dichtet die Kammer 15 gegen Fremdstoffe wie Staubteilchen in der Umgebungsatmosphäre ab.
Jede der LuftkissenhalteStationen 42 ist so gebaut, daß sie eine Scheibe 24 festpunktlos auf einem von den senkrechten Öffnungen 43 gebildeten Luftkissen an ihrer Stelle hält. Da die Luftförderbahn 40 durch den elektrischen Widerstandsdraht 26 aufgeheizt ist, wird auch die Scheibe erwärmt, und es erfolgt die Beseitigung des Photoresistmaterials mittels des in der Gasatmosphäre in der Kammer 15 enthaltenen Ozons. Die HalteStationen 42 könnten aber auch einfach dadurch gebildet werden, daß die durch die jeweiligen Öffnungen in der Luftförderbahn 40 strömende Luft abgeschaltet wird, wenn die Scheibe 24 eine bestimmte Stelle in der Kammer 15 erreicht. Auf diese Weise würde die Bewegung der Scheibe 24 längs der Luftförderbahn 40 aufhören, so daß die Scheibe 24 direkt auf der aufgeheizten Luftförderbahn 40 aufliegen würde, während eine Photoresistschicht 25 auf der Scheibe einer Beseitigungsbehandlung mittels Ozon ausgesetzt wird«
In den Figuren 3a und 3b ist eine weitere A,usführungsform eines Reaktors dargestellt, der sich für die Durchführung des Verfahrens eignet, bei dem Photoresistmaterial
709808/0804
von einem Substrat entfernt wird, indem es einer ozonhaltigen Gasatmosphäre ausgesetzt wird. Der Reaktor 50 von Fig.3a und 3b ist aus Abschnitten aufgebaut; er besteht aus oberen und unteren Gehäuseabschnitten 51 bzw. 52, die relativ zueinander zwischen einer offenen und einer geschlossenen Stellung beweglich sind. Fig.3a zeigt die offene Stellung des Reaktors 50, während Fig.3b die geschlossene Stellung zeigt. Der obere Gehäuseabschnitt 51 weist eine Reaktionsbegrenzungskappe 5"3 auf, die in umgekehrter Lage in ihm befestigt ist; die Kappe 53 ist mit einem in der Mitte angebrachten Einlaß 54 versehen, die nach oben verläuft und mit einer eine ozonhaltige Gasatmosphäre abgebenden Quelle in Verbindung steht. Die Kappe 53 weist außerdem eine gelochte Gasdiffusionsplatte 55 auf, die quer über ihrer Mündung angebracht ist.
Der untere Gehäuseabschnitt 52 ist in axialer Richtung in Eingriff und aus dem Eingriff mit dem oberen Gehäuseabs chnitt51 des Reaktors 50 beweglich. Der untere Gehäuseabschnitt 52 kann dazu mit einer geeigneten Antriebsquelle verbunden sein, damit ihm eine solche Bewegung verliehen wird. Beispielsweise kann der untere Gehäuseabschnitt 52 als ein Kolben wirken, der unter dem Einfluß eines am Sockel 56 angreifenden Gasdrucks steht. Ferner enthält der untere Gehäuseabschnitt 52 Substratträgervorrichtungen in Form mehrerer Stifte 57 und eines Umfangsflansches 58, wobei die Stifte 57 und der Flansch 58 einstückig mit dem Sockel 56 verbunden sind und von diesem aus nach oben ragen.
Eine Substratförderbahn 60 verläuft in Längsrichtung durch den Reaktor 50; die Förderbahn 60 weist dabei
709808/0804
öffnungen auf, die sich zur Aufnahme des den Außenumfang des unteren Gehäuseabschnitts 52 bildenden endlosen Flansches 58 sowie der Sübstrathaltestifte 57 eignen. In diesem Zusammenhang sei bemerkt, daß der endlose Flansch 58 des unteren Gehäuseabschnitts 52 im wesentlichen Bündig mit der Förderbahn 60 verläuft, während die Substratträgerstifte 57 in der geöffneten Stellung des Reaktors 50 gemäß Fig.3a unterhalb der Förderbahn liegen. Die Förderbahn 60 enthält außerdem eine Substrathaltestation 61, die radial innerhalb der Substratträgerstifte 57 in Ausrichtung auf die umgekehrte Kappe 53 im oberen Gehäuseabschnitt 51 angeordnet ist. Die Substrathaltestation 61 ist napfförmig ausgebildet, und sie weist quer über ihre Mündung eine Gasdiffusionsplatte 62 sowie eine Einlaßöffnung 63 zum Einführen eines inerten Gases oder einer ozonhaltigen Gasatmosphäre in den Napf 61 auf.
Die Förderbahn 60 kann mit einer geeigneten Antriebsvorrichtung zum kontinuierlichen seriellen Transportieren der Substrate 24 versehen sein. Der Reaktor 50 kann aber auch durch manuelles Eingeben und Entnehmen, eines Substrats mit einer darauf befindlichen, zu entfernenden Photoresistschicht betrieben werden.Auf jedeiFall wird dann, wenn das Substrat 24 auf der napfförmigen Haltestation 61 aufsitzt, ein Steuermechanismus so betätigt, daß eine Relativbewegung zwischen dem oberen Gehäuseabschnitt 51 und dem unteren Gehäuseabschnitt $2 zum Schließen des Reaktors 50 hervorgerufen wird, wobei die in Fig.3b dargestellte Stellung eingenommen wird. Zwischen den oberen und unteren Gehäuseabschnitten 51 bzw. 52 ist eine geeignete Dichtungsvorrichtung vorgesehen,
709808/0804
damit eine s-trömungsmitteldichte Innenkamraer gebildet wird, wobei zwischen der im oberen Gehäuseabschnitt 51 befestigten umgekehrten Kappe 53 und dem an einem Zwischenabschnitt der Förderbahn 60 gebildeten Napf 61 eine Reaktionszone festgelegt wird. Der obere Gehäuseabschnitt 51 kann dabei mit einer endlosen Nut 64 versehen sein, die das Ende des Flansches 58 des unteren Gehäuseabschnitts 52 abdichtend aufnimmt. Gleichzeitig mit der Bewegung des unteren Gehäuseabschnitts 52 in den Abdichteingriff mit dem oberen Gehäuseabschnitt 51 werden die Substratträgerstifte 57 des unteren Gehäuseabschnitts so nach oben bewegt, daß sie sich über das Niveau der Oberfläche der Förderbahn 60 bewegen, damit das darauf befindliche Substrat 64 in eine Abstandslage zur gelochten Gasdiffusionsplatte 62 angehoben wird, die quer über der Mündung des Napfs 61 verläuft. Somit kann eine ozonhaltige Gasatmosphäre über die Öffnung 63 in den Napf 61 eingeführt werden, damit die Photoresistschicht 25 auf der Unterfläche des Substrats 24 zur gleichen Zeit entfernt wird, in der in die umgekehrte Kappe 53 über den Einlaß 54 eine ozonhaltige Atmosphäre zum Entfernen der Photoresistschicht auf der Oberfläche des Substrats 24 eingeführt wird.
Fig.4 zeigt eine weitere Ausführungsform eines Reaktors zur Durchführung des Verfahrens zur Entfernung einer Photoresistschicht von einem Substrat mittels einer Ozonbehandlungο Der Reaktor 70 von Fig.4 ist ein Reaktor für einen chargenweisen Betrieb, wie es auch beim Reaktor 10 von Fig.1 der Fall ist, da einzelne Substrate 24 mit darauf befindlichen Photoresistschichten 25 von Hand in das Gehäuse 71 des Reaktors ^O eingegeben und wieder herausgenommen werden müssen. Die Substrate 24 werden auf einen Sockel 72 gelegt, der
70 9.808/0804
so mit dem Gehäuse 71 zusammenwirkt, daß eine Innenkammer 15 gebildet wird. Das Gehäuse 71 ist lösbar mit dem Sockel verbunden, damit die Substrate eingegeben und herausgenommen werden können. Im Gehäuse 71 sind mehrere umgekehrte Begrenzungskappen 72 so befestigt, daß sie von der Gehäuseoberwand über jeweilige Einlaßdurchführungen 74 nach unten hängen. Die umgekehrten Begrenzungskappen 74 sind so angeordnet, daß sie jeweils Substrate überdecken, die mit zu entfernenden Photoresistschichten 25 versehen sind. Über jeden Einlaß der einzelnen umgekehrten Kappen 73 wird eine ozonhaltige Gasatmosphäre eingeführt, damit die Photoresistschichten 25 auf den Substraten 24 Ozonmolekülen ausgesetzt werden, die unmittelbar nach dem Einführen der ozonhaltigen Gasatmosphäre in die jeweiligen umgekehrten Begrenzungskappen 73 vorhanden sind. Yfie beim Reaktor 10 von Fig.1 besteht auch der Sockel 72 aus hitzebeständigem Material, in das ein elektrischer ¥iderstandsdraht 26 quer zur Längenausdehnung der Innenkammer 15 eingebettet ist, damit die einzelnen Substrate 24 nach ihrem Anbringen in den umgekehrten Kappen 73 und in Ausrichtung mit den jeweiligen Einlassen 74 erwärmt werden. Um die Reaktionskammer 15 herum kann eine endlose Vakuumkammer 75 angeordnet werden,die mit einer Ozonreduktionskammer 76 und einer Unterdruckpumpe 77 in Verbindung steht.
Es ist zu erkennen, daß die gasförmigen Reaktionsprodukte, die sich daraus ergeben, daß die Photoresistschichten 25 auf den Substraten 24den einzelnen Strömen .der ozonhaltigen Gasatmosphäre ausgesetzt werden, auf Grund des von der Unterdruckpumpe 77 erzeugten Unterdrucks in
709808/0804
der die Kammer 15 umgebenden Vakuumkammer 75 abgesaugt werden ·■ Diese gasförmigen Reaktionsprodukte und darin enthaltenen überschüssige Ozonmoleküle werden zunächst durch die Ozonreduktionskammer 56 geleitet, damit das überschüssige Ozon in molekularen Sauerstoff zerlegt wird. Die Vakuumkammer 75 dient also als Dichtungs zwischen dem Gehäuse 71 und dem Sockel 72 des Reaktors 70, wenn die Unterdruckpumpe 77 in Betrieb ist.
Eine weitere Ausführungsform eines Reaktors zur Durchführung des Verfahrens zum Entfernen von Photoresistmaterial durch Ozonbehandlung ist in Fig.5 dargestellt; der dort dargestellte Reaktor 80 gleicht dem Reaktor von Fig.2 mit Ausnahme der Vorrichtung zum Transportieren der Substrate 24 zu und aus der Kammer 15, die die Reaktionszone des Reaktors 80 begrenzt. In Fig.5 ist anstelle der Luftförderbahn des Reaktors 30 von Fig.2 eine geheizte Bahn 81 vorgesehen, auf der ein Scheibenförderbahnd in Form von zwei um motorgetriebene Räder 83 geführten endlosen parallelen Drähten 82 befestigt ist. Es ist zu erkennen, daß die Substrate 24 jeweils auf den parallelen Drähten 82 gehalten sind, die sie hintereinander in die Kammer 15 des Reaktors und aus dieser Kammer befördern, wenn die Räder 82 von einem (nicht darge±ellten)Motor gedreht werden.
Es sei nun ,genauer auf das Ozonbehandlungsverfahren zum Entfernen von Photoresistschichten von Substraten eingegangen; es ist, festgestellt worden, daß die zwei Hauptparameter, die die Beseitigungsgeschwindigkeit der Photoreästschichten beeinflussen, die Temperatur und die Ozonkonzentration sind. Verschiedene Photoresist-
09808/0804
materialien verhalten sich unterschiedlich gegenüber Ozon, und ihre Beseitigungsgeschwindigkeiten unterscheiden sich in großem Umfang hauptsächlich auf Grund der unterschliedlichen chemischen Eigenschaften des Photoresistmaterials und der daraus resultierenden Leichtigkeit oder Schwierigkeit, mit der ihre Oxydation erfolgt. Ein weiterer erschwerender Faktor, der die Beseitigungsgeschwindigkeit des Photoresistmaterials beeinflußt, ist der zurückliegende Veiarbeitungsverlauf der Photoresistschicht selbst. Verlängerte Aushärtungszeiten oder Plasmaentladungen in der Nähe einer Photoresistschicht vor ihrem Entfernen führen zu einer verstärkten Quervernetzung ihres organischen Polymermaterials, wodurch der Widerstand des Photoresists gegen ein Entfernen beim Einwirken von Ozon größer wird. Wie insbesondere die Diagramme der Figuren 9ä "bis 9c und der Figuren 11a bis 11c zeigen, hängt die Beseitigungsgeschwindigkeit des Photoresistmaterials durch eine Ozonbehandlung direkt mit der Temperatur zusammen. So zeigen die Figuren 9a bis 9c eine abnehmende Beseitigungsgeschwindigkeit für das positive Photoresistmaterial "Shipley A-Z 1350", wobei die Substrate, auf denen das Photoresistmaterial angebracht-war, bei fortlaufend niedrigeren Temperaturen gehalten wurde,nämlich bei 2500C in Fig.9a, bei 2300C in Fig.9b und bei 2000C in Fig.9c. Die abnehmende Geschwindigkeit der Photoresistbeseitigung mit abnehmenden Substrattemp erturen ist ohne weiteres erkennbar. Eine ähnliche Beziehung ist in den Diagrammen der Figuren 11a bis 11c dargestellt, bei denen das negative Photoresistmaterial "Waycoat" von Substraten entfernt wurde, die auf fortlaufend höhere Temperaturen erwärmt wurden, nämlich auf 1800C in Fig.11a, auf 2000C in Fig.11b und auf 250°C in Fig.11c. Auch hier nimmt die
709808/0804
Geschwindigkeit der Photoresistbeseitigung mit steigender Substrattemperatur zu. Fig.10 zeigt ein Diagramm für -das positive Fotoresistmaterial GAF PR-301, auf einem bei einer Temperatur von 25O0C gehaltenen Substrat, wobei die Beseitigung des positiven Photoresistmaterials vom Substrat durch Ozonbehandlung in einer mit den Ergebnissen bei den Photoresistmaterialien der Figuren 9a bis 9c und 11a bis 11c vergleichbaren Weise gezeigt ist.
Das Erwärmen des Substrats sollte so schnell wie möglich erfolgen, damit ein Aushärten der Photoresistschicht über eine merkliche Zeitdauer vermjfeden wird, das zu einer unerwünschten Quervernetzung des organischen Polymermaterials führt, die das Beseitigen der Schicht durch Ozonbehandlung hemmt. Die Geschwindigkeit der Beseitigung des Photoresistmaterials durch Ozonbehandlung ist nicht konstant, sondern nimmt mit der Zeit ab. Die Art und Weise, in der die Beseitigungsgeschwindigkeit abnimmt, wird auch vom speziellen Photoresistmaterial und von der Temperatur beeinflußt. Bei niedrigeren Temperaturen zeigt die Beseitigungsgeschwindigkeit der Photoresistschicht durch Ozonbehandlung die Neigung, sich an eine lineare Funktion anzunähern. In jedem der in den Figuren 9a bis 9c, 10 und 11a bis 11c dargestellten Diagramme wurde die Photoresistbeseitigungsgeschwindigkeit der an Hand der nach jeweiligen Durchläufen durch eine Ozonreaktionskammer mit einer Länge von 20 cm verbleibenden Photoresistdicke bestimmt, wobei die Vorschubgeschwindigkeit des Substrats 2,5 mm/s betrug, bei der sich eine Zeit von 80 Sekunden für einen vollständigen Durchlauf durch die Reaktionskammer ergibt. Die Ozonkonzentration wurde auf 72 Milligramm 0, pro Liter Gasatmosphäre in der Reaktionskammer für die Photoresistmaterialien gehalten, die die in den Diagrammen der Figuren 9a bis 9c und 11a bis 11c dargestellten Daten ergaben. Beim Testen des positiven Photoresistmaterials,
709 8 0 8/0804
dessen Daten das Diagramm von Fig.10 bilden, wurde die Ozonkonzentration bei 62,5 Milligramm 0, pro Liter Gasatmosphäre gehalten, während die Vorschubgeschwindigkeit des Substrats ebenfalls bei 80 Sekunden pro vollständigem Durchlauf durch die Reaktionskammer lag.
Zur Durchführung des Verfahrens der Ozonbehandlung in der wirksamsten Weise muß die mit der zu entfernenden Photoresistschicht versehene Scheibe auf einer erhöhten Temperatur gehalten werden, während die Ozonmoleküle in der ozonhaltigen Gasatmosphäre nicht erwärmt auf einer Umgebungstemperatur gehalten werden sollten, bis die ozonhaltige Gasatmosphäre auf die Photoresistschicht der Scheibe geleitet wird. Wenn sich die Ozonmoleküle vor ihrer Berührung mit der Photoresistschicht auf der Scheibe erwärmen können, dann zeigt die ¥ärme die Neigung die Ozonmoleküle zu zersetzen, wodurch die Ozonkonzentration auf für die Photoresistbeseitigung weniger wirksame Werte herabgesetzt wird. Aus diesem Grund sind die in den Figuren 1 bis 5 dargestellten Reaktoren so aufgebaut, daß die ozonhaltige" Gasatmosphäre entweder unmittelbar vor dem Einführen in die Reaktionszone gekühlt wird oder unmittelbar auf die zu entfernende Photoresistschicht für eine minimale Zeitdauer geleitet wird, damit eine Erwärmung der Ozonmoleküle möglichst weitgehend vermieden wird. Der optimale Bereich der Photoresistentfernung durch Ozonbehandlung umfaßt ein Erwärmen der Substrate auf eine Temperatur im Bereich von 200 bis 2500C. Wenn die Temperatur des Substrats unter 2000C gehalten wird, verläuft die Photoresistbeseitigung zu langsam; das gleiche Verhalten tritt bei etwa 2600C auf, wo die Geschwindigkeit der Beseitigung des Photoresistmaterials ebenfalls langsamer wird. Im zuletzt genannten Fall wird theoretisch angenommen, daß bei Temperaturen über 260°G die Ozonmoleküle
709808/0804
die Neigung zum Zersetzen durch die "Heizplatten"-Fläche zeigen, die die Photoresistschicht auf dem Substrat bildet, ehe das Ozon tatsächlich mit dem Photoresistmaterial in Berührung kommt.
Die Ozonkonzentration ist der zweite wichtige Parameter, der die Geschwindigkeit der Photoresistbeseitigung beeinflußt. Dabei nimmt die Beseitigungsgeschwindigkeit mit v einer Zunahme der Ozonkonzentration bis zu einem Punkt zu, an dem die Reaktion zwischen dem Photoresistmaterial und'den Ozonmolekülen nicht mehr konzentrationsbegrenzt ist. Dieser Punkt tritt abhängig vom speziellen Photoresistmaterial bei verschiedenen Ozonkonzentrationen ein. Die in den Figuren 12, 13 und 14 dargestellten Diagramme veranschaulichen diese Beziehung; sie zeigen jeweils die Photoresistbeseitigung bezüglich der Ozonkonzentration für drei verschiedene Photoresistmaterialien. Fig.12 veranschaulicht die Daten, die bei der Beseitigung des positiven Photoresists Shippley A-Z 1350 bei einer Substratvorschubgeschwindigkeit von 2,5 mm/s, einer Substrattemperatur von 2500C und einer- Strömungsgeschwindigkeit der ozonhaltigen Atmosphäre von4 l/min erhalten wurden. Fig.13 veranschaulicht die £>aten, die bei der Beseitigung des positiven Photoresists GAF PR-301 bei einerSubstratvorschubgeschwindigkeit von 2,5 mm/s, einer Substrattemperatur von 2500C und einer Strömungsgeschwindigkeit der ozonhaltigen Atmosphäre von 4 l/min erhalten wurden. Fig.i4 veranschaulicht die Daten, die bei der Beseitigung des negativen Photoresists Waycoat bei einer Substratvorschubgeschwindigkeit von 7»5 mm/s, einer Substrattemperatur von 24O0C und einer Strömungsgeschwindigkeit der ozonhaltigen Atmosphäre von 4 l/min erhalten wurden. Die Diagramme in den Figuren 12, 13 nnd 14 zeigen eine ztjnehmende Photoresistbeseitigungsgeschwindigkeit bei einer
709808/0804
Zunahme der Ozonkoneentration'bis zum Erreichen eines Konzentrationsbegrenzungspunkts , bei dem eine weitere Zunahme der Ozonkonzentration keinen weiteren Einfluß mehr auf die Photoresistbeseitigungsgeschwindigkeit hat. Wie die Figuren 12, 13 un,d 14 zeigen, liegt der Sättigungspunkt der Photoresistbeseitigungsgeschwindigkeiten bei verschiedenen Photoresistmaterialien nicht immer bei der gleichen Ozonkonzentration. Auf Grund dieser , Variation ist es möglich, die Ozonströmungsgeschwindigkeits-Ausgangseigenschaften eines speziellen Ozongenerators wirksamer an ein spezielles Photoresisbmaterial anzupassen.
Ein weiterer Parameter, der die Reaktion beeinflußt, die die Photoresistbeseitigungsgeschwindigkeit durch Ozonbehandlung steuert, ist die Strömungsgeschwindigkeit der ozonhaltigen Gasatmosphäre. Diese Strömungsgeschwindigkeit steuert die Photoresistbeseitigungsreaktion indirekt, indem sie die Reaktionsgeschwindigkeit zu erhöhen trachtet, wenn die Strömungsgeschwindigkeit zunimmt, da mehr Ozonmoleküle an der Oberfläche der Photoresistschicht verfügbar sind. Wenn jedoch die Strömungsgeschwindigkeit der ozonhaltigen Gasatmosphäre genügend auf einen hohen Wert angehoben ist, besteht die Neigung die Oberflächentemperatur des Photoresistmaterials auf der Scheibe herabzusetzen, so daß · eine Abnahme der Geschwindigkeit der Photoresistbeseitigungsreaktion verursacht wird. Bei sehr niedrigen Strömungsgeschwindigkeiten ist die Ozon-Photoresist-Reaktion konzentrationsbegrenzt, da sich das Ozon thermisch verschlechtert und an der Oberfläche des Photoresistmaterials in geringerem Umfang verfügbar ist. Bei einer Erhöhung der Strömungsgeschwindigkeit nimmt auch die Photoresistbeseitigungsgeschwindigkeit zu, bis sie nicht mehr konzentrationsbegrenzt ist, worauf sie relativ konstant bleibt. Eine weitere Zunahme der Strömungsgeschwindigkeit über diesen Punkt hat eine Abnahme der Photoresistbeseitigungsgeschwindigkeit zur Folge, da die Oberfläche des
709808/0804
Photoresistmaterials auf der Scheibe auf Grund der Geschwindigkeit des ozonhaltigen Gasstroms abzukühlen beginnt. Da die die Photoresistbeseitigung beherrschende Reaktion stark von der Temperatur abhängt, nimmt die Photoresistbeseitigungsgäschwindigkeit rasch ab. Die Diagramme der Figuren 15, 16 und 17 zeigen diese Beziehung für das positive Photoresistmaterial Shipley A-Z 1350, das positive Photoresistmaterial GAF PR-301 bzw. für das negative Photoresistmaterial Waycoat. In jedem der Diagramme der Figuren 15, 16 und 17 wurde das Substrat auf einer Temperatur von 2500C gehalten. Die Vorschubgeschwindigkeit des Substrats durch die Reaktionskammer betrug für die in den Figuren 15 und angegebenen Daten 2,5 mm/s und für die in Fig.17 dargestellten Daten 0,81 mra/s.
Die Diagramme in den Figuren 18, 19 und 20 zeigen die Beziehung zwischen der Photoresistbeseitigung und der Vorschubgeschwindigkeit des Substrats durch die Reaktionszone für das positive Photoresistmaterial Shipley A-Z 1350, das positive Photoresistmaterial GAF PR-301 bzw. das negative Photoresistmaterial Waycoat. IDn jedem Fall wurde das Substrat auf einer Temperatur von 2500C gehalten, und die Ozonkonzentration wurde auf 72 mg/l gehalten. Die Kurven in den Diagrammen der Figuren 18, 19 und 20 zeigen, daß die Photoresistbeseitigungsgeschwindigkeit nichtlinear von der Zeit abhängt, wie oben erörtert wurde.
Das Ausmaß des anorganischen Rückstands, der nach der Beseitigung einer Photoresistschicht durch die beschriebene Ozonbehandlung auf einem Substrat zurück-, bleibt, ist bestimmt worden, indem als Wgleichsbasis ein Kriterium herangezogen wurde, das für Metall-Oxid-Halbleiter-Scheiben (MOS-Seheiben) bei einem Beanspruchungs-Standardtestprogramm bei 30O0C angewendet
709808/0804
wird. Eine in einem Photoresistmaterial enthaltene anorganische Verunreinigung verbleibt nach dem Entfernen des Photoresistmaterials entweder durch die hier beschriebene Ozonbehandlung oder durch übliche Verfahren der Photoresistbeseitigung beispielsweise unter Anwendung nasser chemischerLösungsmittel, auf dem Substrat. Bei einem MOS-Chip ist eine solche Verunreinigung auf einer nicht mit Phosphor stabilisierten Gate-Elektrode unannehmbar. Ein Spülen der nichtstabilisierten Gate-Elektrode in heißem entionisiertem Wasser im Anschluß an die Beseitigung einer Photoresistschicht von dem MOS-Chip mittels einer Ozonbehandlung verringert eine solche Verunreinigung auf einen Viert, der mit dem herkömmlichen Reinigungsverfahren vergleichbar ist, das das sogenannte "Piranha"-Photoresistbeseitigüngsverfahren und die herkömmliche MOS-Gate-Reinigungsfolge enthält. Bei dem sogenannten "Piranha"-Photoresistbeseitigungsverfahren wird die Halbleiterscheibe einer Lösung aus Schwefelsäure und Wasserstoffperoxid in einem Verhältnis von etwa 2:1 für die Dauer von 1,5 bis 2 Stunden ausgesetzt, woran sich eine die Anwendung von Salpetersäure in wässriger Lösung erfordernde Reinigungsbehandlung zur Beseitigung der auf dem MOS-Chip verbleibenden Verunreinigung anschließt. Die Überlegenheit der Ozonbehandlung als Mittel zur Photoresistbeseitigung zeigt sich daran, daß ein Spülen des nichtstabilisierten MOS-Gates in heißem entionisiertem Wasser ausreicht, um eine nach der Beseitigung des Photoresistmaterials mittels Ozon verbleibende Restverschmutzung auf einen Wert zu reduzieren, der mit dem beim hier beschriebenen herkömmlichen ."Piranha"-und MOS-Gate-Reinigungsverfahren erzielten Wer-t vergleichbar ist.
709808/0804
Ein weiterer Gesichtspunkt des hier beschriebenen Ozonbehandlung sverf ahrens zum Beseitigen von Photoresist*., material von einem Substrat betrifft eine Ozonbehandlung .nach der Anwendung eines herkömmlichen Naßentwicklungsverfahrens zur Entwicklung eines Photoresistbildes. Die Schärfe eines entwickelten Photoresistbildes bei herkömmlichen Naßentwicklungsverfahren wurde beträchtlich verbessert, indem das entwickelte Photoresistbild einer Gasatmo.sphäre aus Sauerstoff mit einem Anteil von 0,25 bis 1% Ozon für die Dauer von 5 bis 30 Sekunden bei einer Temperatur im Bereich von 1000C bis 1400C ausgesetzt wurde. Der in den Fenstern, d.h. in den Bereichen, in denen das Photoresistmaterial durch die Entwicklung entfernt worden ist, verbleibende Schlammrückstand wurde entfernt und/oder in eine wasserlösliche Verbindung umgewandelt, die sich in einer anschließend zum Ätzen des Musters der Schicht unterhalb der entwickelten Photoresistschicht angewendeten Ätzsäure auflöste. Diese Schnellbehandlung mit Ozon führte zu einer Glättung der Ränder des entwickelten Photoresistmusters, so daß das resultierende geätzte Muster geradlinigere Ränder aufwies.
Eine speziellere Anwendung dieses mit Ozon arbeitenden Verfahrens zum Entschlammen wurde dann erzielt, wenn die unterhalb der Photoresistschicht befindliche Schicht aus Aluminium besteht, das in einer TIL-Ätzlösung (einem Phosphorsäure-, Salpetersäure und Essigsäure-System) geätzt werden soll, wobei die Neigung besteht, daß durch die Ätzreaktion auf der Aluminiumschicht Wasserstoffbläschen entstehen, die sich an der Scheibe festsetzen. Di-eses Problem ist in den Figuren 6a bis 6c graphisch dargestellt, wo ein Halbleitersubstrat 90 mit einer Aluminiumschicht 91 versehen ist, die an einem Abschnitt
709808/0804
mit einer in Form eines Musters ausgeführten Photoresist-Sehicht 92 überzogen ist. Bei der Entwicklung der Photoresistschicht 92 mittels herkömmlicher Naßentwicklungsverfahren bleiben an willkürlichen Stellen in den geöffneten Flächenbereichen der Photoresistschicht 92 und längs des Randes des Photoresistmusters schräg abfallend zur Oberfläche der Aluminiumschicht 91 Restablagerungen des Photoresistmaterials 93 zurück. Wenn die Aluminiumschicht 91 durch Anwendung eher TIL-Ätzmischung geätzt wird, setzen sich Wassetsboffbläschen 94 an der Oberfläche der Aluminiumschicht 91 fest, und sie vergrößern sich während des Prozesses, wie in Fig.6c dargestellt ist, so daß verhindert wird, daß frische Ätzlösung mit der darunter befindlichen Aluminiumschicht 91 in Kontakt kommt. Diese Wasserstoff/bläschen 94 zeigen die Neigung, sich in Bereichen einschließlich des Rückstandes 93 der Photoresistschicht 92 zu konzentrieren, wie Fig.6c zeigt. Nach Beendigung der unter diesen Bedingungen durchgeführten Ätzstufe bleiben unerwünschte Aluminiumabschnitte 95 einschließlich von Inseln aus Aluminium auf Grund der Anwesenheit des Photoreästrückstandes 93 und der Neigung der Wasserstoffbläschen 94, sich daran anzulagern, auf dem Subtrat 90 zurück. Die sich dabei ergebende Halbleiterscheibe ist in Fig.7 dargestellt; sie ist unbrauchbar für die Anwendung.
Fig.8 zeigt einen Schnitt einer Halbleiterscheibe mit einer Aluminiumschicht 91 und einer mit einem Muster versehenen Photoresistschicht 92 auf einem Halbleitersubstrat 90 nach einer Schnellbehandlung mit Ozon zur Entfernung des Photoresistrückstandes ; im übrigen gleicht die Ansicht von Fig.8 der Ansicht von Fig.6a. Dieses
709808/0804
Abschlämmen des Photoresistrückstandes von der Oberfläche der Aluminiumschicht 91 eliminiert das in Fig.7 dargestellte Problem im wesentlichen, da durch die Reaktion zwischen der Oberfläche der Aluminiumschicht 91 und der TIL-Ätzsäure erzeugte Wasserstoffbläschen relativ klein sind und leicht in der Lösung des aufgelösten Aluminiums und des Säureätzmittels nach der Reaktion aufgelöst werden. Halbleiterscheiben mit einer Aluminiumschicht und einer darauf angebrachten, mit einem Muster versehenen Photoresistschicht sind nach.der Schnellbehandlung mit Ozon sauberer, d.h. die Oberfläche der Aluminiumschicht 21 ist reflektierender als eine unbehandelte Aluminiumoberfläche auf der Halbleiterscheibe, wie sie in Fig.6a dargestellt ist. Auf einer Halbleiterscheibe, bei der auf der Aluminiumschicht ein Photore siStruckstand zurückbleibt, bilden sich aus der Reaktion zwischen dem Säureätzmittel und dem Aluminium größere Wasserstoffblasen, die die Neigung zeigen, sich an der Oberfläche der Aluminiumschicht 91 festzusetzen. Im Vergleich dazu führt die Schnellbehandlung der HaIb^ leiterscheibe mit Ozon zur Entfernung des Photoresistrückstandes von der Oberfläche der Aluminiumschicht 91 nach Fig.8a zu allgemein kleineren Wasserstoffbläschen auf der Oberfläche der Aluminiumschicht 91 während des Ätzens in der TIL-Ätzsäure. Diese kleineren Wasserstoffbläschen neigen weniger dazu, sich an der Oberfläche der Aluminiumschicht 91 festzusetzen, so daß sie mit einer geringfügigen Bewegung leicht abgelöst werden können. Halbleiterscheiben mit einer Aluminiumschicht und einer darauf angebrachten, mit einem Muster versehenen Photoresistschicht sind für die Dauer von etwa 30 bis 60 Sekunden bei einer Temperatur von etwa 1300C mit Ozon behandelt worden, damit die Oberfläche der Aluminiumschicht durch Entfernen des zurückbleibenden
70 980 8/080 4
Materialrückstandes von gaaßheten Flächen der entwickelten Photoresistschicht gereinigt wird. Außerdem führt .diese Schnellbehandlung mit Ozon zu einer beträchtlichen Verringerung des "Verschwimmens" des Photoresistmaterials an den Rändern der nach der Entwicklung zurückbleibenden Photoresistmaske. Die Reduzierung dieses "Verschwimmens" erhöht die Schärfe des Photoresistmusterrandes, so daß die Schärfe der Metallkante der Aluminiumschicht nach Beendigung des Ätzens des zu entfernenden Abschnitts der Aluminiumschicht verbessert wird.
Es ist somit zu erkennen, daß ein hochwirksames Verfahren zum Beseitigen von Photoresistmaterial von einem Substrat beschrieben worden ist, bei dem Ozon das sktive /Reaktionsmittel bei der Beseitigung des Photoresistmaterials ist. Das Verfahren kann entweder chargenweise auf eine gegebene Anzahl von Substraten mit zu entfernenden Photoresistschichten während jedes Ozonbehandlungszyklus oder auf einen kontinuierlichen, automatisierten Vorgang angewendet werden, bei dem Substrate mit Photoresistschichten nacheinander in eine Reaktionszone eingeführt und wieder heraustransportiert werden, in der sie dem in einer Gasatmosphäre enthaltenen Ozon ausgesetzt werden. Es sind mehrere Ausführungsformen von Vorrichtungen beschrieben worden, bei denen die verschiedenen Gesichtspunkte dieses Ozonbehandlungsverfahrens zur Photoresistbeseitigung verwirklicht sind.
Die Erfindung ist zwar hier im Zusammenhang mit speziellen Ausführungsbeispielen beschrieben worden, doch sind für den Fachmann Abwandlungen und Änderungen im Rahmen der Erfindung ohne weiteres erkennbar.
709808/0804

Claims (22)

  1. Patentansprüche
    Γ Λ .yVerfahren zinn Entfernen einer Schicht aus Photoresistmaterial von der Oberfläche eines Substrats aus einem anderen Material bei der Herstellung einer elektronischen Anordnung, dadurch gekennzeichnet, daß die Schicht aus Phot©resistmaterial einer Gasatmosphäre ausgesetzt wird, die Ozon als aktives Reaktionsmittel in einer Menge enthält, die zur Reaktion mit dem gesamten Photoresistmaterial der Schicht ausreicht, und daß die Schicht aus Photoresistmaterial von der darunterliegenden Substratoberfläche als Reaktion darauf, daß sie dem Ozon ausgesetzt wird, entfernt wird.
  2. 2. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß das Substrat, auf dem sich die Photoresistschicht befindet, in einer Reaktionszone eines Reaktors angebracht wird, daß die Photoresistschicht der ozonhaltigen Gasatmosphäre ausgesetzt wird, indem diese ozonf* haltige Gasatmosphäre in die Reaktionszone des Reaktors eingeführt wird, und daß überschüssiges Ozon und Reaktionsprodukte einschließlich des Photoresistmaterials der beseitigten Schicht aus dem Reaktor nach außen abgeführt werden.
  3. 3. Verfahren nach Anspruch 2,dadurch gekennzeichnet, daß das nach außen abgeführte überschüssige Ozon und die Reaktionsprodukte vor ihrer Abgabe an die Umgebungs- " atmosphäre durch eine Ozonreduktionskammer zum Reduzieren des Ozonanteils der Abgase zu molekularem Sauerstoffgeleitet werden.
  4. 4. Verfahren nach Anspruch 2, dadurch gekennzeichnet, daß an den Einlaß-und Auslaßseiten der Reaktionszone des Reaktors Gasströme· aus einer, inerten Gasatmosphäre erzeugt
    709808/0804
    v/erden, damit die ozonhaltige Gasatmosphäre nach ihrer Einführung innerhalb der Reaktionszone gehalten wird lind damit die Ableitung des überschüssigen Ozons und der Reaktionsprodukte auf einen vorbestimmten Ableitweg beschränkt wird.
  5. 5. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß die Photoresistschicht auf dem Substrat auf eine vorbestimmte Temperatur erwärmt wird, ehe sie der ozonhaltigen Gasatmosphäre ausgesetzt wird.
  6. 6..Verfahren nach Anspruch 5, dadurch gekennzeichnet, daß die vorbestimmte Temperatur, auf die die Photoresistschicht auf dem Substrat erwärmt wird, im Bereich zwischen 200 und 2500C liegt.
  7. 7. Verfahren nach Anspruch 5, dadurch gekennzeichnet, daß die ozonhaltige Gasatmosphäre etwa auf Umgebungstemperatur gehalten wird, ehe sie zu der Schicht aus Photoresistmaterial geleitet wird.
  8. 8. Verfären nach Anspruch 1, dadurch gekennzeichnet, daß das Substrat nach der Beseitigung der Schicht aus photoresistmaterial zur Entfernung von Materialrückstand mit einer wässrigen, nicht ätzenden Lösung gespült wird.
  9. 9. Verfahren nach Anspruch 8, dadurch gekennzeichnet, daß die wässrige, nichtätzende Lösung, mit der das Substrat gespült wird, heißes entionisiertes Wasser ist.
  10. 10. Verfahren zur Beseitung von Photoresistrückständen bei der Herstellung einer elektronischen Anordnung aus einem Substrat, auf dem eine Schicht eines mit einem Muster zu versehenden Materials und eine in einem
    7 0 9808/0804
    Muster verlaufende Schicht aus Photoresistmaterial über ausgewählten -Abschnitten der Schicht des in ein Muster zu bringenden Materials angebracht sind, dadurch gekennzeichnet, daß die freiliegenden Abschnitte des in ein Muster zu bringenden Materials, auf dem Rückstände aus der Entwicklung des Photoresistmaterials vorhanden sind, einer Gasatmosphäre ausgesetzt werden, die Ozon als aktives Reaktionsmittel enthält, und daß der Rückstand von den freiliegenden Abschnitten der Schicht aus dem in ein Muster zu bringenden Material als Reaktion darauf, daß er Ozon ausgesetzt wird, entfernt wird.
  11. 11. Verfahren nach Anspruch 10, dadurch gekennzeichnet, daß die in ein Muster zu bringende Materialschicht eine Metallschicht ist.
  12. 12. Verfahren nach Anspruch 11, dadurch gekennzeichnet, daß die Metallschicht eine Aluminiumschicht ist.
  13. 13. Vorrichtung zur Behandlung von Photoresistmaterial auf der Oberfläche eines Substrats bei der Herstellung einer elektronischen Anordnung, dadurch gekennzeichnet, daß ein Gehäuse vorgesehen ist, das zur Aufnahme des Substrats mit dem darauf angebrachten, zu behandelnden Photoresistmaterial mit einer inneren Reaktionskammer versehen ist, daß das Gehäuse mit der Reaktionskammer in Verbindung stehende Einlaß- und Auslaßeinrichtungen aufweist, daß mit der Einlaßeinrichtung eine Quelle in Verbindung steht, die zur Einführung in die Reaktionskammer eine ein aktives Reaktionsmittel enthaltende Gasatmosphäre abgibt, daß zur Erwärmung des Substrats, auf dem das zu behandelnde Photoresistmaterial angebracht
    7 0.98 08/08 OA
    ist, eine Heizvorrichtung vorgesehen ist, daß das Gehäuse derart aufgebaut ist, daß die das aktive Reaküonsmittel enthaltende Gasatmosphäre unmittelbar vor dem Einführen in die Reaktionskammer über die Einlaßeinrichtung etwa auf Umgebungstemperatur gehalten wird und daß außerhalb der Reaktionskammer eine mit der Auslaßeinrichtung in Verbindung stehende Behandlungsvorrichtung vorgesehen ist, die die Reaktionsprodukte und überschüssiges aktives Reaktionsmittel aus der Reaktionskammer über die Auslaßeinrichtung empfängt und das in den Reaktionsprodukten * enthaltene überschüssige aktive Reaktionsmittel in einen weniger aktiven Bestandteil vor dem Abführen der Reaktionsprodukte zur Atmosphäre ändert.
  14. 14. Vorrichtung nach Anspruch 13, dadurch gekennzeichnet, daß das Gehäuse mit einem die Einlaßeinrichtung umgebenden Kühlmantel versehen ist, der zur Durchleitung eines Kühlmittels mit einem Einlaß und einem Auslaß versehen ist, wobei die Einlaßeinrichtung gekühlt wird, damit die das aktive Reaktionsmittel enthaltende Gasatmosphäre bei ihrem Durchlauf durch die Einlaßeinrichtung in die Reaktionskammer etwa auf Umgebungstemperatur gehalten wird.
  15. 15. Vorrichtung nach Anspruch 13, gekennzeichnet durch einen in der Reaktionskammer angebrachten Substratträger, auf dem das Substrat angeordnet werden kann, auf dem sich das zu behandelnde Photoresistmaterial befindet.
  16. 16. Vorrichtung nach Anspruch 15,dadurch gekennzeichnet, daß der Substratträger innerhalb der Reaktionskammer eine Haltestationsfläche enthält, in der eine Öffnung angebracht ist, und daß der Substratträger ferner aus einer Druckgasquelle besteht, die mit der Öffnung in Verbindung steht, damit quer über der Haltestationsfläche ein Luftkissen
    709808/0 80 4
    zum Halten eines Substrats im Abstand von der Haltestationsflache gebildet wird.
  17. 17. Vorrichtung nach Anspruch 16, dadurch gekennzeichnet, daß die Druckgasquelle das gleiche aktive Reaktionsmittöl wie die die Gasatmosphäre abgebende Quelle enthält.
  18. 18. Vorrichtung nach Anspruch 13, dadurch gekennzeichnet, daß in der Reaktionskammer des Gehäuses wenigstens eine
    • Begrenzungskappe angebracht ist, die umgekehrt von der Oberseite des Gehäuses nach unten hängend so angeordnet ist, daß sie im Abstand über einem Substrat-Aufnahmebereich der Reaktionskammer liegt, und daß die Einlaßeinrichtung von der Oberseite des Gehäuses durch die Begrenzung.skappe verläuft und mit dem Substrataufnahmebereich der Reaktionskammer in Verbindung steht.
  19. 19. Vorrichtung nach Anspruch 18, dadurch gekennzeichnet,
    daß in der Reaktionskammer des Gehäuses mehrere Begrenzungskappen angebracht sind, die umgekehrt von der Oberseite des Gehäuses aus im Abstand voneinander nach unten hängend so angeordnet sind, daß sie im Abstand über jeweiligen . Substrataufnahmebereichen der Reaktionskammer liegen, und daß die Einlaßeinrichtung für jede der Begrenzungskappen einzelne Einlasse aufweist, die durch die jeweiligen Begrenzungskappen von der Oberseite des Gehäuses aus so verlaufen, daß sie mit den entsprechenden Substrataufnahmebereichen der Reaktionskammer in Verbindung stehen.
  20. 20. Vorrichtung nach Anspruch 13, gekennzeichnet durch eine Fördervorrichtung zum aufeinanderfolgenden Transportieren von Substraten mit einem darauf befindlichen, zu behandelnden Ehotoresistmaterial in die Reaktionskammer des Gehäuses und aus dieser Reaktionskammer.
    70a808/0804
  21. 21. Vorrichtung nach Anspruch 20, dadurch gekennzeichnet, daß die Substratfördervorrichtung zwei endlose Förderdrähte aufweist, daß zwei drehbare Räder jeweils dicht beim Eingang und beim Ausgang der Reaktionskammer angeordnet sind, daß die F£rderdrähte um die Räder geführt sind und durch die Länge der Reaktionskammer parallel zueinander so verlaufen, daß sie im Abstand voneinander liegende Träger zur Aufnahme von Substraten bilden, und daß eine Antriebsvorrichtung vorgesehen ist, die die Räder zum Bewegen der um sie geführten Drähte dreht.
  22. 22. Vorrichtung nach Anspruch 20, dadurch gekennzeichnet, daß das Gehäuse eine mit der Substratfördervorrichtung zusammenwirkende Labyrinthdichtungseinrichtung enthält, die am Eingang und am Ausgang der Reaktionskammer eine Strömungsmitteldichtung bildet, die ein Ausströmen von Reaktionsprodukten und von überschüssigem aktivem Reduktionsmittel aus der Reaktionskammer zur Atmosphäre verhindert.
    70980 8/0804
DE19762635066 1975-08-04 1976-08-04 Verfahren zum entfernen einer photoresistschicht von einer substratoberflaeche und vorrichtung zur durchfuehrung des verfahrens Pending DE2635066A1 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US05/601,861 US4341592A (en) 1975-08-04 1975-08-04 Method for removing photoresist layer from substrate by ozone treatment

Publications (1)

Publication Number Publication Date
DE2635066A1 true DE2635066A1 (de) 1977-02-24

Family

ID=24409056

Family Applications (1)

Application Number Title Priority Date Filing Date
DE19762635066 Pending DE2635066A1 (de) 1975-08-04 1976-08-04 Verfahren zum entfernen einer photoresistschicht von einer substratoberflaeche und vorrichtung zur durchfuehrung des verfahrens

Country Status (6)

Country Link
US (1) US4341592A (de)
JP (1) JPS5220766A (de)
DE (1) DE2635066A1 (de)
FR (1) FR2320579A1 (de)
NL (1) NL7608504A (de)
SE (1) SE7608677L (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0061350A1 (de) * 1981-03-25 1982-09-29 Hitachi, Ltd. Verfahren zur Herstellung von Mustern

Families Citing this family (352)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4296146A (en) * 1977-12-02 1981-10-20 Texas Instruments Incorporated Method for removing resist layer from substrate with combustible gas burnoff
JPS5487477A (en) * 1977-12-23 1979-07-11 Kokusai Electric Co Ltd Device for etching and stripping semiconductor wafer
JPS5571027A (en) * 1978-11-24 1980-05-28 Hitachi Ltd Continuous surface treatment apparatus
JPS57205769A (en) * 1981-06-15 1982-12-16 Fuji Electric Corp Res & Dev Ltd Base material reproducting method of electrophotographic receptor
US4600471A (en) * 1981-08-26 1986-07-15 Integrated Automation, Limited Method and apparatus for transport and processing of substrate with developing agent
NL8300649A (nl) * 1983-02-21 1984-09-17 Integrated Automation Methode en inrichting voor het aanbrengen van een coating op een substraat of tape.
US4521268A (en) * 1981-08-26 1985-06-04 Edward Bok Apparatus for deposition of fluid and gaseous media on substrates
US4392915A (en) * 1982-02-16 1983-07-12 Eaton Corporation Wafer support system
US4585920A (en) * 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
JPS59201438A (ja) * 1983-04-28 1984-11-15 Toshiba Corp ウエ−ハ移し換え装置
NL8302163A (nl) * 1983-06-16 1985-01-16 Bok Edward Verbeterde proces installatie met "floating" transport van substraten.
JPS60149132A (ja) * 1984-01-17 1985-08-06 Wakomu:Kk 半導体処理装置
JPS61194830A (ja) * 1985-02-25 1986-08-29 Dainippon Screen Mfg Co Ltd 基板の有機物除去装置
US4699689A (en) * 1985-05-17 1987-10-13 Emergent Technologies Corporation Method and apparatus for dry processing of substrates
JPH0628254B2 (ja) * 1985-07-19 1994-04-13 フュージョン・システムズ・コーポレーション フオトレジストの剥離装置
JPS6236826A (ja) * 1985-08-09 1987-02-17 Tokyo Electron Ltd アツシング方法
JPH0533006Y2 (de) * 1985-10-28 1993-08-23
JPS62165923A (ja) * 1986-01-17 1987-07-22 Tokyo Electron Ltd アツシング方式
JPH0754808B2 (ja) * 1986-01-17 1995-06-07 東京エレクトロン株式会社 アッシング方法
JP2562578B2 (ja) * 1986-01-17 1996-12-11 東京エレクトロン株式会社 アッシング装置
JP2554857B2 (ja) * 1986-01-17 1996-11-20 東京エレクトロン 株式会社 アツシング装置
JP2572568B2 (ja) * 1986-01-17 1997-01-16 東京エレクトロン 株式会社 アッシング方法
JPS62195662A (ja) * 1986-02-24 1987-08-28 Seiko Instr & Electronics Ltd マスクリペア方法及び装置
JP2588508B2 (ja) * 1986-05-23 1997-03-05 日立東京エレクトロニクス株式会社 処理装置
JP2544121B2 (ja) * 1986-11-25 1996-10-16 東京エレクトロン 株式会社 アッシング装置
JPH06103661B2 (ja) * 1986-12-25 1994-12-14 東京エレクトロン株式会社 アツシング装置
US4812201A (en) * 1986-07-25 1989-03-14 Tokyo Electron Limited Method of ashing layers, and apparatus for ashing layers
JPH0748466B2 (ja) * 1986-07-25 1995-05-24 東京エレクトロン株式会社 半導体ウェハの処理装置
US4885047A (en) * 1986-08-11 1989-12-05 Fusion Systems Corporation Apparatus for photoresist stripping
US4749640A (en) * 1986-09-02 1988-06-07 Monsanto Company Integrated circuit manufacturing process
JPS6364327A (ja) * 1986-09-04 1988-03-22 Chlorine Eng Corp Ltd 有機物被膜の除去装置の洗浄方法
JPH0777189B2 (ja) * 1986-10-16 1995-08-16 クロリンエンジニアズ株式会社 有機物被膜の除去方法
JPH0810688B2 (ja) * 1986-11-17 1996-01-31 東京エレクトロン株式会社 アッシング装置
JPS63178525A (ja) * 1987-01-20 1988-07-22 Nippon Denshi Zairyo Kk ホトレジスト除去装置
JPH0810690B2 (ja) * 1987-01-29 1996-01-31 東京エレクトロン株式会社 アッシング方法及びアッシング装置
JPH0766916B2 (ja) * 1987-02-13 1995-07-19 東京エレクトロン株式会社 アッシング方法
JPS63202918A (ja) * 1987-02-18 1988-08-22 Tokyo Electron Ltd オゾン分解器
JPS63208049A (ja) * 1987-02-24 1988-08-29 Nec Corp 半導体製造用マスクの製造方法およびその装置
US4976920A (en) * 1987-07-14 1990-12-11 Adir Jacob Process for dry sterilization of medical devices and materials
US5087418A (en) * 1987-02-25 1992-02-11 Adir Jacob Process for dry sterilization of medical devices and materials
US4801427A (en) * 1987-02-25 1989-01-31 Adir Jacob Process and apparatus for dry sterilization of medical devices and materials
US4943417A (en) * 1987-02-25 1990-07-24 Adir Jacob Apparatus for dry sterilization of medical devices and materials
US5200158A (en) * 1987-02-25 1993-04-06 Adir Jacob Process and apparatus for dry sterilization of medical devices and materials
US4818488A (en) * 1987-02-25 1989-04-04 Adir Jacob Process and apparatus for dry sterilization of medical devices and materials
US4917586A (en) * 1987-02-25 1990-04-17 Adir Jacob Process for dry sterilization of medical devices and materials
US5171525A (en) * 1987-02-25 1992-12-15 Adir Jacob Process and apparatus for dry sterilization of medical devices and materials
US4931261A (en) * 1987-02-25 1990-06-05 Adir Jacob Apparatus for dry sterilization of medical devices and materials
JPS63250125A (ja) * 1987-04-06 1988-10-18 Nec Yamagata Ltd 半導体装置の製造方法
JPS63276225A (ja) * 1987-05-08 1988-11-14 Tokyo Electron Ltd アッシング装置
JPS6428920A (en) * 1987-07-24 1989-01-31 Tokyo Electron Ltd Ashing device
US4845053A (en) * 1988-01-25 1989-07-04 John Zajac Flame ashing process for stripping photoresist
US4936772A (en) * 1988-01-25 1990-06-26 John Zajac Flame ashing process and apparatus for stripping photoresist
JP2663483B2 (ja) * 1988-02-29 1997-10-15 勝 西川 レジストパターン形成方法
US4869777A (en) * 1988-12-16 1989-09-26 Ibm Corporation Method for selectively etching the materials of a composite of two materials
US5868854A (en) * 1989-02-27 1999-02-09 Hitachi, Ltd. Method and apparatus for processing samples
US5071485A (en) * 1990-09-11 1991-12-10 Fusion Systems Corporation Method for photoresist stripping using reverse flow
JPH07118522B2 (ja) * 1990-10-24 1995-12-18 インターナショナル・ビジネス・マシーンズ・コーポレイション 基板表面を酸化処理するための方法及び半導体の構造
US5082518A (en) * 1990-10-29 1992-01-21 Submicron Systems, Inc. Sparger plate for ozone gas diffusion
JP2727481B2 (ja) * 1992-02-07 1998-03-11 キヤノン株式会社 液晶素子用ガラス基板の洗浄方法
US6391117B2 (en) 1992-02-07 2002-05-21 Canon Kabushiki Kaisha Method of washing substrate with UV radiation and ultrasonic cleaning
US5417826A (en) * 1992-06-15 1995-05-23 Micron Technology, Inc. Removal of carbon-based polymer residues with ozone, useful in the cleaning of plasma reactors
US5346586A (en) * 1992-12-23 1994-09-13 Micron Semiconductor, Inc. Method for selectively etching polysilicon to gate oxide using an insitu ozone photoresist strip
JPH0786271A (ja) * 1993-09-17 1995-03-31 Fujitsu Ltd シリコン酸化膜の作製方法
US5672539A (en) * 1994-01-14 1997-09-30 Micron Technology, Inc. Method for forming an improved field isolation structure using ozone enhanced oxidation and tapering
JPH0878372A (ja) * 1994-09-01 1996-03-22 Hitachi Ltd 有機物除去方法及びその装置
US5704986A (en) * 1995-09-18 1998-01-06 Taiwan Semiconductor Manufacturing Company Ltd Semiconductor substrate dry cleaning method
US5709754A (en) * 1995-12-29 1998-01-20 Micron Technology, Inc. Method and apparatus for removing photoresist using UV and ozone/oxygen mixture
US6245155B1 (en) * 1996-09-06 2001-06-12 Arch Specialty Chemicals, Inc. Method for removing photoresist and plasma etch residues
US6306564B1 (en) 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
TW539918B (en) 1997-05-27 2003-07-01 Tokyo Electron Ltd Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
US6500605B1 (en) 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
IT1293021B1 (it) * 1997-07-10 1999-02-11 Sme Elettronica Spa Modulo di potenza a semiconduttori.
US5849639A (en) * 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
JPH11176731A (ja) * 1997-12-15 1999-07-02 Nitto Denko Corp レジスト除去装置
US5962345A (en) * 1998-07-13 1999-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method to reduce contact resistance by means of in-situ ICP
US6277753B1 (en) 1998-09-28 2001-08-21 Supercritical Systems Inc. Removal of CMP residue from semiconductors using supercritical carbon dioxide process
US7064070B2 (en) * 1998-09-28 2006-06-20 Tokyo Electron Limited Removal of CMP and post-CMP residue from semiconductors using supercritical carbon dioxide process
JP3869566B2 (ja) * 1998-11-13 2007-01-17 三菱電機株式会社 フォトレジスト膜除去方法および装置
US6790783B1 (en) * 1999-05-27 2004-09-14 Micron Technology, Inc. Semiconductor fabrication apparatus
CA2273717C (en) * 1999-06-04 2004-01-06 Ibm Canada Limited-Ibm Canada Limitee Method and apparatus for continuous cleaning of substrate surfaces using ozone
JP3348695B2 (ja) 1999-06-04 2002-11-20 日本電気株式会社 半導体ウェーハ上のフォトレジスト除去方法及び除去装置
US6376387B2 (en) 1999-07-09 2002-04-23 Applied Materials, Inc. Method of sealing an epitaxial silicon layer on a substrate
KR100735876B1 (ko) * 1999-07-30 2007-07-06 동경 엘렉트론 주식회사 기판처리방법 및 기판처리장치
US6492186B1 (en) 1999-08-05 2002-12-10 Eaton Corporation Method for detecting an endpoint for an oxygen free plasma process
US6281135B1 (en) 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
AU1340301A (en) * 1999-10-19 2001-04-30 Phifer Smith Corporation A method and apparatus for treating a substrate with an ozone-solvent solution
US6982006B1 (en) 1999-10-19 2006-01-03 Boyers David G Method and apparatus for treating a substrate with an ozone-solvent solution
AU4902201A (en) 1999-11-02 2001-07-03 Tokyo Electron Limited Method and apparatus for supercritical processing of a workpiece
US6748960B1 (en) * 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
US6547458B1 (en) 1999-11-24 2003-04-15 Axcelis Technologies, Inc. Optimized optical system design for endpoint detection
IL152376A0 (en) * 2000-04-25 2003-05-29 Tokyo Electron Ltd Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
EP1303870A2 (de) 2000-07-26 2003-04-23 Tokyo Electron Limited Hochdrucksbehandlungskammer für halbleiterscheiben
US6740597B1 (en) * 2000-08-31 2004-05-25 Micron Technology, Inc. Methods of removing at least some of a material from a semiconductor substrate
US6558477B1 (en) 2000-10-16 2003-05-06 Micron Technology, Inc. Removal of photoresist through the use of hot deionized water bath, water vapor and ozone gas
TWI251506B (en) * 2000-11-01 2006-03-21 Shinetsu Eng Co Ltd Excimer UV photo reactor
US6524936B2 (en) * 2000-12-22 2003-02-25 Axcelis Technologies, Inc. Process for removal of photoresist after post ion implantation
WO2002054472A1 (fr) * 2000-12-28 2002-07-11 Yoshiharu Yamamoto Appareil pour nettoyer une plaquette de semi-conducteur
US6436194B1 (en) 2001-02-16 2002-08-20 Applied Materials, Inc. Method and a system for sealing an epitaxial silicon layer on a substrate
WO2002089532A1 (en) * 2001-04-26 2002-11-07 Phifer Smith Corporation A method and apparatus for heating a gas-solvent solution
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
US6779226B2 (en) * 2001-08-27 2004-08-24 Applied Materials, Inc. Factory interface particle removal platform
US20040040660A1 (en) * 2001-10-03 2004-03-04 Biberger Maximilian Albert High pressure processing chamber for multiple semiconductor substrates
US20040016450A1 (en) * 2002-01-25 2004-01-29 Bertram Ronald Thomas Method for reducing the formation of contaminants during supercritical carbon dioxide processes
US7001468B1 (en) 2002-02-15 2006-02-21 Tokyo Electron Limited Pressure energized pressure vessel opening and closing device and method of providing therefor
US6924086B1 (en) 2002-02-15 2005-08-02 Tokyo Electron Limited Developing photoresist with supercritical fluid and developer
US20030155541A1 (en) * 2002-02-15 2003-08-21 Supercritical Systems, Inc. Pressure enhanced diaphragm valve
AU2003217547A1 (en) * 2002-02-15 2003-09-09 Supercritical Systems Inc. Drying resist with a solvent bath and supercritical co2
US7387868B2 (en) * 2002-03-04 2008-06-17 Tokyo Electron Limited Treatment of a dielectric layer using supercritical CO2
EP1481284A4 (de) * 2002-03-04 2006-10-25 Tokyo Electron Ltd Verfahren zur passivierung von materialien mit niedrigem dielektrikum bei der waferverarbeitung
US20050227187A1 (en) * 2002-03-04 2005-10-13 Supercritical Systems Inc. Ionic fluid in supercritical fluid for semiconductor processing
CN1642665A (zh) * 2002-03-22 2005-07-20 东京毅力科创株式会社 用超临界工艺清除杂质
US7169540B2 (en) * 2002-04-12 2007-01-30 Tokyo Electron Limited Method of treatment of porous dielectric films to reduce damage during cleaning
US20070066076A1 (en) * 2005-09-19 2007-03-22 Bailey Joel B Substrate processing method and apparatus using a combustion flame
JP3953361B2 (ja) * 2002-05-08 2007-08-08 東京エレクトロン株式会社 基板処理装置および基板処理方法
US20040159335A1 (en) * 2002-05-17 2004-08-19 P.C.T. Systems, Inc. Method and apparatus for removing organic layers
US7018517B2 (en) * 2002-06-21 2006-03-28 Applied Materials, Inc. Transfer chamber for vacuum processing system
US20040099283A1 (en) * 2002-11-26 2004-05-27 Axcelis Technologies, Inc. Drying process for low-k dielectric films
US20040177867A1 (en) * 2002-12-16 2004-09-16 Supercritical Systems, Inc. Tetra-organic ammonium fluoride and HF in supercritical fluid for photoresist and residue removal
US20040112409A1 (en) * 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US7021635B2 (en) * 2003-02-06 2006-04-04 Tokyo Electron Limited Vacuum chuck utilizing sintered material and method of providing thereof
US20040154647A1 (en) * 2003-02-07 2004-08-12 Supercritical Systems, Inc. Method and apparatus of utilizing a coating for enhanced holding of a semiconductor substrate during high pressure processing
US7077917B2 (en) * 2003-02-10 2006-07-18 Tokyo Electric Limited High-pressure processing chamber for a semiconductor wafer
US7225820B2 (en) * 2003-02-10 2007-06-05 Tokyo Electron Limited High-pressure processing chamber for a semiconductor wafer
TW594337B (en) * 2003-02-14 2004-06-21 Quanta Display Inc Method of forming a liquid crystal display panel
US7270137B2 (en) * 2003-04-28 2007-09-18 Tokyo Electron Limited Apparatus and method of securing a workpiece during high-pressure processing
US20040231707A1 (en) * 2003-05-20 2004-11-25 Paul Schilling Decontamination of supercritical wafer processing equipment
US8580076B2 (en) 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US7163380B2 (en) * 2003-07-29 2007-01-16 Tokyo Electron Limited Control of fluid flow in the processing of an object with a fluid
US20050022850A1 (en) * 2003-07-29 2005-02-03 Supercritical Systems, Inc. Regulation of flow of processing chemistry only into a processing chamber
US20050034660A1 (en) * 2003-08-11 2005-02-17 Supercritical Systems, Inc. Alignment means for chamber closure to reduce wear on surfaces
US20050035514A1 (en) * 2003-08-11 2005-02-17 Supercritical Systems, Inc. Vacuum chuck apparatus and method for holding a wafer during high pressure processing
US20050067002A1 (en) * 2003-09-25 2005-03-31 Supercritical Systems, Inc. Processing chamber including a circulation loop integrally formed in a chamber housing
US7186093B2 (en) * 2004-10-05 2007-03-06 Tokyo Electron Limited Method and apparatus for cooling motor bearings of a high pressure pump
US20050130420A1 (en) * 2003-12-10 2005-06-16 Huang Chih Y. Cleaning method using ozone DI process
US7821655B2 (en) * 2004-02-09 2010-10-26 Axcelis Technologies, Inc. In-situ absolute measurement process and apparatus for film thickness, film removal rate, and removal endpoint prediction
US20050241767A1 (en) * 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
CN101866828B (zh) * 2004-06-02 2013-03-20 应用材料公司 电子装置制造室及其形成方法
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
US20050279453A1 (en) * 2004-06-17 2005-12-22 Uvtech Systems, Inc. System and methods for surface cleaning
US7250374B2 (en) 2004-06-30 2007-07-31 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing
WO2006026765A2 (en) * 2004-09-01 2006-03-09 Axcelis Technologies, Inc. Plasma ashing process for increasing photoresist removal rate and plasma apparatus wuth cooling means
US7338575B2 (en) * 2004-09-10 2008-03-04 Axcelis Technologies, Inc. Hydrocarbon dielectric heat transfer fluids for microwave plasma generators
US7307019B2 (en) 2004-09-29 2007-12-11 Tokyo Electron Limited Method for supercritical carbon dioxide processing of fluoro-carbon films
US20060065189A1 (en) * 2004-09-30 2006-03-30 Darko Babic Method and system for homogenization of supercritical fluid in a high pressure processing system
US7491036B2 (en) 2004-11-12 2009-02-17 Tokyo Electron Limited Method and system for cooling a pump
US20060102282A1 (en) * 2004-11-15 2006-05-18 Supercritical Systems, Inc. Method and apparatus for selectively filtering residue from a processing chamber
US7434590B2 (en) 2004-12-22 2008-10-14 Tokyo Electron Limited Method and apparatus for clamping a substrate in a high pressure processing system
US7140393B2 (en) 2004-12-22 2006-11-28 Tokyo Electron Limited Non-contact shuttle valve for flow diversion in high pressure systems
US7435447B2 (en) 2005-02-15 2008-10-14 Tokyo Electron Limited Method and system for determining flow conditions in a high pressure processing system
US7291565B2 (en) 2005-02-15 2007-11-06 Tokyo Electron Limited Method and system for treating a substrate with a high pressure fluid using fluorosilicic acid
US20060186088A1 (en) * 2005-02-23 2006-08-24 Gunilla Jacobson Etching and cleaning BPSG material using supercritical processing
US20060185694A1 (en) * 2005-02-23 2006-08-24 Richard Brown Rinsing step in supercritical processing
US20060185693A1 (en) * 2005-02-23 2006-08-24 Richard Brown Cleaning step in supercritical processing
US7550075B2 (en) * 2005-03-23 2009-06-23 Tokyo Electron Ltd. Removal of contaminants from a fluid
US7380984B2 (en) * 2005-03-28 2008-06-03 Tokyo Electron Limited Process flow thermocouple
US7767145B2 (en) * 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
US20060226117A1 (en) * 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US20060225772A1 (en) * 2005-03-29 2006-10-12 Jones William D Controlled pressure differential in a high-pressure processing chamber
US20060219268A1 (en) * 2005-03-30 2006-10-05 Gunilla Jacobson Neutralization of systemic poisoning in wafer processing
US7442636B2 (en) * 2005-03-30 2008-10-28 Tokyo Electron Limited Method of inhibiting copper corrosion during supercritical CO2 cleaning
US7494107B2 (en) * 2005-03-30 2009-02-24 Supercritical Systems, Inc. Gate valve for plus-atmospheric pressure semiconductor process vessels
US7399708B2 (en) * 2005-03-30 2008-07-15 Tokyo Electron Limited Method of treating a composite spin-on glass/anti-reflective material prior to cleaning
US20060223899A1 (en) * 2005-03-30 2006-10-05 Hillman Joseph T Removal of porogens and porogen residues using supercritical CO2
US7972441B2 (en) * 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US7524383B2 (en) 2005-05-25 2009-04-28 Tokyo Electron Limited Method and system for passivating a processing chamber
US20070000519A1 (en) * 2005-06-30 2007-01-04 Gunilla Jacobson Removal of residues for low-k dielectric materials in wafer processing
KR100706790B1 (ko) * 2005-12-01 2007-04-12 삼성전자주식회사 산화 처리 장치 및 방법
TWI283005B (en) * 2005-12-28 2007-06-21 Au Optronics Corp Low-pressure process apparatus
US20080296258A1 (en) * 2007-02-08 2008-12-04 Elliott David J Plenum reactor system
US20100212591A1 (en) * 2008-05-30 2010-08-26 Alta Devices, Inc. Reactor lid assembly for vapor deposition
US8847249B2 (en) 2008-06-16 2014-09-30 Soraa, Inc. Solid-state optical device having enhanced indium content in active regions
US8259769B1 (en) 2008-07-14 2012-09-04 Soraa, Inc. Integrated total internal reflectors for high-gain laser diodes with high quality cleaved facets on nonpolar/semipolar GaN substrates
US8805134B1 (en) 2012-02-17 2014-08-12 Soraa Laser Diode, Inc. Methods and apparatus for photonic integration in non-polar and semi-polar oriented wave-guided optical devices
US8143148B1 (en) 2008-07-14 2012-03-27 Soraa, Inc. Self-aligned multi-dielectric-layer lift off process for laser diode stripes
US8284810B1 (en) 2008-08-04 2012-10-09 Soraa, Inc. Solid state laser device using a selected crystal orientation in non-polar or semi-polar GaN containing materials and methods
EP2319086A4 (de) 2008-08-04 2014-08-27 Soraa Inc Weisslichtvorrichtungen mit nicht-polarem oder semipolarem galliumhaltigem material und leuchtstoffen
US8634442B1 (en) 2009-04-13 2014-01-21 Soraa Laser Diode, Inc. Optical device structure using GaN substrates for laser applications
US8254425B1 (en) 2009-04-17 2012-08-28 Soraa, Inc. Optical device structure using GaN substrates and growth structures for laser applications
US8242522B1 (en) 2009-05-12 2012-08-14 Soraa, Inc. Optical device structure using non-polar GaN substrates and growth structures for laser applications in 481 nm
US8837545B2 (en) 2009-04-13 2014-09-16 Soraa Laser Diode, Inc. Optical device structure using GaN substrates and growth structures for laser applications
US8294179B1 (en) 2009-04-17 2012-10-23 Soraa, Inc. Optical device structure using GaN substrates and growth structures for laser applications
CN102396083B (zh) 2009-04-13 2015-12-16 天空激光二极管有限公司 用于激光器应用的使用gan衬底的光学装置结构
US8416825B1 (en) 2009-04-17 2013-04-09 Soraa, Inc. Optical device structure using GaN substrates and growth structure for laser applications
US9250044B1 (en) 2009-05-29 2016-02-02 Soraa Laser Diode, Inc. Gallium and nitrogen containing laser diode dazzling devices and methods of use
US8247887B1 (en) 2009-05-29 2012-08-21 Soraa, Inc. Method and surface morphology of non-polar gallium nitride containing substrates
US9829780B2 (en) 2009-05-29 2017-11-28 Soraa Laser Diode, Inc. Laser light source for a vehicle
US8427590B2 (en) 2009-05-29 2013-04-23 Soraa, Inc. Laser based display method and system
US9800017B1 (en) 2009-05-29 2017-10-24 Soraa Laser Diode, Inc. Laser device and method for a vehicle
US8509275B1 (en) 2009-05-29 2013-08-13 Soraa, Inc. Gallium nitride based laser dazzling device and method
US10108079B2 (en) 2009-05-29 2018-10-23 Soraa Laser Diode, Inc. Laser light source for a vehicle
JP2011056335A (ja) * 2009-09-07 2011-03-24 Toray Eng Co Ltd 予備乾燥装置及び予備乾燥方法
US8314429B1 (en) 2009-09-14 2012-11-20 Soraa, Inc. Multi color active regions for white light emitting diode
US8355418B2 (en) 2009-09-17 2013-01-15 Soraa, Inc. Growth structures and method for forming laser diodes on {20-21} or off cut gallium and nitrogen containing substrates
US8750342B1 (en) 2011-09-09 2014-06-10 Soraa Laser Diode, Inc. Laser diodes with scribe structures
US8933644B2 (en) 2009-09-18 2015-01-13 Soraa, Inc. LED lamps with improved quality of light
US9583678B2 (en) 2009-09-18 2017-02-28 Soraa, Inc. High-performance LED fabrication
US9293644B2 (en) 2009-09-18 2016-03-22 Soraa, Inc. Power light emitting diode and method with uniform current density operation
WO2011035265A1 (en) 2009-09-18 2011-03-24 Soraa, Inc. Power light emitting diode and method with current density operation
US10147850B1 (en) 2010-02-03 2018-12-04 Soraa, Inc. System and method for providing color light sources in proximity to predetermined wavelength conversion structures
US8905588B2 (en) 2010-02-03 2014-12-09 Sorra, Inc. System and method for providing color light sources in proximity to predetermined wavelength conversion structures
US9927611B2 (en) 2010-03-29 2018-03-27 Soraa Laser Diode, Inc. Wearable laser based display method and system
US20110247556A1 (en) * 2010-03-31 2011-10-13 Soraa, Inc. Tapered Horizontal Growth Chamber
US8451876B1 (en) 2010-05-17 2013-05-28 Soraa, Inc. Method and system for providing bidirectional light sources with broad spectrum
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20110297088A1 (en) * 2010-06-04 2011-12-08 Texas Instruments Incorporated Thin edge carrier ring
US8816319B1 (en) 2010-11-05 2014-08-26 Soraa Laser Diode, Inc. Method of strain engineering and related optical device using a gallium and nitrogen containing active region
US9048170B2 (en) 2010-11-09 2015-06-02 Soraa Laser Diode, Inc. Method of fabricating optical devices using laser treatment
US8975615B2 (en) 2010-11-09 2015-03-10 Soraa Laser Diode, Inc. Method of fabricating optical devices using laser treatment of contact regions of gallium and nitrogen containing material
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9318875B1 (en) 2011-01-24 2016-04-19 Soraa Laser Diode, Inc. Color converting element for laser diode
US9025635B2 (en) 2011-01-24 2015-05-05 Soraa Laser Diode, Inc. Laser package having multiple emitters configured on a support member
US9595813B2 (en) 2011-01-24 2017-03-14 Soraa Laser Diode, Inc. Laser package having multiple emitters configured on a substrate member
US9093820B1 (en) 2011-01-25 2015-07-28 Soraa Laser Diode, Inc. Method and structure for laser devices using optical blocking regions
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US9236530B2 (en) 2011-04-01 2016-01-12 Soraa, Inc. Miscut bulk substrates
US9287684B2 (en) 2011-04-04 2016-03-15 Soraa Laser Diode, Inc. Laser package having multiple emitters with color wheel
US9646827B1 (en) 2011-08-23 2017-05-09 Soraa, Inc. Method for smoothing surface of a substrate containing gallium and nitrogen
US8971370B1 (en) 2011-10-13 2015-03-03 Soraa Laser Diode, Inc. Laser devices using a semipolar plane
US9020003B1 (en) 2012-03-14 2015-04-28 Soraa Laser Diode, Inc. Group III-nitride laser diode grown on a semi-polar orientation of gallium and nitrogen containing substrates
US10559939B1 (en) 2012-04-05 2020-02-11 Soraa Laser Diode, Inc. Facet on a gallium and nitrogen containing laser diode
US9800016B1 (en) 2012-04-05 2017-10-24 Soraa Laser Diode, Inc. Facet on a gallium and nitrogen containing laser diode
US9343871B1 (en) 2012-04-05 2016-05-17 Soraa Laser Diode, Inc. Facet on a gallium and nitrogen containing laser diode
US20130298942A1 (en) * 2012-05-14 2013-11-14 Applied Materials, Inc. Etch remnant removal
US9088135B1 (en) 2012-06-29 2015-07-21 Soraa Laser Diode, Inc. Narrow sized laser diode
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9184563B1 (en) 2012-08-30 2015-11-10 Soraa Laser Diode, Inc. Laser diodes with an etched facet and surface treatment
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US11326255B2 (en) * 2013-02-07 2022-05-10 Uchicago Argonne, Llc ALD reactor for coating porous substrates
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9166372B1 (en) 2013-06-28 2015-10-20 Soraa Laser Diode, Inc. Gallium nitride containing laser device configured on a patterned substrate
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9379525B2 (en) 2014-02-10 2016-06-28 Soraa Laser Diode, Inc. Manufacturable laser diode
US9362715B2 (en) 2014-02-10 2016-06-07 Soraa Laser Diode, Inc Method for manufacturing gallium and nitrogen bearing laser devices with improved usage of substrate material
US9520695B2 (en) 2013-10-18 2016-12-13 Soraa Laser Diode, Inc. Gallium and nitrogen containing laser device having confinement region
US9368939B2 (en) 2013-10-18 2016-06-14 Soraa Laser Diode, Inc. Manufacturable laser diode formed on C-plane gallium and nitrogen material
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9209596B1 (en) 2014-02-07 2015-12-08 Soraa Laser Diode, Inc. Manufacturing a laser diode device from a plurality of gallium and nitrogen containing substrates
US9520697B2 (en) 2014-02-10 2016-12-13 Soraa Laser Diode, Inc. Manufacturable multi-emitter laser diode
US9871350B2 (en) 2014-02-10 2018-01-16 Soraa Laser Diode, Inc. Manufacturable RGB laser diode source
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9564736B1 (en) * 2014-06-26 2017-02-07 Soraa Laser Diode, Inc. Epitaxial growth of p-type cladding regions using nitrogen gas for a gallium and nitrogen containing laser diode
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9246311B1 (en) 2014-11-06 2016-01-26 Soraa Laser Diode, Inc. Method of manufacture for an ultraviolet laser diode
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9653642B1 (en) 2014-12-23 2017-05-16 Soraa Laser Diode, Inc. Manufacturable RGB display based on thin film gallium and nitrogen containing light emitting diodes
US9666677B1 (en) 2014-12-23 2017-05-30 Soraa Laser Diode, Inc. Manufacturable thin film gallium and nitrogen containing devices
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10938182B2 (en) 2015-08-19 2021-03-02 Soraa Laser Diode, Inc. Specialized integrated light source using a laser diode
US10879673B2 (en) 2015-08-19 2020-12-29 Soraa Laser Diode, Inc. Integrated white light source using a laser diode and a phosphor in a surface mount device package
US11437775B2 (en) 2015-08-19 2022-09-06 Kyocera Sld Laser, Inc. Integrated light source using a laser diode
US11437774B2 (en) 2015-08-19 2022-09-06 Kyocera Sld Laser, Inc. High-luminous flux laser-based white light source
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9787963B2 (en) 2015-10-08 2017-10-10 Soraa Laser Diode, Inc. Laser lighting having selective resolution
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10771155B2 (en) 2017-09-28 2020-09-08 Soraa Laser Diode, Inc. Intelligent visible light with a gallium and nitrogen containing laser source
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10222474B1 (en) 2017-12-13 2019-03-05 Soraa Laser Diode, Inc. Lidar systems including a gallium and nitrogen containing laser light source
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN109994375A (zh) * 2018-01-03 2019-07-09 联华电子股份有限公司 去除图案化光致抗蚀剂的方法
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10551728B1 (en) 2018-04-10 2020-02-04 Soraa Laser Diode, Inc. Structured phosphors for dynamic lighting
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
KR102678588B1 (ko) 2018-11-14 2024-06-27 램 리써치 코포레이션 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11421843B2 (en) 2018-12-21 2022-08-23 Kyocera Sld Laser, Inc. Fiber-delivered laser-induced dynamic light system
US11239637B2 (en) 2018-12-21 2022-02-01 Kyocera Sld Laser, Inc. Fiber delivered laser induced white light system
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US12000552B2 (en) 2019-01-18 2024-06-04 Kyocera Sld Laser, Inc. Laser-based fiber-coupled white light system for a vehicle
US11884202B2 (en) 2019-01-18 2024-01-30 Kyocera Sld Laser, Inc. Laser-based fiber-coupled white light system
US11228158B2 (en) 2019-05-14 2022-01-18 Kyocera Sld Laser, Inc. Manufacturable laser diodes on a large area gallium and nitrogen containing substrate
US10903623B2 (en) 2019-05-14 2021-01-26 Soraa Laser Diode, Inc. Method and structure for manufacturable large area gallium and nitrogen containing substrate
CN114200776A (zh) 2020-01-15 2022-03-18 朗姆研究公司 用于光刻胶粘附和剂量减少的底层
US11111578B1 (en) 2020-02-13 2021-09-07 Uchicago Argonne, Llc Atomic layer deposition of fluoride thin films
WO2021262529A1 (en) * 2020-06-22 2021-12-30 Lam Research Corporation Dry backside and bevel edge clean of photoresist
US11901169B2 (en) 2022-02-14 2024-02-13 Uchicago Argonne, Llc Barrier coatings

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IT992983B (it) * 1972-08-18 1975-09-30 Gen Electric Metodo per asportare materiale fotoresistente da un supporto

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0061350A1 (de) * 1981-03-25 1982-09-29 Hitachi, Ltd. Verfahren zur Herstellung von Mustern

Also Published As

Publication number Publication date
US4341592A (en) 1982-07-27
FR2320579A1 (fr) 1977-03-04
NL7608504A (nl) 1977-02-08
JPS5220766A (en) 1977-02-16
SE7608677L (sv) 1977-02-05

Similar Documents

Publication Publication Date Title
DE2635066A1 (de) Verfahren zum entfernen einer photoresistschicht von einer substratoberflaeche und vorrichtung zur durchfuehrung des verfahrens
DE69936131T9 (de) Verfahren und vorrichtung zur entfernung eines photoresistfilms
DE69914917T2 (de) Verfahren und Vorrichtung zum Behandeln eines Werkstücks, wie beispielsweise eines Halbleiter-Wafers
DE69034092T2 (de) Vorrichtung zur Behandlung von Proben
DE69434583T2 (de) Apparat zur Behandlung eines Halbleiterwafers in einer Flüssigkeit
DE68929503T2 (de) Veraschungsverfahren zum Entfernen einer organischen Schicht auf einer Halbleiteranordnung während ihrer Herstellung
DE10062199B4 (de) Substratprozessvorrichtung und Verfahren zum Prozessieren eines Wafers
DE69722335T2 (de) Vorrichtung und Verfahren zum Reinigen von zu behandelnden Gegenständen
DE2639004C2 (de) Wäßrige Lösung zur Oberflächenbehandlung von Zwischenprodukten bei der Herstellung von Halbleiterbauelementen
DE69926127T2 (de) Selektive behandlung der oberfläche eines mikroelektronischen werkstücks
DE3919885A1 (de) Verfahren und anlage zur behandlung von mit schadstoffen belasteten fluessigkeiten
DE2424422A1 (de) Verfahren und vorrichtung zur kontinuierlichen behandlung von werkstuecken, insbesondere halbleitereinrichtungen, mit fluessigkeiten
DE19844443A1 (de) Verfahren und Vorrichtung zur Reinigung einer Fotomaske
DE19825033A1 (de) System zum Behandeln von Halbleitersubstraten und Behandlungsverfahren von Halbleitersubstraten
DE3537584A1 (de) Verfahren zur verhinderung einer korrosion nach durchfuehrung einer aluminium-aetzung
DE69032089T2 (de) Kupfer-Aetzverfahren mit Hilfe von Haliden
DE1564963A1 (de) Verfahren zur Stabilisierung einer Halbleitervorrichtung
DE2145647B2 (de) Verfahren zum herstellen von mustern auf einem substrat
DE3624384C2 (de)
DE10020523A1 (de) Bearbeitungseinrichtung und Bearbeitungsverfahren
DE3821093A1 (de) Verfahren und vorrichtung zur behandlung von oberflaechen
DE4217836C2 (de) Photolackentfernungsverfahren
DE3925070A1 (de) Verfahren zum erhalt einer sauberen siliziumoberflaeche
AT501775B1 (de) Verfahren zum entfernen eines resistfilms, substrat-behandlungsvorrichtung und computer-lesbares aufzeichnungsmedium
DE19952604B4 (de) Vorrichtung zum Ausbilden eines Beschichtungsfilms

Legal Events

Date Code Title Description
OHN Withdrawal