DE69936131T9 - Verfahren und vorrichtung zur entfernung eines photoresistfilms - Google Patents

Verfahren und vorrichtung zur entfernung eines photoresistfilms Download PDF

Info

Publication number
DE69936131T9
DE69936131T9 DE69936131T DE69936131T DE69936131T9 DE 69936131 T9 DE69936131 T9 DE 69936131T9 DE 69936131 T DE69936131 T DE 69936131T DE 69936131 T DE69936131 T DE 69936131T DE 69936131 T9 DE69936131 T9 DE 69936131T9
Authority
DE
Germany
Prior art keywords
photoresist layer
remover
gas
substrate
photoresist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE69936131T
Other languages
English (en)
Other versions
DE69936131T2 (de
DE69936131D1 (de
Inventor
Seiji Mitsubishi Denki Kabushiki Kai NODA
Masaki Mitsubishi Denki Kabushiki Ka KUZUMOTO
Izumi Mitsubishi Denki Kabushiki Kai OYA
Makoto Mitsubishi Denki Kabushiki Ka MIYAMOTO
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Electric Corp
Original Assignee
Mitsubishi Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Electric Corp filed Critical Mitsubishi Electric Corp
Publication of DE69936131D1 publication Critical patent/DE69936131D1/de
Publication of DE69936131T2 publication Critical patent/DE69936131T2/de
Application granted granted Critical
Publication of DE69936131T9 publication Critical patent/DE69936131T9/de
Active legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)

Description

  • Die vorliegende Erfindung betrifft ein Verfahren zum Entfernen einer Schicht, die eine organische Zusammensetzung enthält, insbesondere ein Verfahren zum Entfernen einer Fotoresistschicht, die eine organische Polymerzusammensetzung enthält, die in einem fotolithografischen Verfahren zur Herstellung eines Halbleiterbauelements und dergleichen verwendet wird, und eine Vorrichtung, die bei dem Verfahren verwendet wird.
  • Beschreibung des Stands der Technik
  • Ein Fotoresistmaterial wird allgemein in einem fotolithografischen Verfahren zur Bildung einer feinen Struktur und/oder in einem anschließenden Ätzschritt zur Bildung einer Elektrodenstruktur im Verlauf der Herstellung eines Halbleiterbauelements wie etwa einer integrierten Schaltung, eines Transistors, eine Flüssigkristalleinrichtung, einer Diode und dergleichen verwendet.
  • Wenn beispielsweise eine Siliciumoxidschicht mit einer gewünschten Struktur auf einem Halbleitersubstrat wie etwa einem Siliciumsubstrat (als Siliciumwafer bezeichnet) gebildet wird, wird zunächst eine Siliciumoxidschicht auf die Oberfläche des Substrats aufgebracht und gereinigt, bevor ein Fotoresistmaterial, das zur Bildung der gewünschten Struktur geeignet ist, auf die Siliciumoxidschicht aufgetragen wird, um eine Fotoresistschicht zu bilden. Dann wird eine Fotomaske, die eine der gewünschten Struktur entsprechende Struktur hat, auf der Fotoresistschicht angeordnet, Licht ausgesetzt und dann entwickelt. Dadurch wird eine Fotoresistschicht erhalten, die die gewünschte Struktur hat und als Fotoresiststruktur bezeichnet wird. In dem anschließenden Ätzschritt wird die Siliciumoxidschicht entsprechend der resultierenden Fotoresiststruktur entfernt. Schließlich verbleibt nach dem Entfernen der restlichen Fotoresistschicht und dem Reinigen der Oberfläche des Substrats die Siliciumoxidschicht auf dem Substrat mit der gewünschten Struktur.
  • In dem Ätzschritt weist ein in der Technik bekanntes Verfahren zum Entfernen eines Teils der Fotoresistschicht, der zur Bildung der gewünschten Struktur unnötig ist, beispielsweise auf: [1] ein Verfahren, das ein Sauerstoffgasplasma verwendet, und [2] ein Verfahren, das verschiedene Oxidationsmittel verwendet.
  • Bei dem Verfahren, das ein Sauerstoffgasplasma verwendet [1], wird ein Sauerstoffgas unter Vakuum und einer Hochspannung in die Fotoresistschicht eingeleitet, um ein Sauerstoffgasplasma zu erzeugen. Dann wird die Fotoresistschicht durch Umsetzung mit dem Sauerstoffgasplasma abgebaut und entfernt. Bei diesem Verfahren [1] gab es jedoch einige Probleme, einschließlich dem Erfordernis einer teuren Vorrichtung zum Erzeugen des Sauerstoffgasplamas, der Gefahr einer Beschädigung des ein elektrisches Element enthaltenden Substrats aufgrund der Anwesenheit eines Ladungsträgers in dem Plasma und dergleichen.
  • Alternativ ist als ein Beispiel des Verfahrens [2], das verschiedene Oxidationsmittel verwendet, um die Fotoresistschicht abzubauen und zu entfernen, etwa ein Verfahren bekannt, das heiße konzentrierte Schwefelsäure oder ein Gemisch aus heißer konzentrierter Schwefelsäure und Hydrogenperoxid als das Oxidationsmittel verwendet.
  • Die Verwendung von heißer konzentrierter Schwefelsäure hat jedoch einen Nachteil wie etwa die extrem hohe Gefahr, dass es erforderlich ist, starke Schwefelsäure auf bis zu 150°C zu erwärmen.
  • Dagegen wird bei Verwendung des Gemischs aus heißer konzentrierter Schwefelsäure und Hydrogenperoxid eine Substanz, die eine Oxidations- und Abbauwirkung hat, entsprechend dem folgenden Schema freigesetzt. Bei dem Schema werden bei Hinzufügen von Hydrogenperoxid zu heißer konzentrierter Schwefelsäure, die auf ungefähr 140°C erwärmt wird, Peroxoschwefelsäure (H2SO5; allgemein als Carosche Säure bezeichnet) und ein Sauerstoffatom (O) wie folgt erzeugt: H2SO4 + H2O2 ↔ H2SO5 + H2O (1) H2O2 → O + H2O (2)
  • Die organiche Fotoresistschicht kann durch den hohen Säuregrad sowohl von Peroxoschwefelsäure als auch Sauerstoffatom oxidiert werden, um zu einer anorganischen Substanz umgewandelt zu werden. Die anorganische Substanz wird durch Reaktion mit heißer konzentrierter Schwefelsäure abgebaut und dann von der Oberfläche des Substrats entfernt.
  • Wie jedoch in den obigen Schemata (1) und (2) gezeigt ist, besteht bei diesem Verfahren [2] das Problem, dass dadurch, dass ein Schwefelsäuremedium mit Wasser verdünnt wird, das bei jeder Zugabe von Hydrogenperoxid zu heißer konzentrierter Schwefelsäure erzeugt wird, die Konzentration von heißer konzentrierter Schwefelsäure nach dem Vermischen mit der Zeit abnimmt. Das Verfahren [2] hat auch Nachteile, die einschließen: die extrem hohe Gefahr, die in Bezug auf das Verfahren [1] beschrieben wurde, nämlich die Verwendung von starker Schwefelsäure bei erhöhter Temperatur, und die exotherme Wärme, die erzeugt wird, wenn heiße konzentrierte Schwefelsäure mit Hydrogenperoxid vermischt wird, sowie das Erfordernis eines teuren Absaugsystems zur Erzeugung der starken Ventilation, um das Verfahren in einem Reinraum ausführen zu können, und dergleichen.
  • Als ein anderes Oxidationsmittel, das zum Abbauen der Fotoresistschicht verwendet wird und nicht heiße konzentrierte Schwefelsäure ist, ist eine mit Wasser nicht mischbare Lösung zum ausschließlichen Entfernen einer Fotoresistschicht entwickelt worden, wie beispielsweise eine Lösung #106, die aus 30 Vol.-% Dimethylsulfoxid und 70 Vol-% Monoethanolamin besteht. Ein solches Oxidationsmittel hat jedoch Probleme, die einschließen: seine gegenüber heißer konzentrierter Schwefelsäure und einem Gemisch aus heißer konzentrierter Schwefelsäure und Hydrogenperoxid geringere Oxidationskraft und die Schwierigkeit bei der Bearbeitung der verschmutzten Lösung, die mit Wasser nicht mischbar ist.
  • Um die Probleme der obigen Verfahren [1] und [2] zu überwinden, ist ein Verfahren zum Entfernen einer Fotoresistschicht vorgeschlagen worden, das ein Gemisch aus Ozon mit heißer Schwefelsäure als Oxidationsmittel verwendet ( JP-Patentveröffentlichung Kokai Nr. Sho 57 (1982)-180132 ). Diese Veröffentlichung beschreibt ein Verfahren zum Abbauen und Entfernen der organischen Substanz (d. h. der Fotoresistschicht) oder der anorganischen Substanz, die auf das Substrat oder die Isolierschicht aufgebracht ist, durch Blasenaufstieg eines ozonisierten Gases in heißer Schwefelsäure. Sie beschreibt ferner eine Waschvorrichtung, die in dem Verfahren verwendet wird (die Querschnittsansicht der Vorrichtung ist in 8 gezeigt).
  • Die in 8 gezeigte Waschvorrichtung ist versehen mit einem Quarzbehälter 6'', der mit heißer konzentrierter Schwefelsäure 5' gefüllt ist, die auf ungefähr 110°C erwärmt ist, und ist auf einer Heizeinrichtung 11 angeordnet ist, und mit einem Quarzrohr 120, das eine Vielzahl von Auslässen 3' hat. Ein Rohgas (allgemein ein Sauerstoffgas), das durch ein außerhalb des Quarzbehälters 6'' vorgesehenes Gaseinleitungsrohr 111 zugeführt wird, wird an einem Ozonerzeuger 1 in ein ozonisiertes Gas umgewandelt. Das ozonisierte Gas wird dann durch das Quarzrohr 120 in heiße konzentrierte Schwefelsäure 5' in dem Quarzbehälter 6 eingepresst, um es mit Schwefelsäure umzusetzen, und dadurch werden Peroxoschwefelsäure und ein Sauerstoffatom erzeugt. Das Oxidieren der Fotoresistschicht mit dem hohen Säuregrad sowohl von Peroxoschwefelsäure als auch von Sauerstoff bewirkt das Entfernen der Fotoresistschicht von der Oberfläche des in heiße konzentrierte Schwefelsäure getauchten Substrats 8 (mit einem Substrathalter 9 gehalten).
  • Das in der obigen Veröffentlichung beschriebene Verfahren ist dadurch gekennzeichnet, dass sich die Konzentration der Schwefelsäure nicht ändert, da während des Abbaus der Fotoresistschicht kein Wasser erzeugt wird, und dass deshalb die Häufigkeit der Änderung der Schwefelsäure verringert werden kann. Bei dem Verfahren besteht jedoch das Problem, dass die Kosten für Rohstoffe zu hoch werden, da eine große Schwefelsäuremenge für den Betrieb notwendig wird. Außerdem besteht bei dem Verfahren und der Vorrichtung, die in der obigen Veröffentlichung beschrieben sind, wegen der Verwendung von starker Schwefelsäure bei einer erhöhten Temperatur ebenso wie bei dem herkömmlichen Verfahren ein hohes Arbeitsrisiko und ferner wird eine extrem starke Ventilation benötigt, da sich das Oxidationsmittel durch den Blasenaufstieg des ozonisierten Gases verflüchtigt.
  • Es ist also eine Aufgabe der vorliegenden Erfindung, ein Verfahren zum Entfernen einer Fotoresistschicht mit einer erhöhten Rate bereitzustellen, das sowohl die Verwendung der Rohstoffe als auch die Kosten für das Absaugsystem verringern kann und außerdem umweltfreundlich sein kann, und ferner eine Vorrichtung zur Verwendung bei dem Verfahren anzugeben, um die oben genannten Probleme des in der Technik bekannten Verfahrens zum Entfernen der Fotoresistschicht und der herkömmlichen Vorrichtung, die dafür verwendet wird, zu überwinden.
  • Weitere Informationen, die den Stand der Technik betreffen, finden sich in dem US-Patent 4 812 201 , das ein Verfahren und eine Vorrichtung beschreibt, beide zum Ablösen von unnötigen Schichten wie etwa einer auf einem Halbleiterwafer gebildeten Fotoresistschicht, indem Ozon auf die Schicht aufgebracht wird. Dabei wird ein Ablösegas, das Sauerstoffatomradikale enthält oder Sauerstoffgas und ein das Ablösen förderndes Gas enthält, auf die Schicht aufgebracht, wodurch die Schicht leicht und effizient abgelöst wird. Die Oberflächentemperatur der Schicht wird auf einen vorgegebenen Wert eingestellt, und das Ablösegas wird gleichmäßig auf die gesamte Oberfläche der Schicht oder auf einen Teil davon aufgebracht, so dass die gesamte Schicht oder ein Teil davon gleichmäßig mit einer hohen Rate abgelöst wird, und der Endpunkt des Ablösevorgangs wird erfasst, um dadurch die Effizienz des Ablösevorgangs zu steigern.
  • Das US-Patent 5 503 708 beschreibt ein Verfahren zum Entfernen einer organischen Schicht unter Verwendung einer Vorrichtung zum Entfernen der organischen Schicht, wobei die Vorrichtung aufweist: einen Bearbeitungsbehälter, der eine Bearbeitungskammer definiert, einen Waferhalter zum Haltern eines Halbleiterwafers in der Bearbeitungskammer und eine Mischgaszuführeinrichtung zum Zuführen eines Mischgases, das aus einem Alkohol oder Alkoholen und Ozongas oder einem ozonhaltigen Gas besteht, in die Bearbeitungskammer, so dass das Mischgas auf eine organische Schichtstruktur auf der Oberfläche des gehalterten Halbleiterwafers einwirkt. Die Vorrichtung liefert das Mischgas kontinuierlich in die Bearbeitungskammer, und zwar mindestens in einem Zeitraum zwischen einem Zeitpunkt unmittelbar vor dem Anbringen des Halbleiterwafers an dem Waferhalter und einem Zeitpunkt, zu dem die organische Schicht vollständig entfernt ist; transportiert den Halbleiterwafer in die Bearbeitungskammer; haltert den Halbleiterwafer in der Bearbeitungskammer; und erwärmt die strukturierte organische Schicht auf der Oberfläche des gehalterten Halbleiterwafers auf eine Temperatur in einem Bereich unterhalb einer Temperatur, bei der in den Elementen eines auf dem Halbleiterwafer zu bildenden Halbleiterbauelements erhebliche Defekte gebildet werden.
  • Definition der technische Begriffe
  • Im vorliegenden Fall bedeutet "ozonisiertes Gas" ein Mischgas, das ein Sauerstoffgas und eine gegebene Ozonmenge enthält. Nachstehend ist ein "abgedichtetes System" thermodynamisch als ein offenes System klassifiziert, bedeutet jedoch eines, in dem ein Gas oder eine Lösung, die eingeleitet werden, und ein Gas oder ein Dampf, die in dem Schritt zum Entfernen einer Fotoresistschicht nach der vorliegenden Erfindung erzeugt werden, und dergleichen in der jeweils vorliegenden Form nicht zur Außenseite des Systems abgegeben oder verspritzt werden.
  • Zusammenfassung der Erfindung
  • Die vorliegende Erfindung stellt ein Verfahren zum Entfernen einer Fotoresistschicht nach dem unabhängigen Anspruch 1 sowie eine entsprechende Vorrichtung nach dem unabhängigen Anspruch 9 bereit. Bevorzugte Ausführungsformen der Erfindung sind in den Unteransprüchen angegeben.
  • Nach dem ersten Aspekt der vorliegenden Erfindung wird bereitgestellt ein Verfahren zum Entfernen einer Fotoresistschicht in einem abgedichteten System durch gleichmäßiges und kontinuierliches oder intermittierendes Zuführen eines Fotoresistschicht-Entfernungsgemischs, das ein ozonisiertes Gas und einen Fotoresistschichtentferner enthält, zu einer Fotoresistschicht, die auf einer Oberfläche eines Substrats gebildet ist, durch eine Fotoresistschichtentferner-Zuführeinrichtung, die der Fotoresistschicht gegenüber angeordnet ist. Das bei der vorliegenden Erfindung verwendete ozonisierte Gas enthält Ozon in einer Menge von mindestens 5 mol-%, bevorzugt 5 bis 100 mol-%, bezogen auf die Gesamtmenge des ozonisierten Gases.
  • Bei dem Verfahren der vorliegenden Erfindung kann ein Abstand zwischen einer Oberfläche der Fotoresistschicht auf dem Substrat und der Fotoresistschichtentferner- Zuführeinrichtung in einem Bereich von 1 bis 5 mm liegen. Durch Einstellen des Abstands auf den obigen Bereich kann bei dem Verfahren nach der vorliegenden Erfindung die Fotoresistschichtentfernungsrate weiter gesteigert werden.
  • Bei dem Verfahren der vorliegenden Erfindung können das ozonisierte Gas und der Fotoresistschichtentferner separat oder in gemischter Form zugeführt werden. Das ozonisierte Gas kann unter einem hohen Druck in einem Bereich zwischen 1 atm (101,325 Pa) und 5 atm (506,625 Pa) zugeführt werden.
  • Ein bei der vorliegenden Erfindung verwendeter geeigneter Fotoresistschichtentferner kann aus organischen Lösungsmitteln ausgewählt sein, die eine geringere Reaktionsfähigkeit mit Ozon haben und die gesättigte Alkohole, Ketone und Carbonsäuren umfassen.
  • Bei dem Verfahren der vorliegenden Erfindung werden bevorzugt sowohl die Temperatur des Fotoresistschichtentferners als auch eine Temperatur an einem Bereich, der von der Oberfläche des Substrats mindestens 5 mm entfernt ist, auf eine niedrigere Temperatur als die Oberfläche des Substrats eingestellt.
  • Bei dem vorliegenden Verfahren kann das ozonisierte Gas am meisten bevorzugt kontinuierlich zugeführt werden, und der Fotoresistschichtentferner kann intermittierend zugeführt werden.
  • Ferner kann das Verfahren der vorliegenden Erfindung eine Einrichtung zum Erzeugen eines elektrischen Felds zwischen der Fotoresistschichtentferner-Zuführeinrichtung und der Oberfläche des Substrats aufweisen. Dadurch kann der Fotoresistschichtentferner in ein Mikropartikel umgewandelt werden.
  • Der zweite Aspekt der vorliegenden Erfindung betrifft eine Vorrichtung, die aufweist: eine Reaktionskammer, die mit einem Ozonerzeuger und einem Abgas- bzw. Absaugsystem versehen ist, einen Tisch zum Fixieren des Substrats, das die Fotoresistschicht auf seiner Oberfläche hat, und eine Fotoresistschichtentferner-Zuführeinrichtung, die dem Tisch gegenüber angeordnet ist, wobei der Tisch und die Fotoresistschichtentferner-Zuführeinrichtung in der Reaktionskammer verteilt sind. Ein ozonisiertes Gas und ein Fotoresistschichtentferner, die als das Fotoresistschicht-Entfernungsgemisch verwendet werden, können der Oberfläche des Substrats durch die gleichen oder andere Öffnungen, die in der Fotoresistschichtentferner-Zuführeinrichtung gebildet sind, kontinuierlich oder intermittierend zugeführt werden.
  • Bei der Vorrichtung der vorliegenden Erfindung kann die Reaktionskammer aus rostfreiem Stahl oder Teflon®-beschichtetem rostfreiem Stahl, Teflon®-Harz, Keramik oder Teflon®-beschichteter Keramik oder einem Gemisch davon bestehen.
  • Das bei der Vorrichtung verwendete ozonisierte Gas enthält mindestens 5 mol-%, bevorzugt 5 bis 100 mol-% Ozon, bezogen auf die Gesamtmenge des ozonisierten Gases.
  • Das ozonisierte Gas und der Fotoresistschichtentferner können vorgemischt sein, um sie als das Fotoresistschicht-Entfernungsgemisch zuzuführen. In diesem Fall werden das ozonisierte Gas und der Fotoresistschichtentferner bevorzugt vorgemischt, das Gemisch daraus wird zu der Fotoresistschichtentferner-Zuführeinrichtung transportiert und dann durch die Öffnungen zugeführt.
  • Bei der Vorrichtung der vorliegenden Erfindung kann ein Abstand zwischen einer Oberfläche der Fotoresistschicht auf dem Substrat und der Fotoresistschichtentferner-Zuführeinrichtung in einem Bereich von 1 bis 5 mm liegen. Die Vorrichtung kann ferner eine Einrichtung zum Erwärmen des Tischs und/oder eine Einrichtung zum Kühlen des Fotoresistschichtentferners aufweisen. Durch ihre Verwendung können sowohl die Temperatur des Fotoresistschichtentferners als auch die Temperatur an einem Bereich, der mindestens 5 mm von der Oberfläche des Substrats entfernt ist, auf eine niedrigere Temperatur als die Oberfläche des Substrats eingestellt werden.
  • Die Vorrichtung kann ferner eine Einrichtung zum Erwärmen des Tischs und/oder eine Einrichtung zum Kühlen des Fotoresistschichtentferners aufweisen.
  • Bei der vorliegenden Erfindung kann das ozonisierte Gas der Vorrichtung unter einem hohen Druck in einem Bereich zwischen 1 atm (101,325 Pa) und 5 atm (506,625 Pa) zugeführt werden.
  • Ein bei der Vorrichtung der vorliegenden Erfindung verwendetet geeigneter Fotoresistschichtentferner kann aus organischen Lösungsmitteln ausgewählt sein, die eine geringere Reaktionsfähigkeit mit Ozon haben und gesättigte Alkohole, Ketone und Carbonsäuren umfassen.
  • Die Vorrichtung der vorliegenden Erfindung kann ferner eine Einrichtung zum Erzeugen eines elektrischen Felds zwischen der Fotoresistschichtentferner-Zuführeinrichtung und dem Substrat aufweisen.
  • Kurze Beschreibung der Zeichnungen
  • 1 ist eine schematische Querschnittsansicht, die eine Vorrichtung (A1) zum Entfernen der Fotoresistschicht der vorliegenden Erfindung als Ausführungsform 1 zeigt.
  • 2 ist ein Diagramm, das eine maximale Fotoresistschichtentfernungsrate über einer Beschichtungsdicke des Fotoresistschichtentferners auf der Oberfläche des zu bearbeitenden Substrats zeigt.
  • 3 ist ein Diagramm, das eine maximale Fotoresistschichtentfernungsrate und einen Grad einer Gleichmäßigkeit in einer Ebene auf der Oberfläche des Substrats über einem Abstand (δ) zwischen der Fotoresistschicht und der Fotoresistschichtentferner-Zuführeinrichtung bei dem Verfahren der vorliegenden Erfindung zeigt.
  • 4 ist eine schematische Querschnittsansicht, die eine Vorrichtung (A2) zum Entfernen der Fotoresistschicht zeigt, die in Ausführungsform 2 der vorliegenden Erfindung erläutert ist, wobei die Vorrichtung ein elektrisches Feld zwischen der Fotoresistschicht und der Fotoresistschichtentferner-Zuführeinrichtung erzeugen kann.
  • 5 ist eine schematische Querschnittsansicht, die eine Vorrichtung (A3) zum Entfernen der Fotoresistschicht zeigt, die in Ausführungsform 3 der vorliegenden Erfindung erläutert wird.
  • 6 ist eine schematische Querschnittsansicht, die eine Vorrichtung (A4) zum Entfernen der Fotoresistschicht nach Ausführungsform 4 der vorliegenden Erfindung zeigt, wobei die Vorrichtung das Gemisch aus dem ozonisierten Gas und dem Fotoresistschichtentferner zuführen kann.
  • 7 ist eine schematische Querschnittsansicht, die eine weitere Vorrichtung (A5) zum Entfernen der Fotoresistschicht nach Ausführungsform 4 der vorliegenden Erfindung zeigt, wobei die Vorrichtung das Gemisch aus dem ozonisierten Gas und dem Fotoresistschichtentferner zuführen kann.
  • 8 ist eine schematische Querschnittsansicht, die eine Vorrichtung (A6) zum Entfernen der Fotoresistschicht der vorliegenden Erfindung als Ausführungsform 5 zeigt.
  • 9 ist eine schematische Querschnittsansicht, die eine Waschvorrichtung zeigt, die bei einem in der JP-Patentveröffentlichung Kokai Nr. Sho 57 (1982)-180132 beschriebenen Verfahren verwendet wird, um die auf das Substrat oder die Isolierschicht aufgebrachte Fotoresistschicht durch Blasenaufstieg des ozonisierten Gases in heißer Schwefelsäure zu entfernen.
  • Bevorzugte Ausführungsformen der Erfindung
  • Nachstehend werden ein Verfahren der vorliegenden Erfindung und eine bei dem Verfahren verwendete Vorrichtung unter Bezugnahme auf bevorzugte Ausführungsformen im Einzelnen beschrieben. Es ist jedoch zu beachten, dass die vorliegende Erfindung nicht auf diese Ausführungsformen beschränkt ist und dass verschiedene Änderungen und Modifikationen, die für den Fachmann ersichtlich sind, im Rahmen der vorliegenden Erfindung liegen.
  • Ausführungsform 1
  • 1 zeigt einen Aspekt der bei dem Verfahren der vorliegenden Erfindung verwendeten Vorrichtung. 1 zeigt ein Beispiel einer Vorrichtung zum Bearbeiten eines Substrats, bei dem ein Substrat, auf dessen Oberfläche eine Fotoresistschicht gebildet ist, nacheinander zur Bearbeitung aufgegeben wird (in der Technik allgemein "Einzelwaferbearbeitungsvorrichtung" genannt).
  • Bei der vorliegenden Anmeldung kann die zu entfernende Fotoresistschicht aufweisen: entweder eine Schicht, die aus einem Fotoresistmaterial gebildet ist, das eine organische Polymerverbindung enthält, wobei das Fotoresistmaterial in allen Verfahren zur Herstellung von Halbleiterbauelementen verwendet wird, eine Schicht, die durch Erwärmen abgebaut wird, eine Schicht, deren Oberfläche durch Erwärmen oder starkes Dotieren modifiziert wird, oder eine Schicht, die eine Oberfläche hat, auf die in einem Ätzvorgang eine anorganische Substanz aufgebracht wird.
  • In Bezug auf ein Substrat, auf dessen Oberfläche sich die Fotoresistschicht befindet, gibt es keine spezielle Beschränkung, solange das Substrat von dem Typ ist, der gewöhnlich bei der Herstellung von Halbleiterbauelementen verwendet wird, und beispielsweise sind ein Siliciumwafer, ein Glassubstrat für eine Flüssigkristallanzeigeeinrichtung, ein Glasepoxid-Flächenkörper zum Verpacken von elektronischen Schaltungen und dergleichen eingeschlossen.
  • Das Substrat, auf dessen Oberfläche sich die Fotoresistschicht befindet, kann einen Siliciumwafer, ein Glassubstrat für eine Flüssigkristallanzeigeeinrichtung, ein glasverstärktes Epoxidsubstrat, das zur Herstellung einer gedruckten Schaltung verwendet wird, und dergleichen aufweisen, ist aber nicht speziell darauf beschränkt, solange es sich um eines handelt, das gewöhnlich bei der Herstellung von Halbleiterbauelementen verwendet wird.
  • Eine Vorrichtung (A1) der vorliegenden Erfindung, die in 1 gezeigt ist, hat einen Tisch 40, der das darauf fixierte Substrat 8 trägt und an seinem Massenmittelpunkt trochoid sein kann, und eine Gas-/Flüssigkeitszuführeinrichtung 30, die dem Tisch 40 ge genüber angeordnet ist, in einem geschlossenen Raum, der mit einem Reaktionsgefäß 6 bedeckt ist.
  • In dem Tisch 40 befindet sich eine Heizeinrichtung 41, die das auf dem Tisch 40 liegende Substrat 8 erwärmen kann. Das Drehen und das Erwärmen des Tischs 40 werden von einer Steuereinheit 42 gesteuert, die unter dem Reaktionsgefäß 6 angeordnet ist.
  • Die Gas-/Flüssigkeitszuführeinrichtung 30 ist versehen mit: einem Einspeisungsrohr 31 für ozonisiertes Gas in der Mitte der Zuführeinrichtung 30, und einem Fotoresistschichtentferner-Reservoir 32 um das Einspeisungsrohr 31 für ozonisiertes Gas herum sowie einem Fotoresistschichtentferner-Einspritzauslass 33, der unter dem Reservoir 32 vorgesehen ist.
  • Ein sauerstoffhaltiges Gas als ein Ausgangsgas wird durch ein Gaseinspeisungsrohr 111 zugeführt, und dann werden mindestens 5 mol-%, bevorzugt 5 bis 100 mol-% der Gesamtmenge des Sauerstoff enthaltenden Gases durch einen Ozongenerator 1 in Ozon umgewandelt, um das ozonisierte Gas zu erhalten.
  • Je größer die Ozonmenge ist, die in dem ozonisierten Gas enthalten ist, desto höher ist die Fotoresistschichtentfernungsrate, die erhalten wird; die in dem ozonisierten Gas enthaltene Ozonmenge kann jedoch in Abhängigkeit von der Größe des zu bearbeitenden Substrats und dem in dem Verfahren verwendeten Fotoresisttyp variiert werden. Die Menge des sauerstoffhaltigen Gases, die dem Ozongenerator 1 zugeführt wird, kann in Abhängigkeit von der Menge des zuzuführenden ozonisierten Gases, die benötigt wird, und von der tatsächlichen Menge des ozonisierten Gases, die anschließend dem Substrat zugeführt wird, variiert werden.
  • Bei der in 1 gezeigten Vorrichtung (A1) wird das ozonisierte Gas auf einen vorbestimmten Druck gesteuert, bevor es dem Substrat 8 durch die Einspeisungsrohre 112 und 31 zugeführt wird.
  • Der Fotoresistschichtentferner, der bei der vorliegenden Erfindung verwendet werden kann, kann aus einer Gruppe ausgewählt werden, die besteht aus: Reinwasser; einer wäss rigen Säurelösung wie etwa Schwefelsäure, Salzsäure, Salpetersäure, Essigsäure und Hydrogenperoxid; einer wässrigen alkalischen Lösung wie etwa Ammoniumhydroxid; einem organischen Lösungsmittel, das Ketone wie etwa Aceton und Alkohol wie etwa Isopropanol aufweist; und einem Gemisch davon, das eine geringe Reaktionsfähigkeit mit Ozon hat. Die Verwendung von Reinwasser ist zwar angesichts der Entsorgung von Abfallflüssigkeit oder -gas und dergleichen am meisten vorzuziehen, es ist jedoch erwünscht, ein Lösungsmittel zu verwenden, das nicht Reinwasser oder ein Gemisch davon ist, wenn die Fotoresistschichtentfernungsrate gesteigert oder eine Schicht entfernt werden soll, die durch starkes Ionendotieren oder die Ablagerung von Verunreinigungen modifiziert worden ist.
  • Der Fotoresistschichtentferner wird durch das Einspeisungsrohr 114 in das Fotoresistschichtentferner-Reservoir 32 in dem Reaktionsgefäß 6 eingespeist und erforderlichenfalls aus der darunter verteilt angeordneten Auslasseinrichtung 33 zu dem Substrat 8 hin ausgestoßen.
  • Nachstehend wird das Verfahren zum Entfernen der Fotoresistschicht unter Verwendung der in 1 gezeigten Vorrichtung (A1) beschrieben.
  • Zunächst wird das Substrat auf einem Tisch 40 fixiert. Dann werden das ozonisierte Gas und der Fotoresistschichtentferner durch das Einspeisungsrohr 31 bzw. die Einspritzöffnung 33 dem Substrat 8 zugeführt. Das ozonisierte Gas und der Entferner, die durch das Einspeisungsrohr 31 zugeführt werden, werden von der Mitte zur Peripherie des Substrats 8 verteilt und gelangen mit der gesamten Fotoresistschicht in Kontakt.
  • Während die Fotoresistschicht auf der Substratoberfläche durch Kontakt mit dem ozonisierten Gas oxidiert und abgebaut und das Molekulargewicht einer in der Fotoresistschicht enthaltenen organischen Komponente reduziert wird, wird auf der Fotoresistschicht eine Beschichtung aus dem Fotoresistschichtentferner gebildet. Die Fotoresistschicht mit dem reduzierten Molekulargewicht kann in der Beschichtung aus dem Fotoresistschichtentferners gelöst werden, durch eine weitere Zugabe des Fotoresistschichtentferners abgewaschen und dann von der Oberfläche des Substrats entfernt werden.
  • Bei der in 1 gezeigten Vorrichtung (A1) nach der vorliegenden Erfindung wird das ozonisierte Gas mit einem konstanten hohen Druck von einer Position zugeführt, die der Mitte des Substrats entspricht.
  • Die Erfinder haben gefunden, dass der Druck des ozonisierten Gases, das der Vorrichtung zugeführt wird, Funktionalität in Bezug auf die Fotoresistschichtentfernungsrate hat und dass dann, wenn der Druck zunimmt, die Rate im Wesentlichen proportional zu dem Druck verbessert werden kann. Bei der vorliegenden Erfindung wird folglich im Hinblick auf die Zuverlässigkeit und Sicherheit der bei dem Verfahren verwendeten Vorrichtung das ozonisierte Gas mit einem konstanten hohen Druck zwischen bevorzugt 1 atm (101,325 Pa) und 5 atm (506,625 Pa) zugeführt.
  • Außerdem wird bei der vorliegenden Erfindung das ozonisierte Gas bevorzugt kontinuierlich zugeführt. Durch kontinuierliches Zuführen des ozonisierten Gases kann die gleichmäßige Zufuhr von Ozon zu der gesamten Oberfläche des Substrats auch dann aufrechterhalten werden, wenn in dem ozonisierten Gas enthaltenes Ozon mit der Fotoresistschicht in Kontakt gelangt und durch die Oxidation und den Abbau verbraucht wird, und infolgedessen kann ein gleichmäßiges Entfernen der Fotoresistschicht erzielt werden.
  • In dem ozonisierten Gas enthaltenes Ozon wird zwar bevorzugt nur bei der beim Entfernen der Fotoresistschicht stattfindenden Reaktion verbraucht; Ozon kann jedoch aufgrund der hohen Reaktionsfähigkeit von Ozon durch Reaktion mit Materialen verbraucht werden, die nicht die Fotoresistschicht sind (beispielsweise der Innenwand des Reaktionsgefäßes oder einem Teil der Vorrichtung oder dergleichen). Um eine solche Verschwendung von Ozon zu vermeiden, können der Reaktionsgefäß und der Teil der Vorrichtung bevorzugt aus rostfreiem Stahl oder Teflon®-beschichtetem rostfreiem Stahl, Teflon®-Harz, Keramik oder Teflon®-beschichteter Keramik oder einem Gemisch davon bestehen.
  • Gleichzeitig mit der Zugabe des ozonisierten Gases wird der Fotoresistschichtentferner aus einer Vielzahl von Fotoresistschichtentferner-Auslässen 33 zugeführt, die in der Gas-/Flüssigkeitszuführeinrichtung 30 vorgesehen sind.
  • Um eine effektive Entfernung der Fotoresistschicht zu erzielen, können bevorzugt mindestens zehn Auslässe 33 entsprechend der gesamten Oberfläche des Substrats 8 verteilt sein, die jeweils einen Durchmesser von ungefähr 1 mm oder weniger haben.
  • Während der Fotoresistschichtentferner wie vorstehend beschrieben zugeführt wird, kann man beobachten, dass eine dicke Beschichtung aus der Lösung auf der Oberfläche der Fotoresistschicht gebildet wird. 2 zeigt die Beziehung der Beschichtungsdicke des Entferners (mm) zu der maximalen Fotoresistschichtentfernungsrate. Die Beschichtungsdicke wird visuell bestimmt. 2 zeigt, dass die Fotoresistschichtentfernungsrate ausreichend hoch sein kann, wenn die Beschichtungsdicke 1 mm oder weniger ist. Durch diese geringere Dicke der auf der Fotoresistschicht gebildeten Beschichtung dringt Ozon leichter in die Beschichtung ein.
  • Da es jedoch schwierig ist, die Beschichtungsdicke von 1 mm oder weniger durch kontinuierliches Zuführen des Entferners zu bilden, wird nach der vorliegenden Erfindung der Fotoresistschichtentferner bevorzugt intermittierend (am meisten bevorzugt alle 1 bis 360 s) zugeführt.
  • Die Erfinder haben ferner gefunden, dass bei der oben beschriebenen Vorrichtung die Fotoresistschichtentfernungsrate durch den Abstand zwischen der Gas-/Flüssigkeitszuführeinrichtung 30 einschließlich des Einspeisungsrohrs 31 für ozonisiertes Gas und der Oberfläche des Substrats 8 (in 1 mit δ bezeichnet) erheblich beeinflusst wird.
  • 3 zeigt Änderungen sowohl der maximalen Fotoresistschichtentfernungsrate als auch des Grads der Gleichmäßigkeit der Rate in einer Ebene auf dem Substrat als eine Funktion des Abstands (mm). 3 zeigt, dass die maximale Rate umso höher ist, je kleiner der Abstand ist, und dass der Grad der Gleichmäßigkeit in einer Ebene umso höher ist, je größer der Abstand ist. Unter Bezugnahme auf 1 wird dieses Ergebnis wie folgt gesehen: Je kleiner der Abstand zwischen der Gas-/Flüssigkeitszuführeinrichtung 30 und der Oberfläche des Substrats 8 ist, desto rascher ist die Durchflussrate des ozonisierten Gases durch den Zwischenraum zwischen ihnen, und dadurch kann die ersichtliche Ozonmenge, die der Oberfläche des Substrat zugeführt wird, zunehmen, die Oxidations- und Abbaurate der Fotoresistschicht kann ebenfalls verbessert werden. Wenn jedoch der Abstand zu gering ist, kann eine Situation auftreten, in der die ozonisierte Gasströmung an der Oberfläche des Substrats ungleichmäßig wird.
  • Aufgrund der obigen Erläuterung wird der Abstand (δ) zwischen der Gas-/Flüssigkeitszuführeinrichtung 30 und der Oberfläche des Substrats 8 bevorzugt mit einem Bereich von ungefähr 1 bis 5 mm eingestellt.
  • Um das Entfernen der Fotoresistschicht unter Anwendung des Verfahrens der vorliegenden Erfindung effizienter auszuführen, kann das Substrat erwärmt werden. Das Erwärmen des Substrats 8 wird unter Verwendung der Heizeinrichtung 41 ausgeführt, die in dem Tisch 40 vorgesehen ist (1). Die Temperatur der Heizeinrichtung 41 kann durch eine Steuereinheit 42, die unter dem Reaktionsgefäß 6 liegt, gesteuert werden.
  • Die Oxidations- und Abbaureaktion der Fotoresistschicht mit Ozon wird zwar beschleunigt, wenn das Substrat auf eine höhere Temperatur erwärmt wird; das Substrat kann jedoch beschädigt werden, wenn die Temperatur zu hoch ist. Deshalb kann die Aufheiztemperatur des Substrats in Abhängigkeit von dem verwendeten Substrattyp variiert werden. Wenn beispielsweise ein Siliciumwafer als das Substrat verwendet wird, ist die Temperatur bevorzugt ungefähr 300°C oder niedriger, bei Verwendung eines Glassubstrats ist sie bevorzugt ungefähr 100°C oder niedriger.
  • Wenn das Substrat wie oben beschrieben erwärmt wird, wird auch der Abbau von Ozon beschleunigt und die Freisetzung von Sauerstoffatomen, die eine hohe Oxidationsreaktionsfähigkeit haben, wird erhöht, und dadurch kann auch die Rate der Entfernung der Fotoresistschicht durch den Abbau verbessert werden. Gleichzeitig kann jedoch eine erhöhte Temperatur im Bereich des Substrats auch bewirken, dass die Temperatur des Einspeisungsrohrs 31 für ozonisiertes Gas steigt. Ozon kann also in dem Einspeisungsrohr thermisch abgebaut werden, so dass es manchmal unmöglich ist, dass die aktiven Sauerstoffatome die Oberfläche des Substrats erreichen.
  • Da bei der Vorrichtung (A1, 1) der vorliegenden Erfindung die Temperatur des Fotoresistschichtentferners unter Verwendung einer Kühleinrichtung 115 vor dessen Einleiten in das Reservoir 32 gesenkt wird, kann also das Einspeisungsrohr 31 für ozonisiertes Gas, das dem Reservoir 32 benachbart ist, gekühlt werden, und dadurch kann der thermische Abbau von Ozon an Stellen, die nicht im Bereich der Oberfläche des Substrats 8 sind, verhindert werden.
  • Um die Zufuhr des ozonisierten Gases und des Fotoresistschichtentferners zu der gesamten Oberfläche des Substrats zu erleichtern, kann nach der vorliegenden Erfindung das Substrat an seinem Massenmittelpunkt dadurch trochoid sein, dass der in 1 gezeigte Tisch 40 gedreht wird. Die Drehung des Tischs 40 kann mit der Steuereinheit 42 auf eine gewünschte Geschwindigkeit gesteuert werden.
  • Bei der Vorrichtung (A1) der vorliegenden Erfindung kann überschüssiger zugeführter Fotoresistschichtentferner 5 in dem Reaktionsgefäß 6 gesammelt und dann wiederverwendet werden. Der gesammelte Entferner kann durch einen Filter oder dergleichen (nicht gezeigt) geleitet werden, um Fotoresistschicht-Rückstände daraus zu entfernen, und dann durch die Kühleinrichtung 115, die Pumpe 4 und das Einspeisungsrohr 114 transportiert werden, um als Fotoresistschichtentferner 5 wiederverwendet zu werden.
  • Bei der Vorrichtung der vorliegenden Erfindung wird, nachdem überschüssiges zugeführtes ozonisiertes Gas durch ein Ablassrohr 112' für ozonisiertes Gas zu einer Ozonüberschuss-Verarbeitungseinrichtung 13 transportiert worden ist, das verbliebene Ozon in Sauerstoff umgewandelt, um es in die Atmosphäre abzugeben, und dadurch kann eine Verschmutzung der Atmosphäre durch Ozon verhindert werden (1).
  • Da die Fotoresistschicht in dem abgedichteten Behälter entfernt wird, wird nach der vorliegenden Erfindung kein gefährliches Gas in die Atmosphäre abgegeben, und zwar ohne dass eine großtechnische Ventilationseinrichtung erforderlich ist. Die Materialkosten können ebenfalls gesenkt werden, da der bei dem Verfahren verwendete Fotoresistschichtentferner nach dem Vorgang zirkuliert wird.
  • Ausführungsform 2
  • Es ist vorstehend beschrieben worden, dass nach der vorliegenden Erfindung die Fotoresistschichtentfernungsrate erheblich gesteigert werden kann, indem man die Dicke der Beschichtung des Fotoresistschichtentferners, die auf der zu behandelnden Fotore sistschicht gebildet wird, reduziert. Eine Reduzierung der Dicke der Beschichtung kann jedoch nicht nur durch intermittierendes Zuführen des Entferners gemäß der Beschreibung von Ausführungsform 1 erzielt werden, sondern auch durch Anlegen eines elektrischen Felds zwischen der Gas-/Flüssigkeitszuführeinrichtung 30 und dem Substrat 8, wie 4 zeigt.
  • 4 zeigt ein Beispiel einer Vorrichtung (A2), die eine Einrichtung zum Erzeugen eines elektrischen Felds zwischen der Zuführeinrichtung 30 und dem Substrat 8 aufweist, das auf dem Tisch 40 angeordnet ist. Bei der Vorrichtung (A2) können mehrere Kilovolt oder mehr an die Gas-/Flüssigkeitszuführeinrichtung 30 angelegt werden, und der Tisch 40 ist geerdet. Der Fotoresistschichtentferner wird entweder kontinuierlich oder intermittierend, bevorzugt intermittierend, zugeführt. Das Anlegen der hohen Spannung an die Gas-/Flüssigkeitszuführeinrichtung 30 kann bevorzugt dann erfolgen, wenn der Fotoresistschichtentferner aus den Auslässen 33 zugeführt wird.
  • Da das elektrische Feld zwischen der Zuführeinrichtung 30 und dem Substrat 8 beim Zuführen des Fotoresistschichtentferners erzeugt wird, wird der aus den Auslässen 33 ausgestoßene Entferner durch die Wirkung des elektrischen Felds in Form von winzigen Tröpfchen verteilt. Die Tröpfchen des Entferners können beim Aufbringen auf die Fotoresistschicht auf die Oberfläche des Substrats in einer sehr dünnen Beschichtung resultieren. Da der Entferner in die sehr dünne Beschichtung umgewandelt wird, kann die Oxidations- und Abbaureaktion mit Ozon beschleunigt werden, und infolgedessen kann die Fotoresistschichtentfernungsrate gesteigert werden.
  • Im vorliegenden Fall soll die in 4 gezeigte Einrichtung zum Erzeugen des elektrischen Felds nur als ein Beispiel dienen, und die vorliegende Erfindung ist nicht darauf beschränkt. Die in 4 verwendete Vorrichtung (A2) kann gleich sein wie die in der obigen Ausführungsform 1 beschriebene Vorrichtung (A1), mit Ausnahme der Einrichtungen, Elemente und Funktionen, die vorstehend speziell beschrieben sind.
  • Ausführungsform 3
  • Bei der für das Verfahren der vorliegenden Erfindung verwendeten Vorrichtung wird in den Ausführungsformen 1 und 2 das ozonisierte Gas aus dem Einspeisungsrohr 31 für ozonisiertes Gas entsprechend der Mitte des Substrats zugeführt, und der Fotoresistschichtentferner wird aus dem Fotoresistschichtentferner-Einspritzauslass 33 zugeführt, der sich an der Peripherie des Einspeisungsrohrs 31 befindet (A1 und A2, in 1 und 2 gezeigt). Dabei sind die Position zum Zuführen des ozonisierten Gases und des Fotoresistschichtentferners erforderlichenfalls jeweils austauschbar.
  • Wie bei einer Vorrichtung (A3) gemäß 5 gezeigt ist, kann beispielsweise der Fotoresistschichtentferner aus dem Einspeisungsrohr 31 entsprechend der Mitte des Substrats zugeführt werden, und das ozonisierte Gas kann aus den Auslässen 33 zugeführt werden, die sich an der Peripherie des Einspeisungsrohrs 31 befinden.
  • In diesem Fall wird bevorzugt das ozonisierte Gas kontinuierlich zugeführt, und der Fotoresistschichtentferner wird intermittierend zugeführt; diese Bedingungen für die Bereitstellung des ozonisierten Gases und des in dem Verfahren verwendeten Fotoresistschichtentfernertyps können jedoch gleich wie die in Ausführungsform 1 beschriebenen sein.
  • Die bei dieser Ausführungsform 3 verwendete Vorrichtung kann gleich sein wie die von Ausführungsform 1, mit Ausnahme der oben beschriebenen Einrichtungen und Bedingungen, die für die Zufuhr angewandt werden.
  • Ausführungsform 4
  • Nach der vorliegenden Erfindung können das ozonisierte Gas und der Fotoresistschichtentferner dem Reaktionsgefäß 6 entweder separat oder als ein Gemisch zugeführt werden. Die Ausführungsformen 1 bis 3 beschreiben die Verfahren und Vorrichtungen, die verwendet werden, um das ozonisierte Gas und den Fotoresistschichtentferner separat zuzuführen. Bei dieser Ausführungsform 4 werden nachstehend das Verfahren und die Vorrichtung erläutert, die das Vermischen des ozonisierten Gases und des Fotoresistschichtentferners aufweisen, um dem Reaktionsgefäß 6 ein Gemisch daraus zuzuführen (6 und 7), um die Zufuhr des ozonisierten Gases und des Fotoresistschichtentferners zu der gesamten Oberfläche des Substrats zu erleichtern.
  • Bei den in 6 und 7 gezeigten Vorrichtungen (A4 und A5) wird während das in dem Ozongenerator 1 erzeugte ozonisierte Gas durch das Einspeisungsrohr 111 zu der Ausstoßeinrichtung 2 transportiert wird, der Fotoresistschichtentferner durch das Einspeisungsrohr 114 der Ausstoßeinrichtung 2 zugeführt. Nach dem Vermischen des ozonisierten Gases und des Fotoresistschichtentferners in der Ausstoßeinrichtung 2 wird das Gemisch durch das Einspeisungsrohr 112 der Zuführeinrichtung 30' (6) oder 31' (7) zugeführt. Die in 6 gezeigte Vorrichtung (A4) ist mit einem Reservoir 32' und einem Auslass 33' in der Zuführeinrichtung 30' versehen, ähnlich wie bei der Vorrichtung (A1) von Ausführungsform 1, so dass das Gemisch aus den Auslässen 33' zum Substrat ausgestoßen werden kann. Alternativ kann bei der in 7 gezeigten Vorrichtung (A5) das Gemisch unmittelbar aus dem Einspeisungsrohr 31' zugeführt werden, das an einer der Mitte des Substrats entsprechenden Position verteilt angeordnet ist.
  • Bei dieser Ausführungsform 4 kann die Konzentration des in den Fotoresistschichtentferner eingebrachten ozonisierten Gases, insbesondere die effektive Konzentration des eingebrachten ozonisierten Gases, in Abhängigkeit von einer in dem ozonisierten Gas enthaltenen Ozonmenge und dem in dem Verfahren verwendeten Entfernertyp variiert werden. Wenn beispielweise Reinwasser als Entferner verwendet wird, kann die Ozonmenge, bevorzugt im Sättigungszustand, in einem Bereich von ungefähr 10 bis 200 ppm bei 20°C liegen.
  • Ferner wird bei dem Verfahren und der Vorrichtung dieser Ausführungsform 4 bevorzugt, das ozonisierte Gas kontinuierlich und den Fotoresistschichtentferner intermittierend zuzuführen, um die Fotoresistschichtentfernungsrate zu steigern. Das heißt, bei den Vorrichtungen (A4 und A5) nach der vorliegenden Erfindung können beispielsweise das Gemisch und der kein ozonisiertes Gas enthaltende Fotoresistschichtentferner alternierend auf die Oberfläche des Substrats zugeführt werden.
  • Bei jeder der in den 6 und 7 gezeigten Vorrichtungen kann das Substrat an seinem Massenmittelpunkt auf die gleiche Weise wie in Ausführungsform 1 beschrieben trochoid sein, um das Ausbreiten des Gemischs und des Entferners auf der gesamten Oberfläche des Substrats zu erleichtern.
  • Die bei dieser Ausführungsform 4 verwendete Vorrichtung kann gleich wie die von Ausführungsform 1 sein, mit Ausnahme der speziell beschriebenen Einrichtungen, Funktionen und Bedingungen.
  • Ausführungsform 5
  • Bei einer Vorrichtung (A6) dieser Ausführungsform 5, die eine weiter verbesserte Version der Vorrichtung der Ausführungsformen 1 bis 3 nach der vorliegenden Erfindung ist und bei der gewährleistet werden kann, dass das ozonisierte Gas von dem Ozongenerator 1 dem Verfahren in einer ausreichenden Menge zugeführt wird, kann die Endöffnung des Einspeisungsrohrs 31 für ozonisiertes Gas zu dem Substrat 8 hin in einer radial erweiterten Gestalt wie das trichterförmige Schallstück einer Trompete aufgeweitet sein, wie 8 zeigt.
  • Wenn der Radius (oder eine Länge der Längsseite) des Substrats r ist, kann die Endöffnung des Einspeisungsrohrs 31 für ozonisiertes Gas zu dem Substrat hin allmählich mit einem Gradienten von ungefähr 1/r radial aufgeweitet sein. Die erweiterte Gestalt kann einen gekrümmten Bereich wie etwa bei dem trichterförmigen Schallstück einer Trompete haben.
  • Eine solche Gestalt der Endöffnung des Einspeisungsrohrs 31 für ozonisiertes Gas ermöglicht es, das ozonisierte Gas dem gesamten Substrat gleichmäßiger und effizienter zuzuführen.
  • Bei dieser Ausführungsform 5 kann ein Einspritzauslass (in 8 nicht gezeigt) zum Ausstoßen des Fotoresistschichtentferners zu dem Substrat 8 außerdem entlang der Endöffnung des Einspeisungsrohrs 31 für ozonisiertes Gas vorgesehen sein.
  • Auswirkungen der Erfindung
  • Da nach dem Verfahren der vorliegenden Erfindung die Fotoresistschicht in dem abgedichteten System entfernt wird und der Fotoresistschichtentferner zur Wiederverwendung zirkuliert werden kann, können die Kosten sowohl der Ventilationseinrichtung als auch des Ausgangsmaterials erheblich gesenkt werden. Da die Vorrichtung nach der vorliegen den Erfindung ein Absaugsystem hat, kann durch die Abgabe eines Überschusses an zugeführtem Ozon hervorgerufene Luftverschmutzung verhindert werden.
  • Nach der vorliegenden Erfindung kann die Fotoresistschichtentfernungsrate gegenüber dem Stand der Technik aufgrund der folgenden Fähigkeiten um ein Mehrfaches gesteigert werden:
    • (1) gleichmäßiges und entweder kontinuierliches oder intermittierendes Zuführen des ozonisierten Gases und des Fotoresistschichtentferners zu der Fotoresistschicht;
    • (2) Verwenden von ozonisiertem Gas, das Ozon enthält, das dazu dient, die Fotoresistschicht umfangreicher als herkömmlich zu oxidieren und abzubauen;
    • (3) Einstellen des Abstands zwischen der Oberfläche der Fotoresistschicht, die auf die Oberfläche des Substrats aufgebracht ist, und der Fotoresistschichtentferner-Zuführeinrichtung, die der Einrichtung zum Bilden der Fotoresistschicht gegenüber angeordnet ist, auf den effektivsten Bereich (insbesondere zwischen 1 und 5 mm); und
    • (4) Zuführen des ozonisierten Gases mit einem hohen Druck von 1 atm (101,325 Pa) bis 5 atm (506,625 Pa).
  • Nach der vorliegenden Erfindung können das ozonisierte Gas und der Fotoresistschichtentferner entweder separat oder in Form eines Gemischs zugeführt werden. Da das ozonisierte Gas kontinuierlich zugeführt werden kann und der Fotoresistschichtentferner intermittierend zugeführt werden kann, kann in beiden Fällen die Fotoresistschichtentfernungsrate weiter gesteigert werden.
  • Da die Vorrichtung nach dem zweiten Aspekt der vorliegenden Erfindung aus einem Material besteht, das mit Ozon nicht reagiert, kann das ozonisierte Gas nur zum Entfernen der Fotoresistschicht effektiv eingesetzt werden.
  • Bei der Vorrichtung der vorliegenden Erfindung können das ozonisierte Gas und der Fotoresistschichtentferner aus der gleichen Düse oder aus verschiedenen Auslässen, die in der Fotoresistschichtentferner-Zuführeinrichtung vorgesehen sind, kontinuierlich oder intermittierend auf die Substratoberfläche zur Verwendung als das Gemisch zum Entfernen der Fotoresistschicht zugeführt werden. Das ozonisierte Gas enthält Ozon in einer Menge von mindestens 5 mol-%, bevorzugt zwischen 5 und 100 mol-%.
  • Bei der Vorrichtung der vorliegenden Erfindung kann die Fotoresistschichtentfernungsrate gesteigert werden durch Einbringen des ozonisierten Gases in den Fotoresistschichtentferner, so dass der Fotoresistschicht ein Gemisch daraus zugeführt wird.
  • Da die Vorrichtung der vorliegenden Erfindung eine Einrichtung zum Erwärmen des Tischs und/oder eine Einrichtung zum Kühlen des Fotoresistschichtentferners aufweist, können die Temperatur des Fotoresistschichtentferners und die Temperatur an einer Position, die von dem Substrat 5 mm oder mehr entfernt ist, niedriger eingestellt werden als die Temperatur an der Oberfläche des Substrats, und dadurch kann die Rate weiter gesteigert werden.
  • Da die Vorrichtung der vorliegenden Erfindung das ozonisierte Gas mit einem hohen Druck in einem Bereich von 1 atm (101,325 Pa) und 5 atm (506,625 Pa) zuführen kann, kann bewirkt werden, dass eine ausreichende Ozonmenge auf die Fotoresistschicht einwirkt. Der bei der vorliegenden Erfindung verwendete Fotoresistschichtentferner kann aus allen gewöhnlich verwendeten ausgewählt werden.
  • Außerdem kann nach der vorliegenden Erfindung eine Einrichtung zum Erzeugen des elektrischen Felds zwischen der Fotoresistschichtentferner-Zuführeinrichtung und dem Substrat zum Umwandeln des Fotoresistschichtentferners in ein Mikropartikel in der Vorrichtung der Erfindung installiert sein, und dadurch kann die Oxidations- und Abbaurate von Ozon beschleunigt werden. Infolgedessen kann eine höhere Fotoresistschichtentfernungsrate erhalten werden.

Claims (17)

  1. Verfahren zum Entfernen einer Fotoresistschicht in einem abgedichteten System durch gleichmäßiges und kontinuierliches oder intermittierendes Zuführen eines Fororesistschicht-Entfernungsgemischs, das ein ozonisiertes Gas und einen flüssigen Fotoresistschichtentferner (5) enthält, zu einer Fotoresistschicht, die auf einer Oberfläche eines Substrats (8) gebildet ist, durch eine Fotoresistschichtentferner-Zuführeinrichtung (30), die der Fotoresistschicht gegenüber angeordnet ist; wobei die Fotoresistschichtentferner-Zuführeinrichtung der Fotoresistschicht direkt gegenüber angeordnet ist und der Abstand (δ) zwischen einer Oberfläche der Fotoresistschicht und der Fotoresistschichtentferner-Zuführeinrichtung in einem Bereich von 1 bis 5 mm liegt.
  2. Verfahren nach Anspruch 1, wobei das ozonisierte Gas Ozongas in einer Menge von mindestens 5 mol-%, bezogen auf die Gesamtmenge des ozonisierten Gases, enthält.
  3. Verfahren nach einem der Ansprüche 1 oder 2, wobei das ozonisierte Gas und der Fotoresistschichtentferner separat oder in gemischter Form zugeführt werden.
  4. Verfahren nach einem der Ansprüche 1 bis 3, wobei das ozonisierte Gas unter einem hohen Druck in einem Bereich zwischen 1 atm (101,325 Pa) und 5 atm (506,625) zugeführt wird.
  5. Verfahren nach einem der Ansprüche 1 bis 4, wobei der Fotoresistschichtentferner aus organischen Lösungsmitteln ausgewählt ist, die eine geringere Reaktionsfähigkeit mit Ozon haben und die gesättigte Alkohole, Ketone und Carbonsäuren umfassen.
  6. Verfahren nach einem der Ansprüche 1 bis 5, wobei sowohl die Temperatur des Fotoresistschichtentferners und ein Bereich, der von der Oberfläche des Substrats mindestens 5 mm entfernt ist, auf eine niedrigere Temperatur als die Oberfläche des Substrats eingestellt werden.
  7. Verfahren nach einem der Ansprüche 1 bis 6, wobei das ozonisierte Gas kontinuierliche zugeführt wird und der Fotoresistschichtentferner intermittierend zugeführt wird.
  8. Verfahren nach einem der Ansprüche 1 bis 7, das eine Einrichtung zum Erzeugen eines elektrischen Felds zwischen der Fotoresistschichtentferner-Zuführeinrichtung und der Oberfläche des Substrats aufweist, um den Fotoresistschichtentferner in ein Mikropartikel umzuwandeln.
  9. Vorrichtung zum Gebrauch bei dem Verfahren nach einem der Ansprüche 1 bis 8, wobei die Vorrichtung aufweist: eine Reaktionskammer (6), die mit einem Ozonerzeuger (1) und einem Abgassystem (13) versehen ist, einer Bühne (40) zum Fixieren des Substrats (8), das die Fotoresistschicht auf seiner Oberfläche hat, und eine Fotoresistschichtentferner-Zuführeinrichtung (30), die der Bühne gegenüber angeordnet ist, wobei die Bühne und die Fotoresistschichtentferner-Zuführeinrichtung in der Reaktionskammer verteilt sind, dadurch gekennzeichnet, dass die Fotoresistschichtentferner-Zuführeinrichtung ausgelegt ist, um ein Fotoresistschicht-Entfernungsgemisch, das ein ozonisiertes Gas und einen flüssigen Fotoresistschichtentferner enthält, der Fotoresistschicht durch die gleichen oder unterschiedliche Öffnungen, die in der Fotoresistschichtentferner-Zuführeinrichtung gebildet sind, kontinuierlich oder intermittierend zuzuführen.
  10. Vorrichtung zum Entfernen einer Fotoresistschicht nach Anspruch 9, wobei die Reaktionskammer aus rostfreiem Stahl oder Teflon®-beschichtetem rostfreiem Stahl, Teflon®-Harz, Keramik oder Teflon®-beschichteter Keramik oder einem Gemisch davon besteht.
  11. Vorrichtung zum Entfernen einer Fotoresistschicht nach einem der Ansprüche 9 oder 10, wobei das ozonisierte Gas mindestens 5 mol-% Ozongas, bezogen auf die Gesamtmenge des ozonisierten Gases, enthält.
  12. Vorrichtung zum Entfernen einer Fotoresistschicht nach einem der Ansprüche 9 bis 11, wobei das ozonisierte Gas und der Fotoresistschichtentferner vorgemischt sind, um sie als das Fotoresistschicht-Entfernungsgemisch zuzuführen.
  13. Vorrichtung zum Entfernen einer Fotoresistschicht nach einem der Ansprüche 9 bis 12, wobei ein Abstand (δ) zwischen einer Oberfläche der Fotoresistschicht und der Fotoresistschichtentferner-Zuführeinrichtung in einem Bereich von 1 bis 5 mm liegt.
  14. Vorrichtung zum Entfernen einer Fotoresistschicht nach einem der Ansprüche 9 bis 13, die ferner aufweist: eine Einrichtung (41) zum Erwärmen der Bühne (40) und/oder eine Einrichtung (115) zum Kühlen des Fotoresistschichtentferners.
  15. Vorrichtung zum Entfernen einer Fotoresistschicht nach einem der Ansprüche 9 bis 14, wobei das ozonisierte Gas unter einem hohen Druck in einem Bereich zwischen 1 atm (101,325 Pa) und 5 atm (506,625 Pa) zugeführt wird.
  16. Vorrichtung zum Entfernen einer Fotoresistschicht nach einem der Ansprüche 9 bis 15, wobei der Fotoresistschichtentferner aus organischen Lösungsmitteln ausgewählt ist, die eine geringere Reaktionsfähigkeit mit Ozon haben und gesättigte Alkohole, Ketone und Carbonsäuren umfassen.
  17. Vorrichtung zum Entfernen einer Fotoresistschicht nach einem der Ansprüche 9 bis 16, die ferner eine Einrichtung zum Erzeugen eines elektrischen Felds zwischen der Fotoresistschichtentferner-Zuführeinrichtung und dem Substrat aufweist.
DE69936131T 1998-11-13 1999-11-12 Verfahren und vorrichtung zur entfernung eines photoresistfilms Active DE69936131T9 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP32350398A JP3869566B2 (ja) 1998-11-13 1998-11-13 フォトレジスト膜除去方法および装置
JP32350398 1998-11-13
PCT/JP1999/006324 WO2000030165A1 (fr) 1998-11-13 1999-11-12 Procede et dispositif d'elimination d'un film de photoresine

Publications (3)

Publication Number Publication Date
DE69936131D1 DE69936131D1 (de) 2007-07-05
DE69936131T2 DE69936131T2 (de) 2008-01-17
DE69936131T9 true DE69936131T9 (de) 2008-05-08

Family

ID=18155429

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69936131T Active DE69936131T9 (de) 1998-11-13 1999-11-12 Verfahren und vorrichtung zur entfernung eines photoresistfilms

Country Status (7)

Country Link
US (2) US6517998B1 (de)
EP (1) EP1049142B1 (de)
JP (1) JP3869566B2 (de)
KR (2) KR20010034087A (de)
DE (1) DE69936131T9 (de)
TW (1) TW451335B (de)
WO (1) WO2000030165A1 (de)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6982006B1 (en) * 1999-10-19 2006-01-03 Boyers David G Method and apparatus for treating a substrate with an ozone-solvent solution
US6440871B1 (en) 2000-08-16 2002-08-27 Micron Technology, Inc. Gas assisted method for applying resist stripper and gas-resist stripper combinations
WO2002027775A1 (fr) * 2000-09-28 2002-04-04 Mitsubishi Denki Kabushiki Kaisha Procede et appareil de traitement de plaquettes
JP4844912B2 (ja) * 2001-08-01 2011-12-28 野村マイクロ・サイエンス株式会社 フォトレジストの除去方法及び除去装置
JP3914842B2 (ja) * 2001-10-23 2007-05-16 有限会社ユーエムエス 有機被膜の除去方法および除去装置
TW523820B (en) * 2002-03-18 2003-03-11 Sumitomo Recision Products Co Ozone processing method and device
JP4334844B2 (ja) * 2002-06-26 2009-09-30 東京エレクトロン株式会社 デバイス用溝構造体の製造方法
WO2004095550A1 (ja) * 2003-04-21 2004-11-04 Sekisui Chemical Co. Ltd. 有機物除去装置、有機物除去方法、オゾン水噴射ノズル、及び、マスク基板用有機物除去装置
JP4351862B2 (ja) * 2003-04-21 2009-10-28 積水化学工業株式会社 レジスト除去方法及びレジスト除去装置
WO2004112093A2 (en) 2003-06-06 2004-12-23 P.C.T. Systems, Inc. Method and apparatus to process substrates with megasonic energy
US7202175B2 (en) * 2003-11-07 2007-04-10 Industrial Technology Research Institute Method and apparatus for treating a substrate surface by bubbling
US20060005856A1 (en) * 2004-06-29 2006-01-12 Applied Materials, Inc. Reduction of reactive gas attack on substrate heater
JP4438709B2 (ja) * 2005-07-19 2010-03-24 株式会社Sumco ウェーハの枚葉式エッチング方法
JP4787038B2 (ja) * 2006-03-03 2011-10-05 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
US8303797B2 (en) 2006-06-16 2012-11-06 Kabushiki Kaisha Toshiba Cleaning system and cleaning method
CN101211125B (zh) * 2006-12-25 2010-08-11 中芯国际集成电路制造(上海)有限公司 光刻胶的去除方法
DE102007058503B4 (de) * 2007-12-05 2011-08-25 Siltronic AG, 81737 Verfahren zur nasschemischen Behandlung einer Halbleiterscheibe
JP2009170554A (ja) * 2008-01-11 2009-07-30 Panasonic Corp 半導体装置の製造方法
KR100992269B1 (ko) * 2008-06-02 2010-11-05 삼성전기주식회사 도금층 형성 방법
JP2011145530A (ja) * 2010-01-15 2011-07-28 Hitachi Displays Ltd 表示装置、及び、表示装置の製造方法
US9748120B2 (en) 2013-07-01 2017-08-29 Lam Research Ag Apparatus for liquid treatment of disc-shaped articles and heating system for use in such apparatus
CN104779136A (zh) * 2014-01-10 2015-07-15 上海和辉光电有限公司 一种去除光致抗蚀剂的方法和设备
US9620383B2 (en) * 2014-07-10 2017-04-11 Tokyo Electron Limited Method for uncovering underlying alignment patterns
TWI595332B (zh) * 2014-08-05 2017-08-11 頎邦科技股份有限公司 光阻剝離方法
US10490426B2 (en) 2014-08-26 2019-11-26 Lam Research Ag Method and apparatus for processing wafer-shaped articles
KR101847086B1 (ko) * 2014-11-18 2018-04-10 주식회사 엘지화학 포토레지스트 박리 장치 및 이를 이용한 포토레지스트 박리 방법
US11056343B2 (en) 2016-06-15 2021-07-06 Cardea Bio, Inc. Providing a temporary protective layer on a graphene sheet
US10759157B2 (en) 2016-06-15 2020-09-01 Nanomedical Diagnostics, Inc. Systems and methods for transferring graphene
US10395928B2 (en) * 2016-06-15 2019-08-27 Nanomedical Diagnostics, Inc. Depositing a passivation layer on a graphene sheet
US10903319B2 (en) * 2016-06-15 2021-01-26 Nanomedical Diagnostics, Inc. Patterning graphene with a hard mask coating
JP2022131171A (ja) * 2021-02-26 2022-09-07 株式会社Screenホールディングス 基板処理方法および基板処理装置
JP2022169174A (ja) * 2021-04-27 2022-11-09 株式会社Screenホールディングス 基板処理方法および基板処理装置
CN116759348B (zh) * 2023-08-18 2023-11-14 合肥晶合集成电路股份有限公司 补充h2o2液体的控制方法、其控制装置和其控制系统

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4341592A (en) * 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
JPS57180132A (en) 1981-04-30 1982-11-06 Fujitsu Ltd Washing method of substrate
JPS6236826A (ja) * 1985-08-09 1987-02-17 Tokyo Electron Ltd アツシング方法
US4812201A (en) * 1986-07-25 1989-03-14 Tokyo Electron Limited Method of ashing layers, and apparatus for ashing layers
JPH01206624A (ja) * 1988-02-15 1989-08-18 Koujiyundo Kagaku Kenkyusho:Kk レジストのドライエッチング法
JPH0724265B2 (ja) * 1988-04-13 1995-03-15 日本電気株式会社 半導体基板の洗浄装置
US5378317A (en) * 1990-10-09 1995-01-03 Chlorine Engineers Corp., Ltd. Method for removing organic film
JP2891578B2 (ja) * 1991-11-29 1999-05-17 クロリンエンジニアズ株式会社 基板処理方法
JPH05259139A (ja) * 1992-03-16 1993-10-08 Hitachi Ltd 洗浄装置
KR940012061A (ko) * 1992-11-27 1994-06-22 가나이 쯔또무 유기물제거방법 및 그 방법을 이용하기 위한 유기물제거장치
JP3150509B2 (ja) * 1992-11-27 2001-03-26 株式会社日立製作所 有機物除去方法及びその方法を使用するための装置
US5464480A (en) * 1993-07-16 1995-11-07 Legacy Systems, Inc. Process and apparatus for the treatment of semiconductor wafers in a fluid
JP3320549B2 (ja) * 1994-04-26 2002-09-03 岩手東芝エレクトロニクス株式会社 被膜除去方法および被膜除去剤
KR970053127A (ko) * 1995-12-30 1997-07-29 김주용 반도체 기판의 세정방법
US6701941B1 (en) * 1997-05-09 2004-03-09 Semitool, Inc. Method for treating the surface of a workpiece
TW385489B (en) * 1997-08-26 2000-03-21 Tokyo Electron Ltd Method for processing substrate and device of processing device
JPH11165136A (ja) * 1997-12-05 1999-06-22 Sony Corp レジスト除去方法およびレジスト除去装置
US6080531A (en) * 1998-03-30 2000-06-27 Fsi International, Inc. Organic removal process
JP3348695B2 (ja) * 1999-06-04 2002-11-20 日本電気株式会社 半導体ウェーハ上のフォトレジスト除去方法及び除去装置

Also Published As

Publication number Publication date
EP1049142A1 (de) 2000-11-02
KR20010034087A (ko) 2001-04-25
KR20030024727A (ko) 2003-03-26
KR100514598B1 (ko) 2005-09-13
EP1049142B1 (de) 2007-05-23
US7965372B2 (en) 2011-06-21
EP1049142A4 (de) 2003-01-02
WO2000030165A1 (fr) 2000-05-25
JP2000150349A (ja) 2000-05-30
DE69936131T2 (de) 2008-01-17
US20020115025A1 (en) 2002-08-22
US6517998B1 (en) 2003-02-11
JP3869566B2 (ja) 2007-01-17
TW451335B (en) 2001-08-21
DE69936131D1 (de) 2007-07-05

Similar Documents

Publication Publication Date Title
DE69936131T9 (de) Verfahren und vorrichtung zur entfernung eines photoresistfilms
DE69726634T2 (de) Entfernung von Kohlenstoff auf Substratenoberflächen
DE2640511C3 (de) Verfahren und Vorrichtung zum selektiven Ätzen einer Aluminiumschicht
DE102005030338B4 (de) Verfahren zum Herstellen eines Flüssigkristalldisplays unter Verwendung eines Femotsekundenlaser-Dünnfilm-Ätzverfahrens
DE4022401C2 (de)
DE19825033A1 (de) System zum Behandeln von Halbleitersubstraten und Behandlungsverfahren von Halbleitersubstraten
DE3923188C2 (de)
DE3023591A1 (de) Verfahren und vorrichtung zur steuerung eines aetzvorganges
EP0956584B1 (de) Verfahren und vorrichtung zum trocknen von substraten
DE2930360A1 (de) Verfahren zum plasmaaetzen von aluminium
DE10012803A1 (de) Verfahren und Vorrichtung zum Waschen von Photomasken und Waschlösung für Photomasken
DE4130391C2 (de) Verfahren zum selektiven entfernen einer schicht und dessen verwendung
DE4214091A1 (de) Trockenaetzverfahren fuer eine verbindungsschicht
DE69728992T2 (de) Gerät und methode zur aufarbeitung von fotoresist-entwicklern und -entschichtern
EP3055401B1 (de) Verwendung von mehrphasensflüssigkeiten zur vernetzten fotoresist-stripping
DE2227344C3 (de)
DE3925070A1 (de) Verfahren zum erhalt einer sauberen siliziumoberflaeche
DE3624384C2 (de)
DE3604342A1 (de) Verfahren zur erzeugung eines musters
DE2227344B2 (de) Verfahren zum aetzen von oeffnungen in eine schicht aus organischem material
DE69829850T2 (de) Verfahren und zusammensetzungen zum nachätzungsbehandeln von schichtfolgen in der halbleiterherstellung
DE4217836A1 (de) Resistentfernungsverfahren
DE10243159A1 (de) Verfahren zum Bilden eines Photolack-Musters und Verfahren zum Herstellen einer Halbleitervorrichtung
DE19829863B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung
DE3738344A1 (de) Anlage zum einfuehren von gitterstoerstellen und verfahren dazu

Legal Events

Date Code Title Description
8364 No opposition during term of opposition