DE19706682A1 - Anisotropes fluorbasiertes Plasmaätzverfahren für Silicium - Google Patents
Anisotropes fluorbasiertes Plasmaätzverfahren für SiliciumInfo
- Publication number
- DE19706682A1 DE19706682A1 DE19706682A DE19706682A DE19706682A1 DE 19706682 A1 DE19706682 A1 DE 19706682A1 DE 19706682 A DE19706682 A DE 19706682A DE 19706682 A DE19706682 A DE 19706682A DE 19706682 A1 DE19706682 A1 DE 19706682A1
- Authority
- DE
- Germany
- Prior art keywords
- etching
- silicon
- gas
- sccm
- che
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000034 method Methods 0.000 title claims abstract description 50
- 229910052710 silicon Inorganic materials 0.000 title claims abstract description 23
- 239000010703 silicon Substances 0.000 title claims abstract description 23
- 238000001020 plasma etching Methods 0.000 title claims abstract description 22
- 230000008569 process Effects 0.000 title claims abstract description 21
- 229910052731 fluorine Inorganic materials 0.000 title description 19
- 239000011737 fluorine Substances 0.000 title description 19
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 title description 5
- 238000005530 etching Methods 0.000 claims abstract description 60
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims abstract description 23
- 150000003377 silicon compounds Chemical class 0.000 claims abstract description 9
- 239000011241 protective layer Substances 0.000 claims abstract description 8
- 239000007789 gas Substances 0.000 claims description 50
- 150000002500 ions Chemical class 0.000 claims description 25
- -1 silicon compound silicon oxide Chemical class 0.000 claims description 17
- 238000006243 chemical reaction Methods 0.000 claims description 14
- 229910052760 oxygen Inorganic materials 0.000 claims description 13
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 12
- 229910004298 SiO 2 Inorganic materials 0.000 claims description 12
- 239000001301 oxygen Substances 0.000 claims description 12
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 11
- ABTOQLMXBSRXSM-UHFFFAOYSA-N silicon tetrafluoride Chemical compound F[Si](F)(F)F ABTOQLMXBSRXSM-UHFFFAOYSA-N 0.000 claims description 9
- 230000008021 deposition Effects 0.000 claims description 7
- 239000010410 layer Substances 0.000 claims description 7
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 7
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 6
- 229910052757 nitrogen Inorganic materials 0.000 claims description 6
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 6
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 5
- 230000005855 radiation Effects 0.000 claims description 4
- 238000004140 cleaning Methods 0.000 claims description 3
- 238000000926 separation method Methods 0.000 claims description 3
- 229910018503 SF6 Inorganic materials 0.000 claims description 2
- 150000001875 compounds Chemical class 0.000 claims description 2
- 230000007935 neutral effect Effects 0.000 claims description 2
- 239000002245 particle Substances 0.000 claims description 2
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 claims description 2
- 229960000909 sulfur hexafluoride Drugs 0.000 claims description 2
- 101100346656 Drosophila melanogaster strat gene Proteins 0.000 claims 2
- 230000001105 regulatory effect Effects 0.000 claims 1
- 239000000758 substrate Substances 0.000 abstract description 23
- 239000000376 reactant Substances 0.000 abstract description 8
- 238000005755 formation reaction Methods 0.000 description 7
- 238000002161 passivation Methods 0.000 description 7
- 238000000151 deposition Methods 0.000 description 6
- 150000004767 nitrides Chemical class 0.000 description 6
- 239000002516 radical scavenger Substances 0.000 description 6
- 230000015572 biosynthetic process Effects 0.000 description 5
- 230000001133 acceleration Effects 0.000 description 4
- 239000000203 mixture Substances 0.000 description 4
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 3
- 239000003518 caustics Substances 0.000 description 3
- 230000005284 excitation Effects 0.000 description 3
- 239000012535 impurity Substances 0.000 description 3
- 239000000178 monomer Substances 0.000 description 3
- 150000003254 radicals Chemical class 0.000 description 3
- 238000005215 recombination Methods 0.000 description 3
- 230000006798 recombination Effects 0.000 description 3
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 150000002222 fluorine compounds Chemical class 0.000 description 2
- 230000002401 inhibitory effect Effects 0.000 description 2
- MWUXSHHQAYIFBG-UHFFFAOYSA-N nitrogen oxide Inorganic materials O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 229910002092 carbon dioxide Inorganic materials 0.000 description 1
- 239000001569 carbon dioxide Substances 0.000 description 1
- 239000007795 chemical reaction product Substances 0.000 description 1
- 210000001520 comb Anatomy 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 230000003628 erosive effect Effects 0.000 description 1
- 230000008014 freezing Effects 0.000 description 1
- 238000007710 freezing Methods 0.000 description 1
- 230000001939 inductive effect Effects 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 230000002452 interceptive effect Effects 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 239000000463 material Substances 0.000 description 1
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 description 1
- 150000002831 nitrogen free-radicals Chemical class 0.000 description 1
- 239000001272 nitrous oxide Substances 0.000 description 1
- 230000035515 penetration Effects 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 238000004321 preservation Methods 0.000 description 1
- 238000004886 process control Methods 0.000 description 1
- 230000004224 protection Effects 0.000 description 1
- 230000001681 protective effect Effects 0.000 description 1
- 230000009979 protective mechanism Effects 0.000 description 1
- 230000009291 secondary effect Effects 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 238000000992 sputter etching Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 230000002123 temporal effect Effects 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
- H01L21/30655—Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Drying Of Semiconductors (AREA)
Description
Die Erfindung geht aus von einem Verfahren zum an
isotropen Plasmaätzen von lateral definierten
Strukturen in einem Siliciumsubstrat gemäß dem
Oberbegriff des Anspruchs 1.
Das Ausbilden von Strukturen, beispielsweise Aus
nehmungen in einem Siliciumsubstrat mittels des
Plasmaätzverfahrens ist bekannt. Bekannt ist es
auch, beispielsweise für Anwendungen in der Mikro
mechanik, Fluorverbindungen zum anisotropen Plas
maätzen einzusetzen. Die im Plasma erzeugten Fluor
radikale agieren allerdings gegenüber Silicium iso
trop, das heißt die laterale Ätzrate entspricht im
wesentlichen der vertikalen, was zu entsprechend
großen Maskenhinterschneidungen und abgerundeten
Profilformen führt. Um mittels eines Ätzverfahrens
unter Verwendung von Fluorverbindungen eine verti
kale Seitenwand zu erzielen, sind zusätzlich Vor
kehrungen zu treffen, um die Seitenwand selektiv
vor dem Ätzangriff zu schützen und die Ätzung auf
den Strukturgrund, das heißt den Boden der Ausneh
mung, zu beschränken. Die Diskriminierung zwischen
der Seitenwand der Ausnehmung und dem Ätzgrund
kommt durch einen stark gerichteten vertikalen Ein
fall energetischer Ionen zustande, die neben den
chemisch aktiven neutralen Radikalen gleichzeitig
im Plasma produziert werden. Die Ionen treffen auf
die Oberfläche des Substrates, wobei der Ätzgrund
stark und die Seitenwände der Ausnehmung dagegen
nur relativ schwach von Ionen bombardiert werden.
Es ist bekannt, als Schutzmechanismus für die Sei
tenwände polymerbildende Gase wie CHF3 einzusetzen,
die direkt mit dem fluorliefernden Ätzgas gemischt
werden. Aus den im Plasma vorhandenen polymerbil
denden Monomeren wird eine Polymerschicht auf der
Seitenwand abgeschieden, während die im Plasma pro
duzierten Fluorradikale gleichzeitig am infolge Io
neneinfalls polymerfreien Ätzgrund das Siliciumsub
strat ätzen. Als nachteilig erweist sich, daß es im
Plasma beziehungsweise auf dem Weg zum zu ätzenden
Substrat zu einer intensiven Rekombination zwischen
ungesättigten polymerbildenden Monomeren und den
Fluorradikalen kommt. Zur Überwindung dieses Nach
teils ist es bekannt, die störende Rekombination
von ungesättigten polymerbildenden Monomeren und
den zur Siliciumätzung fähigen Fluorradikalen zu
verhindern, indem das Plasmaätzen in Ätzschritte,
bei denen ausschließlich fluorliefernde Gase einge
setzt werden, und Depositionsschritte, bei denen
ausschließlich Depositionsgase, wie die polymerbil
denden Gase, eingesetzt werden, getrennt wird. Die
beiden eingesetzten Gassorten begegnen sich auf
grund der zeitlichen Trennung ihrer Verwendung im
Plasma nicht, so daß auch keine nennenswerte Rekom
bination erfolgen kann.
Es ist auch bekannt, die Seitenwände zu passivie
ren, indem im Plasma neben den ätzenden Fluorradi
kalen Sauerstoffradikale beziehungsweise Stick
stoffradikale eingesetzt werden, die das Silicium
der Seitenwand oberflächlich in Siliciumoxid bezie
hungsweise Siliciumnitrid umwandeln. Da die dielek
trische Oberfläche durch die Fluorradikale beson
ders stark mit Ionenunterstützung und weniger stark
ohne Ionenunterstützung geätzt wird, schreitet die
Ätzung im wesentlichen auf dem Ätzgrund voran, wäh
rend die Seitenwand relativ geschützt bleibt. Ein
wesentlicher Nachteil dieses Verfahrens besteht
darin, daß die oberflächlich erzeugten Silicium
oxid- beziehungsweise -nitridschichten nur atomare
Dicken aufweisen, das heißt im Bereich von 1 nm und
darunter liegen. Die oberflächlich erzeugten Sili
ciumoxid- beziehungsweise Siliciumnitridschichten
sind daher nicht sehr dicht und bieten nur unvoll
ständigen Schutz. Dies führt dazu, daß die Prozeß
kontrolle erschwert wird beziehungsweise das Pro
zeßergebnis durch sekundäre Effekte stark beein
flußt wird. Die Profilformen der auszubildenden
Strukturen sind nie vollständig senkrecht, da es
immer Seitenwandangriffe und folglich auch Masken
randhinterschneidungen gibt. Um die Wirksamkeit
dieser Passivierung zu steigern, werden kryogene
Verfahren eingesetzt, wobei durch Tiefkühlen der
Siliciumsubstrate auf Temperaturen bis unter -100°C
zusätzlich zur Sauerstoffpassivierung oder Stick
stoffpassivierung die Seitenwandreaktion ausgefro
ren wird. Diese Verfahren sind im US-Patent
4,943,344 beschrieben. Als nachteilig erweist sich
der hohe apparative Aufwand und die damit verbun
denen Kosten, sowie die vergleichsweise geringe Zu
verlässigkeit der Komponenten.
Die Erfindung betrifft ein Verfahren zum anisotro
pen Plasmaätzen von lateral definierten Strukturen
in einem Siliciumsubstrat, wobei vor und/oder wäh
rend des Plasmaätzens auf den Seitenwänden der la
teral definierten Strukturen Schutz schichten aus
mindestens einer Siliciumverbindung abgeschieden
werden. In besonders vorteilhafter Ausgestaltung
sieht die Erfindung vor, auf den Seitenwänden der
lateral definierten Strukturen, insbesondere Bal
ken, Gräben, Kämmen oder Zungen, Siliciumoxid- und/oder
Siliciumnitridschichten abzuscheiden. Die
Strukturen sind vorzugsweise mit Hilfe einer Ätz
maske definiert. Die erfindungsgemäße Vorgehens
weise führt in vorteilhafter Weise zu einer dicken,
das heißt einige nm bis einige 10 nm dicken, Sili
ciumoxid- beziehungsweise Siliciumnitridschicht auf
den Seitenwänden der Struktur. Diese Schutzschicht
hält bereits bei Zimmertemperatur dem Ätzangriff
der im Plasma gebildeten Radikale, insbesondere der
vorzugsweise eingesetzten Fluorradikale, stand und
ermöglicht damit einen besonders sicheren und stör
unanfälligen Ätzvorgang. In vorteilhafter Weise ist
das Verfahren auch bei tieferen Substrattempe
raturen durchführbar, wobei bei jeder Temperatur
eines Substrates ein bestimmter Parameterbereich
der Gaszusammensetzung zu verwenden ist, der zum
Erhalt senkrechter Ätzprofile führt.
Die Erfindung sieht in vorteilhafter Weise vor, daß
das im Plasma Fluorradikale freisetzende Ätzgas
Schwefelhexafluorid SF6 oder Stickstofftrifluorid
NF3 gegebenenfalls als Gemisch zusammen mit Argon
ist. Dem die Fluorradikale liefernden Ätzgas werden
zur Bereitstellung der die Schutzschicht bildenden
Komponenten Oxid- und/oder Nitridbildner sowie ein
sekundärer Reaktant zugefügt. Als Oxid- beziehungs
weise Nitridbildner wird Sauerstoff O2, Distick
stoffoxid N2O, ein anderes Stickoxid NO, NOx, Koh
lendioxid CO2, oder Stickstoff N2 zugesetzt. In
vorteilhafter Weise sieht die Erfindung bei der
Verwendung von NF3 als Ätzgas vor, keinen gesonder
ten Nitridbildner einzusetzen, da der bei dem Zer
fall des Ätzgases NF3 freiwerdende Stickstoff zur
Nitrifizierung dient. Als sekundärer Reaktant, das
heißt als die die Silicium-Komponente der Schutz
schicht liefernde Verbindung, wird vorteilhafter
weise Siliciumtetrafluorid SiF4 eingesetzt. Aus dem
sekundären Reaktant, also vorzugsweise SiF4, und
dem aus dem Oxid- oder Nitridbildner stammenden Re
aktionspartner Sauerstoff beziehungsweise Stick
stoff wird erfindungsgemäß auf der Seitenwand der
Struktur das Reaktionsprodukt SiO2, SixNy oder eine
Mischung aus SixOyNz abgeschieden. Der sekundäre
Reaktant SiF4 reagiert nicht mit den aus dem SF6-
Zerfall stammenden Fluorradikalen, sondern aus
schließlich mit Sauerstoff beziehungsweise Stick
stoff, wobei sogar zusätzlich Fluorradikale freige
setzt werden (SiF4 + O2 ↔ SiO2 + 4 F*; SiF4 + x O*
↔ SiOxF4-x + x F*).
Selbstverständlich ist es auch möglich, das erfin
dungsgemäße Plasmaätzen in voneinander getrennte
Ätz- und Abscheideschritte zu trennen, wobei wäh
rend des Ätzschrittes lediglich geätzt und während
des Abscheideschrittes das vorstehend beschriebene
Abscheiden der Siliciumverbindung durchgeführt
wird. In besonders bevorzugter Weise werden die
Ätzschritte alternierend mit den Abscheideschritten
durchgeführt.
Die Affinität der erfindungsgemäß bevorzugten Oxid
beziehungsweise Nitridbildner gegenüber dem sekun
dären Reaktanten, also vorzugsweise SiF4, ist ge
ring genug, daß in der Gasphase insbesondere unter
den erfindungsgemäß bevorzugten niedrigen Prozeß
drücken und den erfindungsgemäß vorgesehenen
Verfahrensbedingungen, insbesondere dem Überschuß
an freien Fluorradikalen im Plasma, selbst unter
hochdichter Plasmaanregung keine nennenswerte Um
setzung zwischen den Oxid- beziehungsweise Nitrid
bildnern und dem sekundären Reaktanten stattfindet.
Dadurch wird in vorteilhafter Weise vermieden, daß
eine Reaktion beispielsweise eines Oxidbildners mit
SiF4 zu SiO2 bereits in der Gasphase erfolgt, wobei
der gebildete Feststoff auf die Oberfläche des Sub
strats herab fallen würde und dort eine Mikromaskie
rung mit Ätzgrundrauhigkeiten beziehungsweise Na
delbildung bewirken kann. Der erfindungsgemäß vor
gesehene niedrige Prozeßdruck bewirkt durch große
freie Weglängen eine Reduktion der
Umsetzungswahrscheinlichkeit in der Gasphase sowie
eine Reduktion von Mikroloading-Effekten bei der
Siliciumätzung in schmalen Trenchgräben.
Die Erfindung führt also in vorteilhafter Weise zur
Abscheidung von ätzbeständigen Siliciumverbindungen
an den Seitenwänden der lateral definierten Struk
turen, die als Schutzschicht wirken. Im Verlauf des
erfindungsgemäßen Verfahrens treffen kontinuierlich
aktivierte Siliciumfluoridverbindungen und Sauer
stoff- beziehungsweise Stickstoffradikale sowie ein
hoher Anteil an Fluorradikalen auf der Silici
umoberfläche auf. Dabei wird eine dicke dielektri
sche Schicht dort ausgebildet, wo die in vorteil
hafter Weise erfindungsgemäß vorgesehene intensive
Ioneneinwirkung nicht erfolgt, das heißt auf den
Seitenwänden. Auf ionenbombardierten Flächen, das
heißt dem Boden der Strukturen, insbesondere Aus
nehmungen oder dem Ätzgrund dominiert der Einfluß
der Fluorradikale und die Ätzreaktion, so daß das
Siliciumsubstrat dort abgetragen wird. Die Erfin
dung stellt demgemäß ein Passivierungssystem für die
Seitenwände lateral definierter Strukturen bereit,
das ohne besondere Zusatzmaßnahmen mit den reakti
ven Fluorradikalen verträglich ist.
Die Erfindung sieht in besonders vorteilhafter Aus
gestaltung vor, das Verfahren mittels einer hoch
dichten Plasmaquelle, zum Beispiel PIE (Propagation
Ion Etching), ICP (Inductive Coupled Plasma), ECR
(Electron Cyclotron Resonance) durchzuführen, wo
durch es ermöglicht wird, hohe Flüsse von Ätz- und
Passivierspezies sowie von Ionen mit niedriger En
ergie einzusetzen. Dadurch wird eine hohe Ätzrate
und Maskenselektivität erreicht, was letztendlich
zu einem hohen Siliciumabtrag bei gleichzeitig ge
ringem Maskenmaterialabtrag führt.
Die Erfindung sieht in vorteilhafter Weise vor, den
Durchbruch von möglicherweise auf dem Ätzgrund
abgeschiedenen Siliciumverbindungen, vorzugsweise
SiO2, zu beschleunigen, indem sogenannte SiO2-ver
zehrende Gase wie CHF3, C4F8, CF4, C2F6 oder C3F6
dem Gasgemisch zugesetzt werden. Die SiO2-verzeh
renden Gase ätzen aufgrund ihres Kohlenstoffgehal
tes unter gleichzeitiger Ioneneinwirkung SiO2 be
sonders gut. Auf diese Weise werden ein sauberer
Ätzgrund und noch höhere Ätzraten erreicht. Über
dies wird eine Nadelbildung auf dem Ätzgrund ver
mieden. Diese Scavenger-Gase (Scavenger = oxidver
zehrend) können kontinuierlich dem Plasma als kon
stante Beimischung zugesetzt werden, oder aber von
Zeit zu Zeit oder periodisch über eine kurze Zeit
eingelassen werden, um während eines solchen Flashs
Oxidverunreinigungen des Ätzgrundes abzutragen.
Die Erfindung sieht in besonders vorteilhafter
Weise vor, daß das Plasmaätzen unter gleichzeitiger
Ioneneinstrahlung durchgeführt wird, wobei die ein
gesetzte Ionenenergie vorzugsweise bei 1 bis
100 eV, insbesondere bei 30 bis 50 eV liegt.
Vorzugsweise weisen die für das Plasmaätzen einge
setzten Medien Gasflüsse von 10 bis 200 sccm auf
bei einem Prozeßdruck von 1 bis 50 µbar.
Die Erfindung sieht in einer weiteren vorteilhaften
Ausgestaltung vor, die Plasmaerzeugung durch Mikro
welleneinstrahlung beziehungsweise Hochfrequenzein
strahlung bei Leistungen von 500 bis 2000 W durch
zuführen.
Die Erfindung sieht insbesondere vor, die Ionen
dichte, die Ionenenergie und die Relation geladener
zu ungeladener Teilchen unabhängig voneinander zu
regeln.
In besonders vorteilhafter Ausgestaltung sieht die
Erfindung vor, den Gasfluß für SF6 bei 20 bis
200 sccm einzustellen.
Die Erfindung sieht auch vor, den Gasfluß für SiF4
bei 10 bis 50 sccm einzustellen.
Die Erfindung sieht in einer weiteren Ausgestaltung
vor, den Gasfluß für Sauerstoff bei 10 bis 100 sccm
und den Gasfluß für die SiO2-verzehrenden Gase,
insbesondere C4F8, bei kontinuierlichem Gasfluß bei
2 bis 10 sccm einzustellen. Bei gepulstem Scaven
ger-Gasfluß kann dessen Fluß höher gewählt werden,
beispielsweise 30 bis 60 sccm C4F8 alle 30 bis 60
Sekunden einmal über 5 Sekunden Dauer. Ein Pulsen
des Scavenger Flusses führt in den Prozeß kurze
Reinigungsschritte ein, die bei entsprechend höhe
rem Fluß eine kurzzeitige, intensive Reinigungswir
kung entfalten, ohne die Profilform störend zu be
einflussen. Da der Scavenger nur kurzzeitig anwe
send ist, kann er die Ätzprofile nicht nachteilig
beeinflussen, aber trotzdem den Ätzgrund gut von
Verunreinigungen befreien. Auf dem Ätzgrund werden
durch intensiven Ioneneinfall Verunreinigungen ra
scher abgetragen, als der Scavenger den Durchbruch
durch das Seitenwand(schutz)oxid schafft.
Die Erfindung sieht in einer weiteren Ausgestaltung
vor, das zur Ionenbeschleunigung an der Substrat
elektrode eine Hochfrequenzleistung von 5 bis 50 W
bereitgestellt wird, was Beschleunigungsspannungen
von 20 bis 150 V entspricht.
Die vorliegende Erfindung wird nachfolgend in
Ausführungsbeispielen anhand der zugehörigen Figuren
näher erläutert. Es zeigen:
Fig. 1 einen Längsschnitt durch ein Silicium
substrat mit lateralen Strukturen und
Fig. 2 eine Darstellung des Prinzips des Ätz
prozesses.
Die Fig. 1 zeigt eine mittels des erfindungsge
mäßen Plasmaätzverfahrens hergestellte Struktur in
einem Siliciumsubstrat.
Sie zeigt ein Substrat 1 mit einer durch die Sei
tenwände 3 definierten Ausnehmung 2. Dargestellt
ist ferner der Ätzgrund 4 sowie eine schmalere Aus
nehmung 2'.
Das Verfahren zum anisotropen Plasmaätzen von late
ral definierten Ausnehmungen 2, 2' in einem Silici
umsubstrat 1 wurde mit dem Ätzgas SF6 und einem
Gasfluß von 75 sccm (SF6) durchgeführt. Als Oxid
bildner wurde O2 mit einem Gasfluß von 38 sccm und
als sekundärer Reaktant SiF4 mit einem Gasfluß von
38 sccm eingesetzt. Das Abscheiden der Schutz
schicht, also der Siliciumverbindung SiO2, geschah
gleichzeitig mit dem Plasmaätzen von selbst. Die
Temperatur des Substrats 1 lag bei 10°C. Der Pro
zeßdruck lag bei 20 µbar und die eingesetzte PIE-
Quelle lieferte eine Mikrowellenleistung von 650 W
(2,45 GHz). Zur Erzeugung einer Ionenbeschleuni
gungsspannung wurde an der Substratelektrode eine
Hochfrequenzleistung von 5 W (13,56 MHz) einge
setzt, wobei die Ionenbeschleunigungsspannung
(DC-bias) 40 V betrug.
Die Fig. 1 zeigt, daß mittels der vorstehend
genannten Verfahrensbedingungen in vorteilhafter
Weise senkrechte Seitenwände 3 der Ausnehmungen
2, 2' im Substrat 1 erzeugt wurden. In besonders
vorteilhafter Weise ergeben sich ausgesprochen ge
ringe Ätzratenunterschiede zwischen breiten Ausneh
mungen 2 und schmaleren Ausnehmungen 2'.
In der Fig. 2 ist das Prinzip des Ätzprozesses
dargestellt. Gleiche Teile sind mit denselben Be
zugsziffern wie in Fig. 1 versehen. Es ist ein
Substrat 1 dargestellt, in das durch den Ätzprozeß
eine laterale Ausnehmung 2' eingebracht wird. Für
die Seitenwandpassivierung werden in das Plasma,
zusätzlich zu den in diesem ausgebildeten Fluorra
dikalen und positiv geladenen Ionen Siliciumte
trafluorid SiF4 und Sauerstoff eingeführt, die eine
fluorverträgliche Seitenwandpassivierung gewährlei
sten. Am Ätzgrund 4 findet durch Ionenunterstützung
die Umsetzung von Silicium und Fluorradikalen zu
flüchtigem Siliciumtetrafluorid statt, welches den
Ätzgrund verläßt, was die erwünschte Ätzreaktion
darstellt. Die Ätzung erfolgt am Ätzgrund 4 spontan
und benötigt also an sich keine Ionenunterstützung.
Aufgrund des starken Ioneneinfalls wird dort jedoch
die Bildung von die Ätzung hemmenden Siliciumoxiden
oder Oxyfluoriden unterdrückt.
An den Seitenwänden 3, die nur einem vergleichs
weise geringen Ionenbombardement ausgesetzt sind,
kann dagegen eine Reaktion von Siliciumtetrafluorid
mit Sauerstoff zu einem die Ätzung hemmenden Sili
ciumoxid oder -oxyfluorid erfolgen, das sich als
Film auf den Seitenwänden 3 abscheidet. Zu einem
geringen Teil wird dabei das auf dem Ätzgrund ge
bildete Siliciumtetrafluorid, das den Trenchgra
ben verlassen will, in einer Rückreaktion zur Film
bildung auf den Seitenwänden 3 verbraucht, was
durch die gestrichelten Linien in Fig. 2 angedeu
tet ist. Der wesentliche Teil des zum Seitenwand
filmaufbau benötigten Siliciumtetrafluorids wird
jedoch aus der Plasmachemie geliefert, das heißt
Siliciumtetrafluorid wird als das wesentliche Pas
siviergas zusammen mit Sauerstoff ins Plasma einge
leitet, was in der Fig. 2 durch die durchgezogenen
Linien gekennzeichnet ist. Wie man in Fig. 2 er
kennen kann, wird bei dieser Filmbildungsreaktion
aus SiF4 sogar zusätzlich Fluor freigesetzt, das
zusätzlich die Ätzreaktion auf dem Ätzgrund unter
stützen kann. Deswegen ist das Microloading bezie
hungsweise der RIE-lag, das heißt die Abnahme der
Ätzrate in schmalen Trenches verglichen mit brei
ten, bei dieser Chemie relativ moderat ausgeprägt,
da sich im Trench durch die Wandfilmbildungsreak
tion zusätzliches Fluor bildet.
In einem weiteren Ausführungsbeispiel wurden unter
ICP-Anregungsbedingungen (ICP: Induktiv gekoppeltes
Plasma) mit Hochfrequenzanregung die nachfolgenden
vorteilhaften Parameter gefunden. Die Gasflüsse be
trugen 40 sccm SF6, 60 sccm O2, 21 sccm SiF4 und 5
sccm C4F8 als konstanter Gasfluß. Der Druck betrug
15 mTorr = 20 µbar und die ICP-Hochfrequenzleistung
800 W bei 13.56 Mhz, sowie die Substratleistung
(Bias-Power) 10 bis 15 W bei 13.56 Mhz. Die Bias
spannung wurde auf 40 bis 100 V eingestellt. Bei
einem gepulsten C4F8-Fluß wurden folgende Gasflüsse
eingestellt: 40 sccm SF6, 60 sccm O2, 21 sccm SiF4,
30-60, vorzugsweise 45 sccm C4F8. Dabei wurde
C4F8 periodisch alle 30-60 Sekunden, vorzugsweise
alle 45 Sekunden einmal über eine Zeitdauer von 5
Sekunden zugeführt. Die ICP-Hochfrequenzleistung
betrug dabei 800 W und die Substratleistung 12 W.
Claims (22)
1. Verfahren zum anisotropen Plasmaätzen von late
ral definierten Strukturen in einem Siliciumsub
strat, wobei vor und/oder während des Plasmaätzens
auf den Seitenwänden der lateral definierten Struk
turen Schutz schichten aus mindestens einer Silici
umverbindung abgeschieden werden.
2. Verfahren nach Anspruch 1, dadurch gekennzeich
net, daß die Siliciumverbindung Siliciumoxid oder
Siliciumnitrid oder Siliciumoxynitrid ist.
3. Verfahren nach Anspruch 2, dadurch gekennzeich
net, daß die Siliciumoxidschicht oder Siliciumni
tridschicht aus einer dem Ätzgas zugesetzten Sili
ciumverbindung und Sauerstoff und/oder Stickstoff
als Reaktionspartner abgeschieden wird.
4. Verfahren nach einem der vorhergehenden Ansprü
che, dadurch gekennzeichnet, daß die dem Ätzgas zu
gesetzte Siliciumverbindung zu diesem verträglich
ist, das heißt nicht mit der Ätzchemie, wohl aber
mit Sauerstoff und/oder Stickstoff reagiert.
5. Verfahren nach einem der vorhergehenden Ansprü
che, dadurch gekennzeichnet, daß die dem Ätzgas zu
gesetzte Siliciumverbindung SiF4 ist.
6. Verfahren nach einem der vorhergehenden Ansprü
che, dadurch gekennzeichnet, daß dem Ätzgas O2,
N2O, NO, NOx, CO2 oder N2 zugesetzt wird.
7. Verfahren nach einem der vorhergehenden Ansprü
che, dadurch gekennzeichnet, daß als Reaktionspart
ner O2 und/oder N2 zugesetzt wird.
8. Verfahren nach einem der vorhergehenden Ansprü
che, dadurch gekennzeichnet, daß der Reaktionspart
ner O2 und/oder N2 aus dem Ätzgas geliefert wird.
9. Verfahren nach einem der vorhergehenden Ansprü
che, dadurch gekennzeichnet, daß das zum Plasmaät
zen eingesetzte Ätzgas ein fluorlieferndes Gas,
vorzugsweise SF6 oder NF3, ist.
10. Verfahren nach einem der vorhergehenden Ansprü
che, dadurch gekennzeichnet, daß dem Ätzgas SiO2-ver
zehrende Gase, insbesondere CHF3, CF4, C2F6,
C3F6 oder C4F8, kontinuierlich zugesetzt werden.
11. Verfahren nach einem der vorhergehenden Ansprü
che, dadurch gekennzeichnet, daß das SiO2-verzeh
rende Gas nur kurzzeitig und periodisch zugeführt
wird, um während solcher Reinigungsschritte den
Ätzgrund intensiv zu reinigen.
12. Verfahren nach einem der vorhergehenden Ansprü
che, dadurch gekennzeichnet, daß das Plasmaätzen in
voneinander getrennten Ätz- und Abscheideschritten
durchgeführt wird, wobei der Ätzschritt alternie
rend mit dem Abscheideschritt durchgeführt wird.
13. Verfahren nach einem der vorhergehenden Ansprü
che, dadurch gekennzeichnet, daß das Plasmaätzen
unter gleichzeitiger Ioneneinstrahlung durchgeführt
wird, vorzugsweise mit einer Ionenenergie von 1 bis
100 eV, vorzugsweise von 30 bis 50 eV.
14. Verfahren nach einem der vorhergehenden Ansprü
che, dadurch gekennzeichnet, daß die für das Plas
maätzen eingesetzten Medien Gasflüsse von 10 bis
200 sccm und Prozeßdrücke von 1-50 µbar (0.1-5 Pa)
aufweisen.
15. Verfahren nach einem der vorhergehenden Ansprü
che, dadurch gekennzeichnet, daß das Siliciumsub
strat während des Plasmaätzens gekühlt wird.
16. Verfahren nach einem der vorhergehenden Ansprü
che, dadurch gekennzeichnet, daß die Plasmaerzeu
gung durch Mikrowelleneinstrahlung beziehungsweise
Hochfrequenzeinstrahlung (HF) bei Leistungen von
500-2000 Watt erfolgt.
17. Verfahren nach einem der vorhergehenden Ansprü
che, dadurch gekennzeichnet, daß die Ionendichte,
die Ionenenergie und die Relation geladener (= Io
nen) zu ungeladenen Teilchen (= Neutralspezies) un
abhängig voneinander geregelt wird.
18. Verfahren nach einem der vorhergehenden Ansprü
che, dadurch gekennzeichnet, daß der Gasfluß für
Schwefelhexafluorid SF6 20-200 sccm beträgt.
19. Verfahren nach einem der vorhergehenden Ansprü
che, dadurch gekennzeichnet, daß der Gasfluß für
Siliciumtetrafluorid SiF4 10-50 sccm beträgt.
20. Verfahren nach einem der vorhergehenden Ansprü
che, dadurch gekennzeichnet, daß der Gasfluß für
Sauerstoff O2 10-100 sccm beträgt.
21. Verfahren nach einem der vorhergehenden Ansprü
che, dadurch gekennzeichnet, daß der Gasfluß für
die SiO2-verzehrenden Gase, insbesondere C4F8,
2-10 sccm beträgt.
22. Verfahren nach einem der vorhergehenden Anspru
che, dadurch gekennzeichnet, daß C4F8 periodisch
alle 30 bis 60 Sekunden einmal über eine Zeitdauer
von jeweils 5 Sekunden und mit einem Fluß von 30
bis 60 sccm eingelassen wird.
Priority Applications (7)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
DE19706682A DE19706682C2 (de) | 1997-02-20 | 1997-02-20 | Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium |
PCT/DE1998/000421 WO1998037577A1 (de) | 1997-02-20 | 1998-02-13 | Anisotropes fluorbasiertes plasmaätzverfahren für silicium |
EP98912218A EP0894338B1 (de) | 1997-02-20 | 1998-02-13 | Anisotropes fluorbasiertes plasmaätzverfahren für silicium |
US09/171,516 US6303512B1 (en) | 1997-02-20 | 1998-02-13 | Anisotropic, fluorine-based plasma etching method for silicon |
KR1019980708355A KR100531560B1 (ko) | 1997-02-20 | 1998-02-13 | 실리콘용이방성플루오르계플라즈마에칭방법 |
JP53614598A JP4555404B2 (ja) | 1997-02-20 | 1998-02-13 | シリコン用の異方性のフッ素ベースのプラズマエッチング法 |
DE59814204T DE59814204D1 (de) | 1997-02-20 | 1998-02-13 | Anisotropes fluorbasiertes plasmaätzverfahren für silicium |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
DE19706682A DE19706682C2 (de) | 1997-02-20 | 1997-02-20 | Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium |
Publications (2)
Publication Number | Publication Date |
---|---|
DE19706682A1 true DE19706682A1 (de) | 1998-08-27 |
DE19706682C2 DE19706682C2 (de) | 1999-01-14 |
Family
ID=7820910
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
DE19706682A Expired - Lifetime DE19706682C2 (de) | 1997-02-20 | 1997-02-20 | Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium |
DE59814204T Expired - Lifetime DE59814204D1 (de) | 1997-02-20 | 1998-02-13 | Anisotropes fluorbasiertes plasmaätzverfahren für silicium |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
DE59814204T Expired - Lifetime DE59814204D1 (de) | 1997-02-20 | 1998-02-13 | Anisotropes fluorbasiertes plasmaätzverfahren für silicium |
Country Status (6)
Country | Link |
---|---|
US (1) | US6303512B1 (de) |
EP (1) | EP0894338B1 (de) |
JP (1) | JP4555404B2 (de) |
KR (1) | KR100531560B1 (de) |
DE (2) | DE19706682C2 (de) |
WO (1) | WO1998037577A1 (de) |
Cited By (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP1047122A2 (de) * | 1999-04-21 | 2000-10-25 | Alcatel | Verfahren zur anisotropen Ätzung von Substraten |
DE19929776A1 (de) * | 1999-04-28 | 2000-11-09 | Mitsubishi Electric Corp | Verfahren zur Herstellung eines Geräts |
WO2000067307A1 (de) * | 1999-04-29 | 2000-11-09 | Robert Bosch Gmbh | Verfahren zum plasmaätzen von silizium |
DE10130916A1 (de) * | 2001-06-27 | 2003-01-16 | Forschungsverbund Berlin Ev | Verfahren zum anisotropen Strukturieren von Materialien |
DE10234589A1 (de) * | 2002-07-30 | 2004-02-12 | Robert Bosch Gmbh | Schichtsystem mit einer Siliziumschicht und einer Passivierschicht, Verfahren zur Erzeugung einer Passivierschicht auf einer Siliziumschicht und deren Verwendung |
US6709546B2 (en) | 2000-10-19 | 2004-03-23 | Robert Bosch Gmbh | Device and method for etching a substrate by using an inductively coupled plasma |
DE19841964B4 (de) * | 1998-09-14 | 2004-08-05 | Robert Bosch Gmbh | Verfahren zur Einstellung der Ätzgeschwindigkeit beim anisotropen Plasmaätzen von lateralen Strukturen |
DE10345402A1 (de) * | 2003-09-30 | 2005-05-04 | Infineon Technologies Ag | Verfahren zur Bearbeitung einer Halbleiterstruktur mit einer Vertiefung |
US6926844B1 (en) | 1999-11-27 | 2005-08-09 | Robert Bosch Gmbh | Plasma etching method having pulsed substrate electrode power |
DE102005004365A1 (de) * | 2005-01-31 | 2006-08-10 | Infineon Technologies Ag | Verfahren zum Herstellen von vertikalen Leitstrukturen in einer integrierten Schaltungsanordnung und Schaltungsanordnung |
US7855150B2 (en) | 2002-10-14 | 2010-12-21 | Robert Bosch Gmbh | Plasma system and method for anisotropically etching structures into a substrate |
US7872349B2 (en) | 2003-07-08 | 2011-01-18 | Infineon Technologies Ag | Integrated coolant circuit arrangement, operating method and production method |
US7872333B2 (en) | 2002-08-17 | 2011-01-18 | Robert Bosch Gmbh | Layer system comprising a silicon layer and a passivation layer, method for production a passivation layer on a silicon layer and the use of said system and method |
Families Citing this family (152)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100829288B1 (ko) * | 1998-12-11 | 2008-05-13 | 서페이스 테크놀로지 시스템스 피엘씨 | 플라즈마 처리장치 |
JP4221859B2 (ja) * | 1999-02-12 | 2009-02-12 | 株式会社デンソー | 半導体装置の製造方法 |
US6294102B1 (en) * | 1999-05-05 | 2001-09-25 | International Business Machines Corporation | Selective dry etch of a dielectric film |
DE10051831A1 (de) * | 1999-07-20 | 2002-05-02 | Bosch Gmbh Robert | Vorrichtung und Verfahren zum Ätzen eines Substrates mittels eines induktiv gekoppelten Plasmas |
DE19933842A1 (de) | 1999-07-20 | 2001-02-01 | Bosch Gmbh Robert | Vorrichtung und Verfahren zum Ätzen eines Substrates mittels eines induktiv gekoppelten Plasmas |
JP4161493B2 (ja) * | 1999-12-10 | 2008-10-08 | ソニー株式会社 | エッチング方法およびマイクロミラーの製造方法 |
KR100401348B1 (ko) * | 2000-06-30 | 2003-10-11 | 주식회사 하이닉스반도체 | 반도체 소자의 소자 분리막 형성 방법 |
WO2002025714A1 (en) * | 2000-09-20 | 2002-03-28 | Infineon Technologies Sc300 Gmbh & Co. Kg | A process for dry-etching a semiconductor wafer surface |
US20020158047A1 (en) * | 2001-04-27 | 2002-10-31 | Yiqiong Wang | Formation of an optical component having smooth sidewalls |
US20020158046A1 (en) * | 2001-04-27 | 2002-10-31 | Chi Wu | Formation of an optical component |
US6555480B2 (en) | 2001-07-31 | 2003-04-29 | Hewlett-Packard Development Company, L.P. | Substrate with fluidic channel and method of manufacturing |
DE10209763A1 (de) * | 2002-03-05 | 2003-10-02 | Bosch Gmbh Robert | Vorrichtung und Verfahren zum anisotropen Plasmaätzen eines Substrates, insbesondere eines Siliziumkörpers |
US6846747B2 (en) | 2002-04-09 | 2005-01-25 | Unaxis Usa Inc. | Method for etching vias |
US6554403B1 (en) | 2002-04-30 | 2003-04-29 | Hewlett-Packard Development Company, L.P. | Substrate for fluid ejection device |
US6981759B2 (en) | 2002-04-30 | 2006-01-03 | Hewlett-Packard Development Company, Lp. | Substrate and method forming substrate for fluid ejection device |
US6924235B2 (en) * | 2002-08-16 | 2005-08-02 | Unaxis Usa Inc. | Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method |
JP2004087738A (ja) * | 2002-08-26 | 2004-03-18 | Tokyo Electron Ltd | Siエッチング方法 |
US7977390B2 (en) | 2002-10-11 | 2011-07-12 | Lam Research Corporation | Method for plasma etching performance enhancement |
US6833325B2 (en) | 2002-10-11 | 2004-12-21 | Lam Research Corporation | Method for plasma etching performance enhancement |
US7169695B2 (en) * | 2002-10-11 | 2007-01-30 | Lam Research Corporation | Method for forming a dual damascene structure |
WO2004036668A2 (en) * | 2002-10-17 | 2004-04-29 | Tel-Aviv University Future Technology Development L.P. | Thin-film cathode for 3-dimensional microbattery and method for preparing such cathode |
GB2396053B (en) * | 2002-10-23 | 2006-03-29 | Bosch Gmbh Robert | Device and process for anisotropic plasma etching of a substrate,in particular a silicon body |
DE10255988A1 (de) * | 2002-11-30 | 2004-06-17 | Infineon Technologies Ag | Verfahren zum Reinigen einer Prozesskammer |
US7294580B2 (en) * | 2003-04-09 | 2007-11-13 | Lam Research Corporation | Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition |
US6916746B1 (en) | 2003-04-09 | 2005-07-12 | Lam Research Corporation | Method for plasma etching using periodic modulation of gas chemistry |
DE10331526A1 (de) * | 2003-07-11 | 2005-02-03 | Infineon Technologies Ag | Verfahren zum anisotropen Ätzen einer Ausnehmung in ein Siliziumsubstrat und Verwendung einer Plasmaätzanlage |
US6910758B2 (en) | 2003-07-15 | 2005-06-28 | Hewlett-Packard Development Company, L.P. | Substrate and method of forming substrate for fluid ejection device |
US20050073078A1 (en) | 2003-10-03 | 2005-04-07 | Markus Lutz | Frequency compensated oscillator design for process tolerances |
US7067434B2 (en) * | 2003-12-22 | 2006-06-27 | Texas Instruments Incorporated | Hydrogen free integration of high-k gate dielectrics |
US20050211668A1 (en) * | 2004-03-26 | 2005-09-29 | Lam Research Corporation | Methods of processing a substrate with minimal scalloping |
US7250373B2 (en) * | 2004-08-27 | 2007-07-31 | Applied Materials, Inc. | Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate |
US7459100B2 (en) * | 2004-12-22 | 2008-12-02 | Lam Research Corporation | Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate |
US7491647B2 (en) * | 2005-03-08 | 2009-02-17 | Lam Research Corporation | Etch with striation control |
US7241683B2 (en) * | 2005-03-08 | 2007-07-10 | Lam Research Corporation | Stabilized photoresist structure for etching process |
US7442274B2 (en) * | 2005-03-28 | 2008-10-28 | Tokyo Electron Limited | Plasma etching method and apparatus therefor |
DE102005015502B4 (de) * | 2005-03-31 | 2007-03-08 | Infineon Technologies Ag | Verfahren zum Ätzen von Vertiefungen in ein Siliziumsubstrat |
DE102005032737A1 (de) * | 2005-07-08 | 2007-01-11 | Infineon Technologies Ag | Ätzmittel und Verfahren zur Trockenätzung |
US7481943B2 (en) * | 2005-08-08 | 2009-01-27 | Silverbrook Research Pty Ltd | Method suitable for etching hydrophillic trenches in a substrate |
EP1786027A3 (de) * | 2005-11-14 | 2009-03-04 | Schott AG | Plasma-Àtzen von konischen Strukturen |
US7910489B2 (en) * | 2006-02-17 | 2011-03-22 | Lam Research Corporation | Infinitely selective photoresist mask etch |
US20070202700A1 (en) * | 2006-02-27 | 2007-08-30 | Applied Materials, Inc. | Etch methods to form anisotropic features for high aspect ratio applications |
US7618748B2 (en) * | 2006-03-13 | 2009-11-17 | Tel Aviv University Future Technology Development L.P. | Three-dimensional microbattery |
DE102006052630A1 (de) * | 2006-10-19 | 2008-04-24 | Robert Bosch Gmbh | Mikromechanisches Bauelement mit monolithisch integrierter Schaltung und Verfahren zur Herstellung eines Bauelements |
KR100843236B1 (ko) * | 2007-02-06 | 2008-07-03 | 삼성전자주식회사 | 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법 |
FR2914782B1 (fr) * | 2007-04-04 | 2009-06-12 | St Microelectronics Sa | Procede de gravure profonde anisotrope de silicium |
US20080286978A1 (en) * | 2007-05-17 | 2008-11-20 | Rong Chen | Etching and passivating for high aspect ratio features |
JP4450245B2 (ja) | 2007-06-07 | 2010-04-14 | 株式会社デンソー | 半導体装置の製造方法 |
KR100925054B1 (ko) * | 2007-09-06 | 2009-11-03 | 주식회사 래디언테크 | 웨이퍼 식각 방법 |
US7704849B2 (en) | 2007-12-03 | 2010-04-27 | Micron Technology, Inc. | Methods of forming trench isolation in silicon of a semiconductor substrate by plasma |
CN101459039B (zh) * | 2007-12-13 | 2012-01-25 | 中芯国际集成电路制造(上海)有限公司 | 等离子体刻蚀的终点监测方法 |
JP5710267B2 (ja) | 2007-12-21 | 2015-04-30 | ラム リサーチ コーポレーションLam Research Corporation | シリコン構造体の製造及びプロファイル制御を伴うシリコンディープエッチング |
US8173547B2 (en) | 2008-10-23 | 2012-05-08 | Lam Research Corporation | Silicon etch with passivation using plasma enhanced oxidation |
US9018098B2 (en) * | 2008-10-23 | 2015-04-28 | Lam Research Corporation | Silicon etch with passivation using chemical vapor deposition |
US20110045351A1 (en) * | 2009-08-23 | 2011-02-24 | Ramot At Tel-Aviv University Ltd. | High-Power Nanoscale Cathodes for Thin-Film Microbatteries |
TWI416624B (zh) * | 2009-12-11 | 2013-11-21 | Advanced Micro Fab Equip Inc | An etching method for deep - through - hole |
US8384183B2 (en) | 2010-02-19 | 2013-02-26 | Allegro Microsystems, Inc. | Integrated hall effect element having a germanium hall plate |
WO2011154862A1 (en) | 2010-06-06 | 2011-12-15 | Ramot At Tel-Aviv University Ltd | Three-dimensional microbattery having a porous silicon anode |
CN101948494B (zh) * | 2010-09-14 | 2012-11-21 | 河北华荣制药有限公司 | 一种腺苷钴胺提取方法 |
CN102398887B (zh) * | 2010-09-14 | 2015-02-18 | 中微半导体设备(上海)有限公司 | 一种深孔硅刻蚀方法 |
US8133349B1 (en) | 2010-11-03 | 2012-03-13 | Lam Research Corporation | Rapid and uniform gas switching for a plasma etch process |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
JP5774356B2 (ja) * | 2011-04-19 | 2015-09-09 | 株式会社日立ハイテクノロジーズ | プラズマ処理方法 |
JP2013021258A (ja) * | 2011-07-14 | 2013-01-31 | Ulvac Japan Ltd | ドライエッチング方法及びドライエッチング装置 |
JP2013084695A (ja) * | 2011-10-06 | 2013-05-09 | Tokyo Electron Ltd | 半導体装置の製造方法 |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
CN103789771A (zh) * | 2012-10-29 | 2014-05-14 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 等离子体处理方法 |
CN103972155A (zh) * | 2013-02-05 | 2014-08-06 | 中微半导体设备(上海)有限公司 | 一种在硅基底刻蚀通孔的方法 |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9054050B2 (en) * | 2013-11-06 | 2015-06-09 | Tokyo Electron Limited | Method for deep silicon etching using gas pulsing |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
JP6334296B2 (ja) * | 2014-07-04 | 2018-05-30 | 株式会社日立ハイテクノロジーズ | プラズマ処理方法 |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
JP5918886B2 (ja) * | 2015-07-01 | 2016-05-18 | 株式会社日立ハイテクノロジーズ | プラズマ処理方法 |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
WO2017055984A1 (en) | 2015-09-30 | 2017-04-06 | Ramot At Tel Aviv University Ltd. | 3d micro-battery on 3d-printed substrate |
JP6524419B2 (ja) * | 2016-02-04 | 2019-06-05 | パナソニックIpマネジメント株式会社 | 素子チップの製造方法 |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10424487B2 (en) | 2017-10-24 | 2019-09-24 | Applied Materials, Inc. | Atomic layer etching processes |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
JP7209567B2 (ja) * | 2018-07-30 | 2023-01-20 | 東京エレクトロン株式会社 | エッチング方法およびエッチング装置 |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
KR20200145096A (ko) | 2019-06-20 | 2020-12-30 | 세메스 주식회사 | 공정 가스 공급 장치 및 이를 구비하는 기판 처리 시스템 |
KR102406745B1 (ko) * | 2019-06-20 | 2022-06-07 | 세메스 주식회사 | 공정 가스 공급 장치 및 이를 구비하는 기판 처리 시스템 |
US11749532B2 (en) | 2021-05-04 | 2023-09-05 | Applied Materials, Inc. | Methods and apparatus for processing a substrate |
Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4943344A (en) * | 1986-10-29 | 1990-07-24 | Hitachi, Ltd. | Etching method |
EP0414372A2 (de) * | 1989-07-21 | 1991-02-27 | Sony Corporation | Trockenätzmethoden |
DE4202447A1 (de) * | 1991-01-29 | 1992-07-30 | Micron Technology Inc | Verfahren zum aetzen von nuten in einem silizium-substrat |
DE4241045C1 (de) * | 1992-12-05 | 1994-05-26 | Bosch Gmbh Robert | Verfahren zum anisotropen Ätzen von Silicium |
DE4317623A1 (de) * | 1993-05-27 | 1994-12-01 | Bosch Gmbh Robert | Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten |
DE4241453C2 (de) * | 1992-12-09 | 1995-04-20 | Daimler Benz Ag | Verfahren zum Plasmaätzen von Gräben in Silizium |
Family Cites Families (20)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4855017A (en) * | 1985-05-03 | 1989-08-08 | Texas Instruments Incorporated | Trench etch process for a single-wafer RIE dry etch reactor |
US5354416A (en) * | 1986-09-05 | 1994-10-11 | Sadayuki Okudaira | Dry etching method |
EP0368732B1 (de) * | 1988-11-04 | 1995-06-28 | Fujitsu Limited | Verfahren zum Erzeugen eines Fotolackmusters |
JPH02181922A (ja) * | 1989-01-07 | 1990-07-16 | Sony Corp | シリコン系物質のドライエッチング方法 |
JPH02260424A (ja) * | 1989-03-30 | 1990-10-23 | Matsushita Electric Ind Co Ltd | ドライエッチング方法 |
US5429070A (en) * | 1989-06-13 | 1995-07-04 | Plasma & Materials Technologies, Inc. | High density plasma deposition and etching apparatus |
JP2876649B2 (ja) * | 1989-07-21 | 1999-03-31 | ソニー株式会社 | ドライエッチング方法 |
JP2825878B2 (ja) * | 1989-10-27 | 1998-11-18 | 沖電気工業株式会社 | トレンチ形成方法 |
EP0450302A1 (de) * | 1990-04-03 | 1991-10-09 | International Business Machines Corporation | Verfahren zum reaktiven Ionenätzen von Gräben |
US5100505A (en) * | 1990-10-18 | 1992-03-31 | Micron Technology, Inc. | Process for etching semiconductor devices |
EP0511448A1 (de) * | 1991-04-30 | 1992-11-04 | International Business Machines Corporation | Verfahren und Vorrichtung zur in-situ und in-Linie Überwachung eines Graben-Herstellungsverfahrens |
DE69224640T2 (de) * | 1991-05-17 | 1998-10-01 | Lam Res Corp | VERFAHREN ZUR BESCHICHTUNG EINES SIOx FILMES MIT REDUZIERTER INTRINSISCHER SPANNUNG UND/ODER REDUZIERTEM WASSERSTOFFGEHALT |
JP2734915B2 (ja) * | 1992-11-18 | 1998-04-02 | 株式会社デンソー | 半導体のドライエッチング方法 |
JP2993303B2 (ja) * | 1992-12-16 | 1999-12-20 | モトローラ株式会社 | エッチングガス |
US5409563A (en) * | 1993-02-26 | 1995-04-25 | Micron Technology, Inc. | Method for etching high aspect ratio features |
DE4420962C2 (de) * | 1994-06-16 | 1998-09-17 | Bosch Gmbh Robert | Verfahren zur Bearbeitung von Silizium |
JPH08330414A (ja) * | 1995-05-31 | 1996-12-13 | Sony Corp | Soi基板の製造方法 |
JP3351183B2 (ja) * | 1995-06-19 | 2002-11-25 | 株式会社デンソー | シリコン基板のドライエッチング方法及びトレンチ形成方法 |
JPH0936091A (ja) * | 1995-07-20 | 1997-02-07 | Toshiba Corp | 半導体装置の製造方法 |
US5843847A (en) * | 1996-04-29 | 1998-12-01 | Applied Materials, Inc. | Method for etching dielectric layers with high selectivity and low microloading |
-
1997
- 1997-02-20 DE DE19706682A patent/DE19706682C2/de not_active Expired - Lifetime
-
1998
- 1998-02-13 US US09/171,516 patent/US6303512B1/en not_active Expired - Lifetime
- 1998-02-13 WO PCT/DE1998/000421 patent/WO1998037577A1/de active IP Right Grant
- 1998-02-13 EP EP98912218A patent/EP0894338B1/de not_active Expired - Lifetime
- 1998-02-13 DE DE59814204T patent/DE59814204D1/de not_active Expired - Lifetime
- 1998-02-13 KR KR1019980708355A patent/KR100531560B1/ko not_active IP Right Cessation
- 1998-02-13 JP JP53614598A patent/JP4555404B2/ja not_active Expired - Lifetime
Patent Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4943344A (en) * | 1986-10-29 | 1990-07-24 | Hitachi, Ltd. | Etching method |
EP0414372A2 (de) * | 1989-07-21 | 1991-02-27 | Sony Corporation | Trockenätzmethoden |
DE4202447A1 (de) * | 1991-01-29 | 1992-07-30 | Micron Technology Inc | Verfahren zum aetzen von nuten in einem silizium-substrat |
DE4241045C1 (de) * | 1992-12-05 | 1994-05-26 | Bosch Gmbh Robert | Verfahren zum anisotropen Ätzen von Silicium |
DE4241453C2 (de) * | 1992-12-09 | 1995-04-20 | Daimler Benz Ag | Verfahren zum Plasmaätzen von Gräben in Silizium |
DE4317623A1 (de) * | 1993-05-27 | 1994-12-01 | Bosch Gmbh Robert | Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten |
Cited By (20)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE19841964B4 (de) * | 1998-09-14 | 2004-08-05 | Robert Bosch Gmbh | Verfahren zur Einstellung der Ätzgeschwindigkeit beim anisotropen Plasmaätzen von lateralen Strukturen |
EP1047122A3 (de) * | 1999-04-21 | 2001-12-05 | Alcatel | Verfahren zur anisotropen Ätzung von Substraten |
US6383938B2 (en) | 1999-04-21 | 2002-05-07 | Alcatel | Method of anisotropic etching of substrates |
EP1047122A2 (de) * | 1999-04-21 | 2000-10-25 | Alcatel | Verfahren zur anisotropen Ätzung von Substraten |
DE19929776A1 (de) * | 1999-04-28 | 2000-11-09 | Mitsubishi Electric Corp | Verfahren zur Herstellung eines Geräts |
WO2000067307A1 (de) * | 1999-04-29 | 2000-11-09 | Robert Bosch Gmbh | Verfahren zum plasmaätzen von silizium |
US7166536B1 (en) | 1999-04-29 | 2007-01-23 | Robert Bosch Gmbh | Methods for plasma etching of silicon |
US6926844B1 (en) | 1999-11-27 | 2005-08-09 | Robert Bosch Gmbh | Plasma etching method having pulsed substrate electrode power |
US6709546B2 (en) | 2000-10-19 | 2004-03-23 | Robert Bosch Gmbh | Device and method for etching a substrate by using an inductively coupled plasma |
US7094706B2 (en) | 2000-10-19 | 2006-08-22 | Robert Bosch Gmbh | Device and method for etching a substrate by using an inductively coupled plasma |
DE10130916A1 (de) * | 2001-06-27 | 2003-01-16 | Forschungsverbund Berlin Ev | Verfahren zum anisotropen Strukturieren von Materialien |
DE10130916B4 (de) * | 2001-06-27 | 2004-08-26 | Forschungsverbund Berlin E.V. | Verfahren zum anisotropen Strukturieren von Materialien |
DE10234589A1 (de) * | 2002-07-30 | 2004-02-12 | Robert Bosch Gmbh | Schichtsystem mit einer Siliziumschicht und einer Passivierschicht, Verfahren zur Erzeugung einer Passivierschicht auf einer Siliziumschicht und deren Verwendung |
US7642545B2 (en) | 2002-07-30 | 2010-01-05 | Robert Bosch Gmbh | Layer and system with a silicon layer and a passivation layer, method for production of a passivation layer on a silicon layer and use thereof |
US7872333B2 (en) | 2002-08-17 | 2011-01-18 | Robert Bosch Gmbh | Layer system comprising a silicon layer and a passivation layer, method for production a passivation layer on a silicon layer and the use of said system and method |
US7855150B2 (en) | 2002-10-14 | 2010-12-21 | Robert Bosch Gmbh | Plasma system and method for anisotropically etching structures into a substrate |
US7872349B2 (en) | 2003-07-08 | 2011-01-18 | Infineon Technologies Ag | Integrated coolant circuit arrangement, operating method and production method |
DE10345402A1 (de) * | 2003-09-30 | 2005-05-04 | Infineon Technologies Ag | Verfahren zur Bearbeitung einer Halbleiterstruktur mit einer Vertiefung |
DE10345402B4 (de) * | 2003-09-30 | 2005-10-13 | Infineon Technologies Ag | Verfahren zur Bearbeitung einer Halbleiterstruktur mit einer Vertiefung |
DE102005004365A1 (de) * | 2005-01-31 | 2006-08-10 | Infineon Technologies Ag | Verfahren zum Herstellen von vertikalen Leitstrukturen in einer integrierten Schaltungsanordnung und Schaltungsanordnung |
Also Published As
Publication number | Publication date |
---|---|
JP2000509915A (ja) | 2000-08-02 |
DE59814204D1 (de) | 2008-05-21 |
US6303512B1 (en) | 2001-10-16 |
KR20000064946A (ko) | 2000-11-06 |
KR100531560B1 (ko) | 2006-02-17 |
EP0894338B1 (de) | 2008-04-09 |
DE19706682C2 (de) | 1999-01-14 |
JP4555404B2 (ja) | 2010-09-29 |
WO1998037577A1 (de) | 1998-08-27 |
EP0894338A1 (de) | 1999-02-03 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
DE19706682A1 (de) | Anisotropes fluorbasiertes Plasmaätzverfahren für Silicium | |
DE4241045C1 (de) | Verfahren zum anisotropen Ätzen von Silicium | |
EP0865664B1 (de) | Verfahren zum anisotropen plasmaätzen verschiedener substrate | |
EP0015403B1 (de) | Verfahren zum reaktiven Ionenätzen von Silicium | |
DE69513758T2 (de) | Ätzverfahren und Plasmareaktor zur Durchführung desselben | |
DE69909248T2 (de) | Verfahren zur verminderung der erosion einer maske während eines plasmaätzens | |
DE3873337T2 (de) | Aetzverfahren mittels gasplasma. | |
DE69935100T2 (de) | Verfahren zur Ätzung einer Metallisierung mittels einer harten Maske | |
DE69934986T2 (de) | Verfahren für anisotropes ätzen | |
DE69938342T2 (de) | Verfahren zur herstellung von gräben in einer siliziumschicht eines substrats in einem plasmasystem hoher plasmadichte | |
DE19847455A1 (de) | Verfahren zur Bearbeitung von Silizium mittels Ätzprozessen | |
EP1095400B1 (de) | Verfahren zum plasmaätzen von silizium | |
DE4104762A1 (de) | Verfahren und vorrichtung zur bearbeitung einer oberflaeche | |
DE19826382A1 (de) | Verfahren zum anisotropen Ätzen von Silicium | |
DE4107329C2 (de) | ||
US5522966A (en) | Dry etching process for semiconductor | |
DE10309711A1 (de) | Verfahren zum Einätzen von Strukturen in einem Ätzkörper mit einem Plasma | |
DE3925070C2 (de) | Verfahren zum Erhalt einer sauberen Siliziumoberfläche | |
DE69819023T2 (de) | Methode, eine leitende schicht zu ätzen | |
DE19746425A1 (de) | Heliconwellenerregung zum Erzeugen energiereicher Elektronen zur Herstellung von Halbleitern | |
DE10338292A1 (de) | Verfahren zum Ätzen einer Metallschicht unter Verwendung einer Maske, Metallisierungsverfahren für eine Halbleitervorrichtung, Verfahren zum Ätzen einer Metallschicht und ein Ätzgas | |
DE3030814C2 (de) | Verfahren zum Plasmaätzen eines Werkstücks | |
DE10304851A1 (de) | Ätzverfahren | |
DE4232475C2 (de) | Verfahren zum plasmachemischen Trockenätzen von Si¶3¶N¶4¶-Schichten hochselektiv zu SiO¶2¶-Schichten | |
DE4241453C2 (de) | Verfahren zum Plasmaätzen von Gräben in Silizium |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
OP8 | Request for examination as to paragraph 44 patent law | ||
D2 | Grant after examination | ||
8364 | No opposition during term of opposition | ||
R071 | Expiry of right |