DE4317623A1 - Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten - Google Patents

Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten

Info

Publication number
DE4317623A1
DE4317623A1 DE4317623A DE4317623A DE4317623A1 DE 4317623 A1 DE4317623 A1 DE 4317623A1 DE 4317623 A DE4317623 A DE 4317623A DE 4317623 A DE4317623 A DE 4317623A DE 4317623 A1 DE4317623 A1 DE 4317623A1
Authority
DE
Germany
Prior art keywords
substrate
etching
sccm
gas
flow rate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE4317623A
Other languages
English (en)
Other versions
DE4317623C2 (de
Inventor
Franz Dr Laermer
Andrea Schilp
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Robert Bosch GmbH
Original Assignee
Robert Bosch GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Robert Bosch GmbH filed Critical Robert Bosch GmbH
Priority to DE4317623A priority Critical patent/DE4317623C2/de
Priority to FR9405104A priority patent/FR2705694B1/fr
Priority to US08/243,783 priority patent/US5498312A/en
Priority to KR1019940011359A priority patent/KR100361399B1/ko
Priority to JP6113057A priority patent/JPH06349784A/ja
Publication of DE4317623A1 publication Critical patent/DE4317623A1/de
Application granted granted Critical
Publication of DE4317623C2 publication Critical patent/DE4317623C2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00523Etching material
    • B81C1/00531Dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3347Problems associated with etching bottom of holes or trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Description

Stand der Technik
Die Erfindung betrifft ein Verfahren zum anisotropen Plasmaätzen von Substraten und eine Vorrichtung zum Durchführen des Verfahrens sowie Bauteile, die nach dem Verfahren hergestellt sind.
Anisotrope Plasmaätzverfahren, insbesondere für Silicium, zeichnen sich dadurch aus, daß die herzustellenden Strukturen praktisch un­ abhängig von der Kristallorientierung in das Substrat eingearbeitet werden können. Bevorzugte Anwendungsgebiete sind die Mikromechanik und Sensorik, wo Strukturen mit hohem Aspektverhältnis (Struktur­ breite zu -tiefe) beziehungsweise mit schmalen Gräben und senk­ rechten Wänden herzustellen sind, beispielsweise schwingende Strukturen, kapazitive oder resonante Sensoren, elektrostatische Aktuatoren usw., ferner die Mikroelektronik, wo sogenannte Trench­ gräben zur Bauelementeisolation oder Kollektorkontaktierung erfor­ derlich sind oder allgemein Speicherzellen. Durch die Erzeugung schmaler Gräben werden erhebliche Einsparungen an Chipfläche möglich.
Die zum anisotropen Siliciumplasmaätzen üblicherweise eingesetzten RIE-Prozesse (RIE = reactive ion etching) basieren auf den schwächer reaktiven Halogenen Chlor oder Brom, die entweder direkt eingesetzt oder aus entsprechenden Verbindungen (zum Beispiel CF₃Br, CCl₄, CF₂Cl₂, CF₃Cl) im Plasma freigesetzt werden, sowie auf Ionen mit relativ hoher Energie (zum Beispiel 100 eV und mehr). Das daraus resultierende Ionenbombardement auf dem Ätzgrund initiiert dort die Umsetzung adsorbierter Halogenradikale mit dem abzutragenden Silicium, während die Spontanreaktion an den Seitenwänden der geätzten Strukturen, die keiner direkten Ioneneinwirkung ausgesetzt sind, bei diesen schwächer reaktiven Halogenen gering ist.
Mit Chlor- oder Bromprozessen sind allerdings eine Fülle von Problemen sowohl anlagetechnischer als auch prozeßtechnischer Art verbunden, da die Gase teilweise extrem korrosiv oder gar giftig und krebserzeugend sind. Ferner bilden sie auf dem Ätzgrund sogenanntes unerwünschtes "black silicon" und besitzen eine geringe Masken­ selektivität, das heißt Maskenmaterial und Substrat weisen ver­ gleichbare Ätzraten auf. Außerdem sind diese FCKW- oder FBrKW-Stoffe in naher Zukunft nicht mehr verfügbar.
Prozesse auf Fluorchemiebasis, die diese Nachteile teilweise be­ heben, liefern erheblich größere Siliciumätzraten und sind prozeß- und anlagetechnisch wesentlich unkritischer, weisen aber einen inhärenten isotropen Ätzcharakter auf, das bedeutet, daß die spontane Ätzreaktion von Fluorradikalen gegenüber Silicium so groß ist, daß auch die Seitenwände geätzter Strukturen erheblich ange­ griffen werden und eine starke Unterätzung stattfindet. Versuche in einem RIE-Plasma neben den die Ätzung bewirkenden Ionen auch polymerbildende Monomere gleichzeitig kontrolliert zu erzeugen und im Plasma ausreichend lange zu erhalten, um damit die Seitenwände der Ätzstrukturen durch Polymerbedeckung vor einem Ätzangriff zu schützen, während der Ätzgrund ionenunterstützt von den Ätzspezies angegriffen wird, scheitern an der schwer beherrschbaren Plasma­ chemie. Die Koexistenz von Fluorradikalen und ungesättigten Mono­ meren ist bei niedrigen Anregungsdichten nahezu unmöglich zu er­ reichen. Ferner sind die damit erzielbaren Ätzraten sehr niedrig und das Problem des zu hohen Maskenabtrags relativ zur Siliciumätzrate ist ebenfalls ungelöst.
Vorteile der Erfindung
Das erfindungsgemäße Verfahren beruht auf der Erzeugung eines Plasmas geeigneter chemischer Zusammensetzung, bevorzugt auf reiner Fluorchemiebasis, mit hoher Dichte an reaktiven Teilchen und nieder­ energetischen Ionen durch intensive Energieeinstrahlung. Der Ver­ zicht auf Chlor oder Brom bedeutet erhebliche Kosteneinsparungen an Sicherheitseinrichtungen, Abgasreinigung sowie verminderten An­ lagenverschleiß.
Die nur geringe Beschleunigung der generierten Ionen zum Substrat hin ergibt eine hohe Maskenselektivität, das heißt die Masken­ substanz (SiO₂ und sogar Photolack) wird fast gar nicht mehr ab­ getragen. Es werden hohe Ätzraten in Si von einigen Mikrometern/Minute und eine nahezu perfekte Anisotropie der Ätzung ohne Unterschneiden der Maske erreicht.
Dies wird dadurch möglich, das gleichzeitig ein Ätzgas, insbesondere ein fluorlieferndes Ätzgas, wie SF₆, CF₄ oder NF₃, und ein Passiviergas, das polymerbildende Monomere liefert, wie CHF₃, C₂F₆ oder C₂F₄ eingesetzt wird. Durch intensive Plasmaan­ regung, insbesondere durch Mikrowelleneinstrahlung, wird dadurch gleichzeitig eine große Zahl von freien Fluorradikalen und teflon­ bildenden Monomeren im Plasma generiert. Die hohe Intensität der Anregung erlaubt eine Koexistenz dieser an sich völlig gegen­ sätzlichen Verbindungen im Plasma über eine ausreichend lange Zeit­ spanne, um so einen Seitenwandschutz aufbauen zu können.
Durch die ausschließliche Einwirkung von Ionen mit sehr geringer Energie auf den Ätzgrund wird dieser von einer Polymerbedeckung durch die CF₂-Monomere freigehalten und kann von den Fluorradi­ kalen ungehindert geätzt werden, während die Seitenwände mit einem teflonartigen Film (CF₂)n bedeckt und dadurch vor der Ätzung geschützt werden. Um den Ätzgrund freizuhalten, genügen Ionen­ energien zwischen 1 und 40 eV, vorzugsweise zwischen 10 und 30 eV, wodurch der Abtrag des Maskenmaterials, zum Beispiel SiO₂ oder Photolack, sehr gering gehalten werden kann.
Da das Maskenmaterial Photoresist sein kann, sind erhebliche Kosten­ vorteile in der Fertigung gegeben. Der Einsatz von Photolackmasken ist wesentlich billiger als die sonst notwendigen Hartstoffmasken und gestattet eine höhere Prozeßflexibilität. Viele Strukturen sind überhaupt nur unter diesen flexiblen Randbedingungen realisierbar.
Wesentlich für den Seitenwandschutz durch ein Seitenwandpolymer und für eine hohe Maskenselektivität ist eine gute thermische Ankopplung des zu ätzenden Substrats an die Substratelektrode, um ein Über­ hitzen der dem Plasma zugewandten Substratoberfläche zu vermeiden. Steigen die Substrattemperaturen auf Werte < 100°C, nimmt der Ab­ trag von Photolackmasken allmählich zu und die chemische Stabilität der Seitenwandpolymere langsam ab. Die thermische Kopplung zwischen Substrat und Substratelektrode kann beispielsweise erreicht werden durch einen Heliumkonvektionsstrom zwischen der Substratrückseite und der Elektrodenoberfläche oder durch ein dazwischen angeordnetes Elastomer. Der Abstand zwischen dem Substrat und der Elektrode liegt üblicherweise in einem Bereich um 0,1 mm.
Das erfindungsgemäße Verfahren kann vorteilhaft in allen Plasma­ anlagen durchgeführt werden, die mindestens eine Elektrode, einen Gaseinlaß und Mittel zum Einstrahlen von Energie aufweisen. Die Energie kann in Form hochfrequenter Wechselspannung in einer Triodenanordnung, einem induktiv gekoppelten Plasma (ICP) oder besonders vorteilhaft durch Einstrahlung von Mikrowellen in eine ECR- oder PIE-Anordnung dem Plasma zugeführt werden (ECR = Elektron-Zyklotron-Resonanz, PIE = Propagation Ion Etching). Dabei können Bauteile hergestellt werden, die geätzte Strukturen mit einem hohen Aspektverhältnis beziehungsweise extrem tiefe Gräben aufweisen.
Zeichnung
In der einzigen Figur ist eine Plasmaanlage mit Mikrowellenanregung dargestellt und in der nachfolgenden Beschreibung unter Angabe weiterer Vorteile erläutert.
Beschreibung
Eine typische Anlage besitzt einen Rezipient 10 mit einem evakuier­ baren Prozeßraum 12. In diesem ist eine flächenhafte Elektrode 14 angeordnet, die durch eine Vakuumdurchführung 16 mit einem Hoch­ frequenzgenerator 18 verbunden ist. Auf der Elektrode 14 liegt ein Substrat 20, dessen Oberfläche 22 zu bearbeiten ist.
Über dem Substrat 20 befindet sich eine Anordnung 24, die einen Mikrowellengenerator 26 aufweist, der über einen Hohlleiter 28 mit einem Resonator 30 verbunden ist. Vom Resonator 30, der einen An­ passungsschieber 32 aufweist, können Mikrowellen in einen kalotten­ förmigen, auf das Substrat zielenden Strahler, ein sogenanntes Surfatron 34, eingekoppelt und von dort auf die Substratoberfläche 22 geleitet werden. Mittels einer nicht dargestellten Zündvor­ richtung kann über der Substratoberfläche 22 und im Surfatron 34 ein Plasma gezündet werden.
Das Surfatron 34 weist einen Gaseinlaß 36 auf, der mit einer Misch­ batterie 38 verbunden ist, an der unterschiedliche Gase 40 ent­ haltende Gasvolumengefäße 39 angeschlossen sind, wodurch die Gase 40 mit unterschiedlichen Volumenströmen mischbar und dem Prozeßraum 12 zuleitbar sind.
Um zu hohe Substrattemperaturen zu vermeiden, kann zwischen dem Substrat 20 und der Elektrode 14 ein Elastomer 42 angeordnet sein, das die Wärmeabfuhr vom Substrat 20 auf die Elektrode 14 verbessert. Alternativ kann die Elektrode 14 Halter aufweisen (nicht darge­ stellt), die das Substrat 20 in einem definierten Abstand von bei­ spielsweise 0,1 mm über der Elektrode gegen eine Dichtvorrichtung drücken. Durch den entstehenden Spalt wird dann beispielsweise durch Bohrungen in der Elektrode 14 ein Heliumkonvektionsstrom zur Kühlung geführt. Die Dichtvorrichtung dichtet das Vakuum gegenüber dem Heliumstrom ab.
Das erfindungsgemäße Verfahren eignet sich prinzipiell für alle Plasmaanlagen, bei denen mittels einer intensiven Hochfrequenz- oder Mikrowellenanregung ein hochdichtes Plasma (ca. 10¹² Ionen/cm³) erzeugbar ist. Die durch die Hochfrequenz- oder Mikrowellenanregung erzeugten Ionen geringer Energie werden durch die zusätzlich in die Substratelektrode eingekoppelte Hochfrequenzleistung aus dem Plasma auf die gewünschte Energie zum Substrat hin beschleunigt. Auf diese Weise kann die Ionenenergie unabhängig von der Plasmadichte einge­ stellt werden. Dabei sind auch andere Plasmaerzeugungsprozesse denk­ bar, beispielsweise mittels einer Triodenanordnung mit Magnetronan­ regung oder Plasmen mit ECR- oder ICP-Anregung.
Geeignete Prozeßparameter, mit der die beschriebene Anlage betreib­ bar ist, liegen vor bei einem Prozeßdruck zwischen 1 und 100 µbar, einem SF₆-Fluß zwischen 10 und 200 sccm (standard ccm/min) und einem CHF₃-Fluß zwischen 50 und 300 sccm. Zur Verbesserung der Prozeßstabilität kann noch ein Argonfluß zwischen 10 und 100 sccm zugegeben werden. Die Ätzgrund- und Seitenwandrauhigkeiten werden durch geringe Beimischungen von N₂, vorzugsweise zwischen 10 und 100 sccm und/oder von O₂, vorzugsweise zwischen 1 und 10 sccm günstig beeinflußt, wobei die Gase vorteilhaft von Anfang an zuge­ mischt werden. Im Fall einer Mikrowellenanregung sollte die einge­ koppelte Mikrowellenleistung zwischen 300 und 1200 Watt betragen. Mit einer Hochfrequenzleistung zwischen 1 und 20 Watt an der Substratelektrode können, je nach Prozeßdruck, Ionenbeschleunigungs­ spannungen zwischen 1 und 50 Volt eingestellt werden. Die Ionen­ energien sollten zwischen 1 und 40 eV, vorzugsweise zwischen 10 und 30 eV betragen. Bei zu hoch gewählten Ionenenergien verschlechtert sich die sogenannte Maskenselektivität und die Maske auf der Substratoberfläche 22 wird ebenfalls stark weggeätzt.
Die typischerweise erzielbaren Ätzraten in Silicium liegen zwischen 1 und 5 µm pro Minute, die Selektivität gegenüber Photoresistmasken beispielsweise zwischen 30 : 1 und 100 : 1. Durch das erfindungsgemäße Verfahren werden praktisch senkrechte Ätzprofile erreicht, wobei sich die Ätzgraben in die Tiefe tendenziell geringfügig verengen. Profile dieser Art sind für eine Wiederbeschichtung ideal. Da darüber hinaus auch Kanten- und Ätzgrundrauhigkeiten sehr gering sind, können mit diesem Verfahren erzeugte Strukturen auch für Zwecke der Abformung, beispielsweise als Urform beim Spritzgießen, oder bei galvanischen Abformtechniken eingesetzt werden.

Claims (11)

1. Verfahren zum anisotropen Plasmaätzen von Substraten, insbeson­ dere von Siliziumsubstraten, bei dem ein Abtragen der Substratober­ fläche mittels zum Substrat hin beschleunigten Ionen erfolgt, da­ durch gekennzeichnet, daß in den Prozeßraum (12) ein ein Halogen oder eine Halogenverbindung enthaltendes Ätzgas (40) und ein Passiviergas (40), das polymerbildende Monomere enthält, eingeleitet und durch Energieeinstrahlung (24) angeregt wird, und daß an das Substrat (20) oder an eine das Substrat (20) aufnehmende Elektrode (16) eine solche Spannung angelegt wird, daß die Ionen beim Auf­ treffen auf das Substrat (20) eine Energie zwischen 1 und 40 eV, vorzugsweise zwischen 10 und 30 eV, aufweisen.
2. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß das Ätzgas (40) SF₆, CF₄ oder NF₃ enthält.
3. Verfahren nach Anspruch 2, dadurch gekennzeichnet, daß das Ätzgas (40) mit einer Strömungsgeschwindigkeit zwischen 10 und 200 sccm (standard ccm/min) eingeleitet wird.
4. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß das Passiviergas (40) CHF₃, C₂F₄ oder C₂F₆ enthält.
5. Verfahren nach Anspruch 4, dadurch gekennzeichnet, daß das Passiviergas (40) mit einer Strömungsgeschwindigkeit zwischen 50 und 300 sccm eingeleitet wird.
6. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß in den Prozeßraum (12) Mikrowellenenergie eingestrahlt wird.
7. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß in den Prozeßraum (12) Argon (40) mit einer Strömungsgeschwindigkeit zwischen 10 und 100 sccm eingeleitet wird.
8. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß in den Prozeßraum (12) N₂ (40) mit einer Strömungsgeschwindigkeit zwischen 10 und 100 sccm und/oder O₂ (40) mit einer Strömungsgeschwindigkeit zwischen 1 und 10 sccm eingeleitet wird.
9. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß das Substrat (20) auf Temperaturen unter 100°C gekühlt wird.
10. Vorrichtung zum Durchführen des Verfahrens nach einem der vor­ hergehenden Ansprüche, gekennzeichnet durch einen einen Prozeßraum (12) schaffenden Rezipienten (10), der mindestens eine Elektrode, einen Gaseinlaß (36) und Mittel (24) zum Einstrahlen von Energie, insbesondere Mikrowellenenergie, aufweist.
11. Elektronisches Bauteil oder Sensorelement mit geätzten Strukturen, die ein hohes Aspektverhältnis bzw. schmale, tiefe Gräben aufweisen, gekennzeichnet durch die Herstellung nach dem Verfahren nach einem der Ansprüche 1 bis 9.
DE4317623A 1993-05-27 1993-05-27 Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten und dessen Verwendung Expired - Lifetime DE4317623C2 (de)

Priority Applications (5)

Application Number Priority Date Filing Date Title
DE4317623A DE4317623C2 (de) 1993-05-27 1993-05-27 Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten und dessen Verwendung
FR9405104A FR2705694B1 (fr) 1993-05-27 1994-04-27 Procédé et dispositif pour une attaque anisotrope au plasma, de substrats, notamment des substrats de silicium.
US08/243,783 US5498312A (en) 1993-05-27 1994-05-17 Method for anisotropic plasma etching of substrates
KR1019940011359A KR100361399B1 (ko) 1993-05-27 1994-05-25 기판의이방성플라즈마에칭방법및그방법에의해제조되는전자부품
JP6113057A JPH06349784A (ja) 1993-05-27 1994-05-26 基板を異方性プラズマエッチングする方法および装置、および電子部品またはセンサー素子

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE4317623A DE4317623C2 (de) 1993-05-27 1993-05-27 Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten und dessen Verwendung

Publications (2)

Publication Number Publication Date
DE4317623A1 true DE4317623A1 (de) 1994-12-01
DE4317623C2 DE4317623C2 (de) 2003-08-21

Family

ID=6489026

Family Applications (1)

Application Number Title Priority Date Filing Date
DE4317623A Expired - Lifetime DE4317623C2 (de) 1993-05-27 1993-05-27 Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten und dessen Verwendung

Country Status (5)

Country Link
US (1) US5498312A (de)
JP (1) JPH06349784A (de)
KR (1) KR100361399B1 (de)
DE (1) DE4317623C2 (de)
FR (1) FR2705694B1 (de)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1996008036A1 (en) * 1994-09-02 1996-03-14 Stichting Voor De Technische Wetenschappen Process for producing micromechanical structures by means of reactive ion etching
DE19641288A1 (de) * 1996-10-07 1998-04-09 Bosch Gmbh Robert Verfahren zum anisotropen Plasmaätzen verschiedener Substrate
US5792675A (en) * 1993-12-03 1998-08-11 Bosch Gmbh Robert Method for manufacturing an accelerometer sensor of crystalline material
DE19706682A1 (de) * 1997-02-20 1998-08-27 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silicium
US6085967A (en) * 1998-12-28 2000-07-11 Eastman Kodak Company Method of registrably aligning fabricated wafers preceding bonding
US6242817B1 (en) 1998-12-28 2001-06-05 Eastman Kodak Company Fabricated wafer for integration in a wafer structure
WO2001048795A2 (en) * 1999-12-23 2001-07-05 Applied Materials, Inc. Fluorine based plasma etch method for anisotropic etching of high open area silicon structures
DE10229037A1 (de) * 2002-06-28 2004-01-29 Robert Bosch Gmbh Vorrichtung und Verfahren zur Erzeugung von Chlortrifluorid und Anlage zur Ätzung von Halbleitersubstraten mit dieser Vorrichtung
US7166536B1 (en) 1999-04-29 2007-01-23 Robert Bosch Gmbh Methods for plasma etching of silicon
DE10029032B4 (de) * 1999-06-14 2012-03-22 Disco Corp. Schleif- und Ätzanlage für plattenartige Objekte

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3360461B2 (ja) * 1995-01-31 2002-12-24 ソニー株式会社 メタル成膜工程の前処理方法
US5597444A (en) * 1996-01-29 1997-01-28 Micron Technology, Inc. Method for etching semiconductor wafers
US6027662A (en) * 1996-03-29 2000-02-22 Lam Research Corporation Materials processing by separately generated process medium constituents
US5637189A (en) * 1996-06-25 1997-06-10 Xerox Corporation Dry etch process control using electrically biased stop junctions
US5865938A (en) * 1996-06-25 1999-02-02 Xerox Corporation Wafer chuck for inducing an electrical bias across wafer heterojunctions
US5932940A (en) 1996-07-16 1999-08-03 Massachusetts Institute Of Technology Microturbomachinery
US6127278A (en) * 1997-06-02 2000-10-03 Applied Materials, Inc. Etch process for forming high aspect ratio trenched in silicon
US6187685B1 (en) * 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
DE19734278C1 (de) * 1997-08-07 1999-02-25 Bosch Gmbh Robert Vorrichtung zum anisotropen Ätzen von Substraten
DE19736370C2 (de) * 1997-08-21 2001-12-06 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silizium
DE19826382C2 (de) * 1998-06-12 2002-02-07 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
US6312616B1 (en) * 1998-12-03 2001-11-06 Applied Materials, Inc. Plasma etching of polysilicon using fluorinated gas mixtures
DE19919832A1 (de) * 1999-04-30 2000-11-09 Bosch Gmbh Robert Verfahren zum anisotropen Plasmaätzen von Halbleitern
EP1065059B1 (de) 1999-07-02 2007-01-31 Canon Kabushiki Kaisha Verfahren zur Herstellung eines Flüssigkeitsausstosskopfes, damit hergestellter Flüssigkeitsausstosskopf, Kopfkassette, Flüssigkeitsausstossvorrichtung, Verfahren zur Herstellung einer Siliziumplatte und damit hergestellte Siliziumplatte
US6617098B1 (en) 1999-07-13 2003-09-09 Input/Output, Inc. Merged-mask micro-machining process
US6291357B1 (en) 1999-10-06 2001-09-18 Applied Materials, Inc. Method and apparatus for etching a substrate with reduced microloading
AU2114001A (en) * 1999-10-15 2001-04-23 California Institute Of Technology Formation of smooth vertical surface on an optical component
WO2001029879A2 (en) * 1999-10-20 2001-04-26 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6805139B1 (en) 1999-10-20 2004-10-19 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US20050022839A1 (en) * 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
DE19957169A1 (de) * 1999-11-27 2001-06-13 Bosch Gmbh Robert Plasmaätzverfahren mit gepulster Substratelektrodenleistung
US6593244B1 (en) * 2000-09-11 2003-07-15 Applied Materials Inc. Process for etching conductors at high etch rates
US6402301B1 (en) 2000-10-27 2002-06-11 Lexmark International, Inc Ink jet printheads and methods therefor
US6767614B1 (en) 2000-12-19 2004-07-27 Wolfgang M. J. Hofmann Multiple-level actuators and clamping devices
US20020158046A1 (en) * 2001-04-27 2002-10-31 Chi Wu Formation of an optical component
US20020158047A1 (en) * 2001-04-27 2002-10-31 Yiqiong Wang Formation of an optical component having smooth sidewalls
US6555480B2 (en) 2001-07-31 2003-04-29 Hewlett-Packard Development Company, L.P. Substrate with fluidic channel and method of manufacturing
US6805432B1 (en) * 2001-07-31 2004-10-19 Hewlett-Packard Development Company, L.P. Fluid ejecting device with fluid feed slot
US6704132B2 (en) * 2001-12-20 2004-03-09 Texas Instruments Incorporated Micromirror truss structure and fabrication method
DE10209763A1 (de) * 2002-03-05 2003-10-02 Bosch Gmbh Robert Vorrichtung und Verfahren zum anisotropen Plasmaätzen eines Substrates, insbesondere eines Siliziumkörpers
US6981759B2 (en) * 2002-04-30 2006-01-03 Hewlett-Packard Development Company, Lp. Substrate and method forming substrate for fluid ejection device
US6554403B1 (en) * 2002-04-30 2003-04-29 Hewlett-Packard Development Company, L.P. Substrate for fluid ejection device
US6849554B2 (en) 2002-05-01 2005-02-01 Applied Materials, Inc. Method of etching a deep trench having a tapered profile in silicon
US6759340B2 (en) * 2002-05-09 2004-07-06 Padmapani C. Nallan Method of etching a trench in a silicon-on-insulator (SOI) structure
JP4288914B2 (ja) * 2002-08-21 2009-07-01 パナソニック株式会社 共振デバイスの製造方法
US6921490B1 (en) 2002-09-06 2005-07-26 Kotura, Inc. Optical component having waveguides extending from a common region
JP3672900B2 (ja) * 2002-09-11 2005-07-20 松下電器産業株式会社 パターン形成方法
DE10246063A1 (de) * 2002-10-02 2004-04-22 Robert Bosch Gmbh Verfahren zum anisotropen Ätzen eines Siliziumsubstrates
US7977390B2 (en) 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
DE10247913A1 (de) * 2002-10-14 2004-04-22 Robert Bosch Gmbh Plasmaanlage und Verfahren zum anisotropen Einätzen von Strukturen in ein Substrat
US20060032046A1 (en) * 2002-10-17 2006-02-16 Menachem Nathan Thin-film cathode for 3-dimensional microbattery and method for preparing such cathode
GB2396053B (en) * 2002-10-23 2006-03-29 Bosch Gmbh Robert Device and process for anisotropic plasma etching of a substrate,in particular a silicon body
JP4098225B2 (ja) * 2003-12-01 2008-06-11 松下電器産業株式会社 プラズマエッチング方法
WO2005055303A1 (ja) * 2003-12-01 2005-06-16 Matsushita Electric Industrial Co., Ltd. プラズマエッチング方法
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US20040224524A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Maintaining the dimensions of features being etched on a lithographic mask
US6910758B2 (en) * 2003-07-15 2005-06-28 Hewlett-Packard Development Company, L.P. Substrate and method of forming substrate for fluid ejection device
JP3816484B2 (ja) 2003-12-15 2006-08-30 日本航空電子工業株式会社 ドライエッチング方法
US20050211668A1 (en) * 2004-03-26 2005-09-29 Lam Research Corporation Methods of processing a substrate with minimal scalloping
US7235489B2 (en) * 2004-05-21 2007-06-26 Agere Systems Inc. Device and method to eliminate shorting induced by via to metal misalignment
US20070186953A1 (en) * 2004-07-12 2007-08-16 Savas Stephen E Systems and Methods for Photoresist Strip and Residue Treatment in Integrated Circuit Manufacturing
US7151883B2 (en) * 2004-10-08 2006-12-19 Hewlett-Packard Development Company, L.P. Photonic crystal device and methods
US7459100B2 (en) * 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7241683B2 (en) * 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7910489B2 (en) * 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
US8124033B2 (en) * 2006-02-17 2012-02-28 Agency, Science, Technology and Research Apparatus for regulating the temperature of a biological and/or chemical sample and method of using the same
US7618748B2 (en) * 2006-03-13 2009-11-17 Tel Aviv University Future Technology Development L.P. Three-dimensional microbattery
GB0616125D0 (en) * 2006-08-14 2006-09-20 Radiation Watch Ltd Etch process
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
JP5448581B2 (ja) * 2008-06-19 2014-03-19 キヤノン株式会社 液体吐出ヘッド用基板の製造方法及び基板の加工方法
JP5102720B2 (ja) * 2008-08-25 2012-12-19 東京エレクトロン株式会社 基板処理方法
US20110045351A1 (en) * 2009-08-23 2011-02-24 Ramot At Tel-Aviv University Ltd. High-Power Nanoscale Cathodes for Thin-Film Microbatteries
TWI416624B (zh) * 2009-12-11 2013-11-21 Advanced Micro Fab Equip Inc An etching method for deep - through - hole
US8384183B2 (en) * 2010-02-19 2013-02-26 Allegro Microsystems, Inc. Integrated hall effect element having a germanium hall plate
EP2362411A1 (de) 2010-02-26 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Vorrichtung und Verfahren zum reaktiven Ionenätzen
US9123954B2 (en) 2010-06-06 2015-09-01 Ramot At Tel-Aviv University Ltd. Three-dimensional microbattery having a porous silicon anode
JP5537324B2 (ja) * 2010-08-05 2014-07-02 株式会社東芝 半導体装置の製造方法
TW201216354A (en) * 2010-10-05 2012-04-16 Univ Nat Taiwan Science Tech Method for etching high-aspect-ratio features
WO2013138550A1 (en) * 2012-03-15 2013-09-19 West Virginia University Plasma-chlorinated electrode and organic electronic devices using the same
JP6096470B2 (ja) * 2012-10-29 2017-03-15 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10883168B2 (en) 2014-09-11 2021-01-05 Massachusetts Institute Of Technology Processing system for small substrates
WO2017055984A1 (en) 2015-09-30 2017-04-06 Ramot At Tel Aviv University Ltd. 3d micro-battery on 3d-printed substrate
US20180143332A1 (en) 2016-11-18 2018-05-24 Plasma-Therm Llc Ion Filter
RU2691758C1 (ru) * 2018-08-17 2019-06-18 Федеральное государственное бюджетное учреждение науки Физико-технологический институт имени К.А. Валиева Российской академии наук (ФТИАН им К.А. Валиева РАН) Способ анизотропного плазменного травления кремниевых микроструктур в циклическом двухшаговом процессе окисление-травление
US11358858B2 (en) 2020-01-24 2022-06-14 Panasonic Intellectual Property Management Co., Ltd. Semiconductor device and method of manufacturing thereof
US11262506B1 (en) * 2020-08-07 2022-03-01 Advanced Semiconductor Engineering, Inc. Recessed portion in a substrate and method of forming the same

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4330384A (en) * 1978-10-27 1982-05-18 Hitachi, Ltd. Process for plasma etching
US4784720A (en) * 1985-05-03 1988-11-15 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
CA1260365A (en) * 1985-05-06 1989-09-26 Lee Chen Anisotropic silicon etching in fluorinated plasma
JP2603217B2 (ja) * 1985-07-12 1997-04-23 株式会社日立製作所 表面処理方法及び表面処理装置
US4666555A (en) * 1985-08-23 1987-05-19 Intel Corporation Plasma etching of silicon using fluorinated gas mixtures
EP0246514A3 (de) * 1986-05-16 1989-09-20 Air Products And Chemicals, Inc. Ätzung tiefer Nuten in monokristallinen Silizium
KR960016218B1 (ko) * 1987-06-05 1996-12-07 가부시기가이샤 히다찌세이사꾸쇼 표면처리방법 및 그 장치
JPS6432627A (en) * 1987-07-29 1989-02-02 Hitachi Ltd Low-temperature dry etching method
JPH0622218B2 (ja) * 1988-08-06 1994-03-23 富士通株式会社 エッチング方法
JP2993675B2 (ja) * 1989-02-08 1999-12-20 株式会社日立製作所 プラズマ処理方法及びその装置
JPH0362517A (ja) * 1989-03-27 1991-03-18 Anelva Corp マイクロ波プラズマ処理装置
US5061838A (en) * 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
EP0414372A3 (en) * 1989-07-21 1991-04-24 Sony Corporation Dry etching methods
US5242561A (en) * 1989-12-15 1993-09-07 Canon Kabushiki Kaisha Plasma processing method and plasma processing apparatus
US5296095A (en) * 1990-10-30 1994-03-22 Matsushita Electric Industrial Co., Ltd. Method of dry etching
JP3018532B2 (ja) * 1991-02-26 2000-03-13 ソニー株式会社 ドライエッチング方法
JP3371143B2 (ja) * 1991-06-03 2003-01-27 ソニー株式会社 ドライエッチング方法

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5792675A (en) * 1993-12-03 1998-08-11 Bosch Gmbh Robert Method for manufacturing an accelerometer sensor of crystalline material
DE4341271B4 (de) * 1993-12-03 2005-11-03 Robert Bosch Gmbh Beschleunigungssensor aus kristallinem Material und Verfahren zur Herstellung dieses Beschleunigungssensors
WO1996008036A1 (en) * 1994-09-02 1996-03-14 Stichting Voor De Technische Wetenschappen Process for producing micromechanical structures by means of reactive ion etching
DE19641288A1 (de) * 1996-10-07 1998-04-09 Bosch Gmbh Robert Verfahren zum anisotropen Plasmaätzen verschiedener Substrate
DE19706682A1 (de) * 1997-02-20 1998-08-27 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silicium
DE19706682C2 (de) * 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
US6242817B1 (en) 1998-12-28 2001-06-05 Eastman Kodak Company Fabricated wafer for integration in a wafer structure
US6085967A (en) * 1998-12-28 2000-07-11 Eastman Kodak Company Method of registrably aligning fabricated wafers preceding bonding
US7166536B1 (en) 1999-04-29 2007-01-23 Robert Bosch Gmbh Methods for plasma etching of silicon
DE10029032B4 (de) * 1999-06-14 2012-03-22 Disco Corp. Schleif- und Ätzanlage für plattenartige Objekte
WO2001048795A2 (en) * 1999-12-23 2001-07-05 Applied Materials, Inc. Fluorine based plasma etch method for anisotropic etching of high open area silicon structures
WO2001048795A3 (en) * 1999-12-23 2002-01-03 Applied Materials Inc Fluorine based plasma etch method for anisotropic etching of high open area silicon structures
DE10229037A1 (de) * 2002-06-28 2004-01-29 Robert Bosch Gmbh Vorrichtung und Verfahren zur Erzeugung von Chlortrifluorid und Anlage zur Ätzung von Halbleitersubstraten mit dieser Vorrichtung
US8382940B2 (en) 2002-06-28 2013-02-26 Robert Bosch Gmbh Device and method for producing chlorine trifluoride and system for etching semiconductor substrates using this device

Also Published As

Publication number Publication date
DE4317623C2 (de) 2003-08-21
FR2705694A1 (fr) 1994-12-02
KR100361399B1 (ko) 2003-08-02
US5498312A (en) 1996-03-12
JPH06349784A (ja) 1994-12-22
FR2705694B1 (fr) 1996-12-06

Similar Documents

Publication Publication Date Title
DE4317623C2 (de) Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten und dessen Verwendung
EP0865664B1 (de) Verfahren zum anisotropen plasmaätzen verschiedener substrate
CA1160761A (en) Fabrication of microminiature devices using plasma etching of silicon and resultant products
DE19706682C2 (de) Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
DE4241045C1 (de) Verfahren zum anisotropen Ätzen von Silicium
DE69835032T2 (de) Verbesserte methode eine oxidschicht zu ätzen
DE10328578B4 (de) Verfahren zum reaktiven Ionenätzen unter Verwendung einer Hartmaske aus einer amorphen Kohlenstoff-Wasserstoff-Schicht
DE69635972T2 (de) Plasma-Ätz-Verfahren
DE60128460T2 (de) Verfahren zur veraschung eines photolackes mit einem mikrowellen-plasma in einem ätzkamer für dielektrische schichten und plasma-machine dafür
DE69938342T2 (de) Verfahren zur herstellung von gräben in einer siliziumschicht eines substrats in einem plasmasystem hoher plasmadichte
DE60030905T2 (de) Verfahren zur anisotropen Ätzung von Substraten
DE69724192T2 (de) Verfahren zum Ätzen von Polyzidstrukturen
DE2930360A1 (de) Verfahren zum plasmaaetzen von aluminium
CA1113352A (en) Saturated and unsaturated halocarbon gases in plasma etching
Arnal et al. Plasma etching in magnetic multipole microwave discharge
Winters et al. Coatings and surface modification using low pressure non-equilibrium plasmas
EP1644954B1 (de) Verfahren zum anisotropen ätzen einer ausnehmung in ein siliziumsubstrat und verwendung einer plasmaätzanlage
KR900003804B1 (ko) 단결정 실리콘의 디프 트렌치 에칭
DE3140675A1 (de) Verfahren und gasgemisch zum aetzen von aluminium
EP1614145A2 (de) Siliziumsubstrat mit positiven tzprofilen mit definiertem b schungswinkel und verfahren zur herstellung
DE19504434C1 (de) Verfahren zur Herstellung siliziumhaltiger Masken
EP3526812B1 (de) Verfahren zum anisotropen drie-ätzen mit fluorgasmischung
Fleddermann Plasma etching of PLZT: Review and future prospects
DE19910984C2 (de) Verfahren zur Herstellung von Polymerstrukturen auf einem Substrat mittels eines Ätzprozesses
US20050059250A1 (en) Fast etching system and process for organic materials

Legal Events

Date Code Title Description
8120 Willingness to grant licences paragraph 23
8110 Request for examination paragraph 44
8304 Grant after examination procedure
8364 No opposition during term of opposition
8321 Willingness to grant licences paragraph 23 withdrawn
R071 Expiry of right
R071 Expiry of right