KR100361399B1 - 기판의이방성플라즈마에칭방법및그방법에의해제조되는전자부품 - Google Patents

기판의이방성플라즈마에칭방법및그방법에의해제조되는전자부품 Download PDF

Info

Publication number
KR100361399B1
KR100361399B1 KR1019940011359A KR19940011359A KR100361399B1 KR 100361399 B1 KR100361399 B1 KR 100361399B1 KR 1019940011359 A KR1019940011359 A KR 1019940011359A KR 19940011359 A KR19940011359 A KR 19940011359A KR 100361399 B1 KR100361399 B1 KR 100361399B1
Authority
KR
South Korea
Prior art keywords
substrate
etching
gas
plasma etching
anisotropic plasma
Prior art date
Application number
KR1019940011359A
Other languages
English (en)
Inventor
프란쯔레르머
안드레아쉬립
Original Assignee
로베르트 보쉬 게엠베하
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 로베르트 보쉬 게엠베하 filed Critical 로베르트 보쉬 게엠베하
Application granted granted Critical
Publication of KR100361399B1 publication Critical patent/KR100361399B1/ko

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00523Etching material
    • B81C1/00531Dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3347Problems associated with etching bottom of holes or trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

기판을 향해 가속시킨 이온을 사용하여 기판 표면을 제거하는 기판의 이방성 플라즈마 에칭 방법에 있어서, 처리실(12)내에서 할로겐 또는 할로겐 화합물을 함유하는 에칭 가스(40)와, 폴리머를 형성하는 모노머를 함유하는 패시브 가스(40)를 도입하고, 에너지 입사 장치(24)에 의해 여기시켜서 기판(20) 또는 기판(20)을 수용하고 있는 전극(16)에 이온이 기판(20)상에 충돌할 때에 1 내지 40eV 의 에너지를 내도록 전압을 인가하는 것을 특징으로 하는 기판을 이방성 플라즈마 에칭하는 방법으로서, 높은 마스크 선택성, 고에칭 속도 및 마스크의 언더컷이 없는 에칭의 거의 완벽한 이방성이 달성될 수 있다.

Description

기판의 이방성 플라즈마 에칭 방법 및 그 방법에 의해 제조되는 전자 부품
산업상의 이용분야
본 발명은 기판을 이방성 플라즈마 에칭(anisotropic plasma etching)하기 위한 방법, 상기 방법을 실시하기 위한 장치 및 상기 방법에 의해 제조되는 전자 부품에 관한 것이다.
종래의 기술
이방성 플라즈마 에칭방법, 특히 규소에 대한 이방성 플라즈마 에칭방법은 실질적으로 기판의 결정 배치 방향에 의존하지 않고 제조할 구조를 기판에 가공할 수 있다는 점에서 두드러지게 된다. 적합한 응용 분야는, 예를 들어, 진동 구조체(oscillating structures), 용량형 또는 공명 센서, 정전 작동기 등을 위한, 고 종횡비 (구조체의 폭 대 깊이) 또는 좁은 구멍 및 수직 벽을 구비하는 구조체가 제조되어야 하는 마이크로 기계 가공 및 센서 기술 분야이다. 또한 적용 분야에는 소자의 절연 또는 콜렉터 접촉 및 메모리 셀을 위한 소위 트렌치 구멍(trenched grooves)이 요구되는 마이크로 전자공학이 포함된다. 이방성 플라즈마 에칭 방법을 사용하여 좁은 구멍을 제조함으로써 칩 면적의 현저한 절약이 가능하다.
이방성 규소 플라즈마 에칭을 위해 통상 사용되는 RIE 법(RIE = 반응성 이온 에칭)은 비교적 약한 반응성의 할로겐, 염소 또는 브롬(이들은 직접 사용되거나 상응하는 화합물, 예를 들면 CF3Br, CCl4, CF2Cl2, CF3Cl 로부터 플라즈마 내에서 유리시킨다)과 비교적 높은 에너지 (예를 들면 100 eV 이상)를 갖는 이온을 기초로 한다. 이들에 의해 생기는 에칭 영역에서의 이온 충격이 그 장소에서 흡착된 할로겐기로 제거되어 규소의 반응을 개시시키며, 한편으로 직접 이온의 작용으로 노출됨이 없이 에칭 구조의 측벽에서의 자발적 반응이 약한 반응성 할로겐의 경우에 극히 적다.
염소법 또는 브롬법은 이 가스가 부분적으로 현저하게 부식성이거나 독성인 동시에 발암성이 있기 때문에 설비 기술 및 공정 기술 상의 다수의 문제가 있다. 또한, 이 방법은 에칭 영역 상에서 소위 바람직하지 않은 「블랙 실리콘」을 형성하고, 동시에 적은 마스크 선택성을 가지며 즉, 마스크 재료와 기판과는 거의 동일한 에칭 속도를 나타낸다, 또한, 이 FCKW 재료 또는 FBrKW 재료는 가까운 장래에 사용되지 않을 것이다.
이들의 결점을 부분적으로 극복하는 불소를 주로 하는 화학물(fluorine-based chemical)을 사용하는 방법은 현저하게 큰 규소 에칭 속도를 제공하며, 동시에 기술적인 프로세스 및 설비 기술적으로 문제가 없다. 그러나 불소를 주로 하는 화학물은 고유의 등방성 에칭 특성을 나타내는, 즉, 규소에 대한 불소기의 자발적인 에칭 반응은 에칭된 구조의 측벽도 현저하게 공격할 정도로 강하며 동시에 현저한 과도 에칭을 일으킨다. 에칭에 영향을 끼치는 불소기(F-radicals)와 폴리머를 형성하는 모노머기(radicals of polymer-forming monomers)를 제조하고, 에칭될 영역이 에칭 종에 의해 에칭되는 동안 에칭에 의한 공격으로부터 에칭 구조체의 측벽을 보호하기 위하여 폴리머를 형성하여 측벽을 코팅하도록 하기 위해서 이들을 충분히 오랜 시간 동안 플라즈마 내에 동시에 유지시키기 위한 지금까지의 시도들은 플라즈마 화학의 제어의 곤란성으로 인해 좌절되었다. 불소기와 불포화모노머의 공존은 낮은 여기밀도의 경우에 달성하기가 거의 곤란하다. 또한, 이들에 의해 달성 가능한 에칭 속도는 현저하게 낮고 규소 에칭 속도와 관련하여 매우 높은 마스크 제거의 문제도 동시에 해결하지 못했다.
제 1 도는 본 발명에 따른 마이크로파 여기 장치를 구비한 플라즈마 장치를 도시한 도면.
< 도면의 주요부분에 대한 부호의 설명 >
10 : 용기 12 : 처리실
14 : 전극 16 : 진공통로
18 : 고주파 발생기 20 : 기판
22 : 표면 24 : 장치
26 : 마이크로파 발생기 28 : 도파관
30 : 공진기 32 : 정합 슬라이더
34 : 방사기 36 : 가스 도입부
38 : 혼합 밸브 39 : 가스 봄베
40 : 가스 42 : 탄성체
본 발명에 의한 방법은 강력한 에너지 입사에 의해 높은 밀도의 반응성 입자 및 저 에너지 이온을 사용하여 적합하게 순수한 불소 화학물을 주로 하는 적합한 화학 조성의 플라즈마를 생성하는 것에 기인한다. 염소 또는 브롬을 사용하지 않은 것에 의하여, 그것들이 사용될 경우에 필수적인 안전 장치, 폐가스 정제 장치등을 사용하지 않음으로써 상당한 비용의 절감이 가능하고, 전형적으로 직면하게 되는 장치의 마모를 감소시킬 수 있게 된다.
생성된 이온의 기판 방향으로의 약간의 가속에 의하여 높은 마스크 선택성이 생기며, 즉, 마스크 물질(SiO2및 또는 포토레지스트 마스킹 재료)은 이제 완전히 박리되지 않는다. 이에 의해 수 마이크로미터/분의 Si 에 대한 높은 에칭 속도와 마스크의 언더컷이 없는 에칭의 실질적으로 완벽한 이방성이 달성된다.
상술한 것은 할로겐 또는 할로겐 화합물을 함유하는 에칭 가스, 특히 불소를 공급하는 에칭 가스 예를 들면, SF6, CF4또는 NF3및 폴리머를 형성하는 모노머를 공급하는 패시브 가스(passive gas), 예를 들면, CHF3, C2F6또는 C2F4를 동시에 사용함으로써 가능하게 된다. 강력한 플라즈마 여기에 의해, 특히 마이크로파 입사에 의해 동시에 현저한 수의 유리 불소기(free radicals of fluorine) 및 테프론을 형성하는 모노머 및 ㆍCF2ㆍ 가 플라즈마 안에 형성된다. 높은 여기 강도로 인해 충분히 긴 시간에 걸쳐 플라즈마 내에 이와 같이 대조적인 화합물을 공존시킬 수 있으므로 측벽을 보호할 수 있다.
매우 적은 에너지를 갖는 이온의 에칭 영역에서의 독점적 작용에 의해, 에칭 영역은 CF2-모노머에 의한 폴리머 피막과 관계없이, 방해받지 않고 불소기에 의해 에칭되며, 한편 측벽은 테프론류의 피막(CF2)n 으로 피복되며 이들에 의해 에칭으로 부터 보호된다. 에칭 영역을 유지하기 위해서는 이온 에너지는 1 내지 40 eV, 유리하게는 10 내지 30 eV 의 사이에서 충분하며, 이들에 의해 마스크 재료 예를 들면, SiO2또는 포토레지스트의 박리는 현저하게 적게 유지할 수 있다.
마스크 재료가 포토레지스트인 경우, 에칭 구조체의 제조에서 현저한 비용감소가 발생된다. 포토레지스트 마스크를 사용할 경우 다른 필요한 경질 물질 마스크를 사용할 때보다도 매우 저렴하며, 높은 공정의 유연성을 갖는다. 다양한 구조는 일반적으로 이러한 유연한 마스킹 조건하에서 제조될 수 있다.
측벽 폴리머 피막에 의한 측벽 보호 및 높은 마스크 선택성에 있어서 중요한 것은 플라즈마와 대향하는 기판 표면의 과잉 가열을 피하기 위한 에칭할 기판과 기판 전극 사이의 양호한 열적 결합이다. 기판 온도가 100°C 이상의 값으로 상승하는 경우, 포토레지스트 마스크의 박리가 점차 증가하고, 측벽 폴리머의 화학적 안정성이 천천히 감소한다. 기판과 기판 전극 간의 열적 결합은 기판 배면과 전극 표면간의 헬륨 대류에 의해 또는 그 사이에 배치된 탄성체에 의해 달성될 수 있다. 기판과 전극 사이의 간격은 약 0.1mm 의 범위 내에 있다.
본 발명에 의한 방법은 일반적으로 한 개 이상의 전극, 가스 도입부, 에너지를 입사하기 위한 수단을 갖는 플라즈마 장치에서 행하는 것이 유리하다. 이러한 에너지는 고주파 교류 전압의 형(形)으로 삼극관 장치 안에서, 유도 결합 플라즈마(ICP) 또는 특히 적합하게 ECR 장치 또는 PIE 장치에 마이크로파의 입사에 의해 플라즈마로 유도할 수 있다(ECR = 전자 싸이클론 공명, PIE = 전파 이온 에칭(propagation Ion Etching). 이러한 경우 높은 종횡비 및 매우 깊은 홈을 가지는 에칭 구조가 제공된 전자 부품이 제조될 수 있다.
제 1 도는 본 발명에 의한 마이크로파 여기 장치를 구비한 플라즈마 장치를 도시한 도면으로서 다음에 기재되는 이점을 들어 상세히 설명된다.
전형적인 장치는 진공 배기 가능한 처리실(12)을 구비한 용기(10)를 가진다. 챔버 또는 처리실(12) 내에 평판상의 전극(14)이 배치되며, 이러한 전극(14)은 진공 통로(16)를 통하여 고주파 발생기(18)에 접속되어 있다. 표면(22)이 처리될 기판(20)은 전극(14) 상에 열 및 전기접촉으로 놓인다.
기판(20) 위쪽에 도파관(28)을 통하여 공진기(30)와 접속되어 있는 마이크로파 발생기(26)를 구비한 장치(24)가 있다. 정합 슬라이더(32)를 구비한 공진기(30) 때문에 마이크로파는 기판을 향한 랩퍼(wrapper)형의 방사기, 소위 방사기(34)안으로 연결되며, 그로부터 기판 표면(22) 위로 공급된다. 도시되지 않은 점화 장치를사용하여 플라즈마는 기판 표면(22)의 위쪽에서 또는 방사기(34) 내에서 점화할 수 있다.
방사기(34)는 가스 도입부(36)를 구비하며, 이러한 도입부(36)는 혼합 밸브(38)에 접속되며 이러한 혼합 밸브(38)에는 여러 가지 가스(40)를 함유한 가스 봄베(39)를 접속시키며, 이러한 밸브에 의해 가스(40)는 다른 용량류(容量流)와 함께 혼합되어 처리실(12)로 공급된다.
높은 기판 온도를 피하기 위해 기판(20)과 전극(14) 사이에 기판(20)으로부터 전극(14)으로의 열 반출을 개선하는 탄성체(42)를 배치할 수 있다. 또 하나는 전극(14)이 홀더(도시 않음)를 가지며 이러한 홀더는 기판(20)을 예를 들어 0.1mm의 한정된 간격으로 전극의 위쪽으로 시일 장치에 대하여 유지하고 있다. 이와 같은 간극에 의해, 예를 들면 전극(14) 내의 천공을 통한 냉각을 위해 헬륨 대류가 안내된다. 이러한 시일 장치는 헬륨류에 대하여 진공을 유지하고 있다.
본 발명에 의한 방법은 원칙적으로 강력한 고주파 또는 마이크로파 여기를 이용하여 고 밀도 플라즈마(약 1012이온/cm3)를 발생시킬 수 있는 모든 플라즈마 장치에 대해서도 적합하다. 고주파 또는 마이크로파 여기에 의해 발생된 낮은 에너지의 이온은 부가적으로 기판 전극 내로 접속된 고주파 출력에 의해 플라즈마로부터 소정의 에너지로 기판 방향으로 가속된다. 이와 같이 이온 에너지는 플라즈마 밀도에 의존하지 않고 조절할 수 있다. 이러한 경우, 삼극관 장치를 포함하는 장치에 의한 플라즈마, 마그네트론 여기에 의한 플라즈마, 또는 ECR 또는 ICP 여기에 의한플라즈마를 사용하는 다른 플라즈마 발생 장치도 고려 할 수 있다.
상술한 장치가 사용 가능한 적당한 공정 패러미터는 1 내지 100μbar 의 공정 압력에서 10 내지 200 sccm(표준 cc/분) 사이의 SF6유량 및 50 내지 300sccm 사이의 CHF3유량이다. 공정의 안정성을 개선하기 위해 또한 아르곤 유량을 10 내지 100sccm 사이에서 첨가할 수 있다. 에칭 영역의 조면성(粗面性) 및 측벽의 조면성은 N2를 근소하게, 유리하게는 10 내지 100sccm 및/또는 O2를 근소하게, 유리하게는 1 내지 10sccm 으로 혼입함으로써 유리하게 영향을 받으며, 이 경우, 가스는 유리하게는 최초부터 혼합된다. 마이크로파 여기의 경우 연결된 마이크로파 출력은 300 내지 1200 와트의 사이이다. 기판 전극에서 1 내지 20 와트 사이의 고주파 출력을 사용하여 공정 압력에 따른 1 내지 50 볼트의 이온 가속 전압을 조절할 수 있다. 이온 에너지는 1 내지 40 eV 유리하게는 10 내지 30 eV 정도가 바람직하다. 매우 과도한 이온 에너지가 선택될 경우, 소위 마스크 선택성이 악화되고 기판 표면(22) 상의 마스크가 동일하게 제거되어 현저하게 에칭된다.
규소에 있어서 전형적으로 달성할 수 있는 에칭 속도는 1 내지 5μm/분이며, 포토레지스트 마스크에 대한 선택성은 예를 들면 30:1 내지 100:1 의 사이이다. 본 발명에 의한 방법에 의해 실제로 수직 방향으로 에칭 단면이 달성되며 그 때 에칭 홈은 깊이 방향을 향해 경사 방향 위쪽으로 약간 좁아진다. 이 종류의 단면은 재피복 때문에 이상적이다.
또한, 모서리(edge)의 조면성 및 에칭 영역의 조면성도 현저하게 감소하기때문에 이 방법을 사용하여 제조된 구조는 형뜨기의 목적, 예를 들면 사출 성형시의 원형으로서 또는 전기적 형뜨기 기술에도 사용할 수 있다.

Claims (10)

  1. 기판을 향하여 가속시킨 이온을 사용하여 기판 표면을 제거하는 기판의 이방성 플라즈마 에칭 방법에 있어서,
    처리실(12)내에 할로겐 또는 할로겐 화합물을 함유하는 에칭 가스(40)와, 폴리머를 형성하는 모노머를 함유하는 패시브 가스(40)를 도입하고, 에너지 입사 장치(24)에 의해 여기시켜, 기판(20) 또는 기판(20)을 수용하고 있는 전극(14)에 이온이 기판(20)상에 충돌할 때에 1 내지 40eV 의 에너지를 내도록 전압을 인가하는 것을 특징으로 하는 기판의 이방성 플라즈마 에칭 방법.
  2. 제 1 항에 있어서,
    상기 에칭 가스(40)가 SF6, CF4또는 NF3를 함유하는 것을 특징으로 하는 기판의 이방성 플라즈마 에칭 방법.
  3. 제 2 항에 있어서,
    상기 에칭 가스(40)가 10 내지 200sccm 사이의 유동 속도로 도입되는 것을 특징으로 하는 기판의 이방성 플라즈마 에칭 방법.
  4. 제 1 항에 있어서,
    상기 패시브 가스(40)가 CHF3, C2F4또는 C2F6를 함유하는 것을 특징으로 하는 기판의 이방성 플라즈마 에칭 방법.
  5. 제 4 항 에 있어서,
    상기 패시브 가스(40)가 50 내지 300sccm 사이의 유동 속도로 도입되는 것을 특징으로 하는 기판의 이방성 플라즈마 에칭 방법.
  6. 제 1 항에 있어서,
    상기 처리실(12)내로 마이크로파 에너지를 입사하는 것을 특징으로 하는 기판의 이방성 플라즈마 에칭 방법.
  7. 제 1 항에 있어서,
    상기 처리실(12)내로 아르곤 가스(40)를 10 내지 100sccm 사이의 유동 속도로 도입하는 것을 특징으로 하는 기판의 이방성 플라즈마 에칭 방법.
  8. 제 1 항에 있어서,
    상기 처리실(12)내로 10 내지 100sccm 의 유동 속도로 N2가스(40)를, 또는 1 내지 10sccm 의 유동 속도로 O2가스(40)를 도입하는 것을 특징으로 하는 기판의 이방성 플라즈마 에칭 방법.
  9. 제 1 항에 있어서,
    상기 기판(20)을 100°C 이하의 온도로 냉각하는 것을 특징으로 하는 기판의 이방성 플라즈마 에칭 방법.
  10. 제 1 항 내지 제 9 항 중 어느 한 항의 기판의 이방성 플라즈마 에칭 방법에 의해 제조되는 전자 부품.
KR1019940011359A 1993-05-27 1994-05-25 기판의이방성플라즈마에칭방법및그방법에의해제조되는전자부품 KR100361399B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DEP4317623.2 1993-05-27
DE4317623A DE4317623C2 (de) 1993-05-27 1993-05-27 Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten und dessen Verwendung

Publications (1)

Publication Number Publication Date
KR100361399B1 true KR100361399B1 (ko) 2003-08-02

Family

ID=6489026

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940011359A KR100361399B1 (ko) 1993-05-27 1994-05-25 기판의이방성플라즈마에칭방법및그방법에의해제조되는전자부품

Country Status (5)

Country Link
US (1) US5498312A (ko)
JP (1) JPH06349784A (ko)
KR (1) KR100361399B1 (ko)
DE (1) DE4317623C2 (ko)
FR (1) FR2705694B1 (ko)

Families Citing this family (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4341271B4 (de) * 1993-12-03 2005-11-03 Robert Bosch Gmbh Beschleunigungssensor aus kristallinem Material und Verfahren zur Herstellung dieses Beschleunigungssensors
AU2683995A (en) * 1994-09-02 1996-03-27 Stichting Voor De Technische Wetenschappen Process for producing micromechanical structures by means of reactive ion etching
JP3360461B2 (ja) * 1995-01-31 2002-12-24 ソニー株式会社 メタル成膜工程の前処理方法
US5597444A (en) * 1996-01-29 1997-01-28 Micron Technology, Inc. Method for etching semiconductor wafers
US6027662A (en) * 1996-03-29 2000-02-22 Lam Research Corporation Materials processing by separately generated process medium constituents
US5865938A (en) * 1996-06-25 1999-02-02 Xerox Corporation Wafer chuck for inducing an electrical bias across wafer heterojunctions
US5637189A (en) * 1996-06-25 1997-06-10 Xerox Corporation Dry etch process control using electrically biased stop junctions
US5932940A (en) * 1996-07-16 1999-08-03 Massachusetts Institute Of Technology Microturbomachinery
DE19641288A1 (de) * 1996-10-07 1998-04-09 Bosch Gmbh Robert Verfahren zum anisotropen Plasmaätzen verschiedener Substrate
DE19706682C2 (de) * 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
US6127278A (en) * 1997-06-02 2000-10-03 Applied Materials, Inc. Etch process for forming high aspect ratio trenched in silicon
US6187685B1 (en) * 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
DE19734278C1 (de) * 1997-08-07 1999-02-25 Bosch Gmbh Robert Vorrichtung zum anisotropen Ätzen von Substraten
DE19736370C2 (de) * 1997-08-21 2001-12-06 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silizium
DE19826382C2 (de) * 1998-06-12 2002-02-07 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
US6312616B1 (en) * 1998-12-03 2001-11-06 Applied Materials, Inc. Plasma etching of polysilicon using fluorinated gas mixtures
US6242817B1 (en) 1998-12-28 2001-06-05 Eastman Kodak Company Fabricated wafer for integration in a wafer structure
US6085967A (en) * 1998-12-28 2000-07-11 Eastman Kodak Company Method of registrably aligning fabricated wafers preceding bonding
DE19919469A1 (de) 1999-04-29 2000-11-02 Bosch Gmbh Robert Verfahren zum Plasmaätzen von Silizium
DE19919832A1 (de) * 1999-04-30 2000-11-09 Bosch Gmbh Robert Verfahren zum anisotropen Plasmaätzen von Halbleitern
JP2000353676A (ja) * 1999-06-14 2000-12-19 Disco Abrasive Syst Ltd 研削システム
US6569343B1 (en) 1999-07-02 2003-05-27 Canon Kabushiki Kaisha Method for producing liquid discharge head, liquid discharge head, head cartridge, liquid discharging recording apparatus, method for producing silicon plate and silicon plate
US6617098B1 (en) 1999-07-13 2003-09-09 Input/Output, Inc. Merged-mask micro-machining process
US6291357B1 (en) 1999-10-06 2001-09-18 Applied Materials, Inc. Method and apparatus for etching a substrate with reduced microloading
WO2001027661A2 (en) * 1999-10-15 2001-04-19 California Institute Of Technology Formation of smooth vertical surface on an optical component
US20050022839A1 (en) * 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
WO2001029879A2 (en) * 1999-10-20 2001-04-26 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6805139B1 (en) 1999-10-20 2004-10-19 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
DE19957169A1 (de) * 1999-11-27 2001-06-13 Bosch Gmbh Robert Plasmaätzverfahren mit gepulster Substratelektrodenleistung
KR20010112277A (ko) * 1999-12-23 2001-12-20 조셉 제이. 스위니 높은 개구 영역의 실리콘 구조체들의 이방성 에칭을 위한불소 기재 플라즈마 에칭 방법
US6593244B1 (en) * 2000-09-11 2003-07-15 Applied Materials Inc. Process for etching conductors at high etch rates
US6402301B1 (en) 2000-10-27 2002-06-11 Lexmark International, Inc Ink jet printheads and methods therefor
US6767614B1 (en) * 2000-12-19 2004-07-27 Wolfgang M. J. Hofmann Multiple-level actuators and clamping devices
US20020158047A1 (en) * 2001-04-27 2002-10-31 Yiqiong Wang Formation of an optical component having smooth sidewalls
US20020158046A1 (en) * 2001-04-27 2002-10-31 Chi Wu Formation of an optical component
US6805432B1 (en) * 2001-07-31 2004-10-19 Hewlett-Packard Development Company, L.P. Fluid ejecting device with fluid feed slot
US6555480B2 (en) 2001-07-31 2003-04-29 Hewlett-Packard Development Company, L.P. Substrate with fluidic channel and method of manufacturing
US6704132B2 (en) 2001-12-20 2004-03-09 Texas Instruments Incorporated Micromirror truss structure and fabrication method
DE10209763A1 (de) * 2002-03-05 2003-10-02 Bosch Gmbh Robert Vorrichtung und Verfahren zum anisotropen Plasmaätzen eines Substrates, insbesondere eines Siliziumkörpers
US6981759B2 (en) * 2002-04-30 2006-01-03 Hewlett-Packard Development Company, Lp. Substrate and method forming substrate for fluid ejection device
US6554403B1 (en) 2002-04-30 2003-04-29 Hewlett-Packard Development Company, L.P. Substrate for fluid ejection device
US6849554B2 (en) 2002-05-01 2005-02-01 Applied Materials, Inc. Method of etching a deep trench having a tapered profile in silicon
US6759340B2 (en) * 2002-05-09 2004-07-06 Padmapani C. Nallan Method of etching a trench in a silicon-on-insulator (SOI) structure
DE10229037A1 (de) * 2002-06-28 2004-01-29 Robert Bosch Gmbh Vorrichtung und Verfahren zur Erzeugung von Chlortrifluorid und Anlage zur Ätzung von Halbleitersubstraten mit dieser Vorrichtung
JP4288914B2 (ja) * 2002-08-21 2009-07-01 パナソニック株式会社 共振デバイスの製造方法
US6921490B1 (en) 2002-09-06 2005-07-26 Kotura, Inc. Optical component having waveguides extending from a common region
JP3672900B2 (ja) * 2002-09-11 2005-07-20 松下電器産業株式会社 パターン形成方法
DE10246063A1 (de) * 2002-10-02 2004-04-22 Robert Bosch Gmbh Verfahren zum anisotropen Ätzen eines Siliziumsubstrates
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US6833325B2 (en) 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US7977390B2 (en) 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
DE10247913A1 (de) * 2002-10-14 2004-04-22 Robert Bosch Gmbh Plasmaanlage und Verfahren zum anisotropen Einätzen von Strukturen in ein Substrat
AU2003301357A1 (en) * 2002-10-17 2004-05-04 Tel-Aviv University Future Technology Development L.P. Thin-film cathode for 3-dimensional microbattery and method for preparing such cathode
GB2396053B (en) * 2002-10-23 2006-03-29 Bosch Gmbh Robert Device and process for anisotropic plasma etching of a substrate,in particular a silicon body
JP4098225B2 (ja) * 2003-12-01 2008-06-11 松下電器産業株式会社 プラズマエッチング方法
WO2005055303A1 (ja) * 2003-12-01 2005-06-16 Matsushita Electric Industrial Co., Ltd. プラズマエッチング方法
US6916746B1 (en) 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US20040224524A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Maintaining the dimensions of features being etched on a lithographic mask
US6910758B2 (en) * 2003-07-15 2005-06-28 Hewlett-Packard Development Company, L.P. Substrate and method of forming substrate for fluid ejection device
JP3816484B2 (ja) 2003-12-15 2006-08-30 日本航空電子工業株式会社 ドライエッチング方法
US20050211668A1 (en) * 2004-03-26 2005-09-29 Lam Research Corporation Methods of processing a substrate with minimal scalloping
US7235489B2 (en) * 2004-05-21 2007-06-26 Agere Systems Inc. Device and method to eliminate shorting induced by via to metal misalignment
US20070186953A1 (en) * 2004-07-12 2007-08-16 Savas Stephen E Systems and Methods for Photoresist Strip and Residue Treatment in Integrated Circuit Manufacturing
US7151883B2 (en) * 2004-10-08 2006-12-19 Hewlett-Packard Development Company, L.P. Photonic crystal device and methods
US7459100B2 (en) * 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7241683B2 (en) * 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US7910489B2 (en) * 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
US8124033B2 (en) * 2006-02-17 2012-02-28 Agency, Science, Technology and Research Apparatus for regulating the temperature of a biological and/or chemical sample and method of using the same
US7618748B2 (en) * 2006-03-13 2009-11-17 Tel Aviv University Future Technology Development L.P. Three-dimensional microbattery
GB0616125D0 (en) * 2006-08-14 2006-09-20 Radiation Watch Ltd Etch process
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
JP5448581B2 (ja) * 2008-06-19 2014-03-19 キヤノン株式会社 液体吐出ヘッド用基板の製造方法及び基板の加工方法
JP5102720B2 (ja) * 2008-08-25 2012-12-19 東京エレクトロン株式会社 基板処理方法
US20110045351A1 (en) * 2009-08-23 2011-02-24 Ramot At Tel-Aviv University Ltd. High-Power Nanoscale Cathodes for Thin-Film Microbatteries
TWI416624B (zh) * 2009-12-11 2013-11-21 Advanced Micro Fab Equip Inc An etching method for deep - through - hole
US8384183B2 (en) * 2010-02-19 2013-02-26 Allegro Microsystems, Inc. Integrated hall effect element having a germanium hall plate
EP2362411A1 (en) 2010-02-26 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for reactive ion etching
WO2011154862A1 (en) 2010-06-06 2011-12-15 Ramot At Tel-Aviv University Ltd Three-dimensional microbattery having a porous silicon anode
JP5537324B2 (ja) * 2010-08-05 2014-07-02 株式会社東芝 半導体装置の製造方法
TW201216354A (en) * 2010-10-05 2012-04-16 Univ Nat Taiwan Science Tech Method for etching high-aspect-ratio features
WO2013138550A1 (en) * 2012-03-15 2013-09-19 West Virginia University Plasma-chlorinated electrode and organic electronic devices using the same
JP6096470B2 (ja) * 2012-10-29 2017-03-15 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
WO2016040547A1 (en) 2014-09-11 2016-03-17 Massachusetts Institute Of Technology Processing system for small substrates
WO2017055984A1 (en) 2015-09-30 2017-04-06 Ramot At Tel Aviv University Ltd. 3d micro-battery on 3d-printed substrate
US20180143332A1 (en) 2016-11-18 2018-05-24 Plasma-Therm Llc Ion Filter
RU2691758C1 (ru) * 2018-08-17 2019-06-18 Федеральное государственное бюджетное учреждение науки Физико-технологический институт имени К.А. Валиева Российской академии наук (ФТИАН им К.А. Валиева РАН) Способ анизотропного плазменного травления кремниевых микроструктур в циклическом двухшаговом процессе окисление-травление
US11358858B2 (en) 2020-01-24 2022-06-14 Panasonic Intellectual Property Management Co., Ltd. Semiconductor device and method of manufacturing thereof
US11262506B1 (en) * 2020-08-07 2022-03-01 Advanced Semiconductor Engineering, Inc. Recessed portion in a substrate and method of forming the same

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4330384A (en) * 1978-10-27 1982-05-18 Hitachi, Ltd. Process for plasma etching
US4784720A (en) * 1985-05-03 1988-11-15 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
CA1260365A (en) * 1985-05-06 1989-09-26 Lee Chen Anisotropic silicon etching in fluorinated plasma
JP2603217B2 (ja) * 1985-07-12 1997-04-23 株式会社日立製作所 表面処理方法及び表面処理装置
US4666555A (en) * 1985-08-23 1987-05-19 Intel Corporation Plasma etching of silicon using fluorinated gas mixtures
EP0246514A3 (en) * 1986-05-16 1989-09-20 Air Products And Chemicals, Inc. Deep trench etching of single crystal silicon
KR960016218B1 (ko) * 1987-06-05 1996-12-07 가부시기가이샤 히다찌세이사꾸쇼 표면처리방법 및 그 장치
JPS6432627A (en) * 1987-07-29 1989-02-02 Hitachi Ltd Low-temperature dry etching method
JPH0622218B2 (ja) * 1988-08-06 1994-03-23 富士通株式会社 エッチング方法
JP2993675B2 (ja) * 1989-02-08 1999-12-20 株式会社日立製作所 プラズマ処理方法及びその装置
JPH0362517A (ja) * 1989-03-27 1991-03-18 Anelva Corp マイクロ波プラズマ処理装置
US5061838A (en) * 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
EP0414372A3 (en) * 1989-07-21 1991-04-24 Sony Corporation Dry etching methods
WO1991009150A1 (en) * 1989-12-15 1991-06-27 Canon Kabushiki Kaisha Method of and device for plasma treatment
US5296095A (en) * 1990-10-30 1994-03-22 Matsushita Electric Industrial Co., Ltd. Method of dry etching
JP3018532B2 (ja) * 1991-02-26 2000-03-13 ソニー株式会社 ドライエッチング方法
JP3371143B2 (ja) * 1991-06-03 2003-01-27 ソニー株式会社 ドライエッチング方法

Also Published As

Publication number Publication date
FR2705694B1 (fr) 1996-12-06
DE4317623A1 (de) 1994-12-01
JPH06349784A (ja) 1994-12-22
DE4317623C2 (de) 2003-08-21
US5498312A (en) 1996-03-12
FR2705694A1 (fr) 1994-12-02

Similar Documents

Publication Publication Date Title
KR100361399B1 (ko) 기판의이방성플라즈마에칭방법및그방법에의해제조되는전자부품
JP4847635B2 (ja) 種々の基板を異方性プラズマ加工する方法
US5330606A (en) Plasma source for etching
EP1053563B1 (en) Methods for reducing mask erosion during plasma etching
EP1620876B1 (en) Rf pulsing of a narrow gap capacitively coupled reactor
KR100590370B1 (ko) 플라즈마 에칭 방법
EP1269514B1 (en) An enhanced resist strip in a dielectric etcher using downstream plasma and plasma apparatus used therefor
JP2007129260A (ja) ケイ素の異方性エッチング法
KR20050042018A (ko) 높은 어스펙트비의 반도체장치 에칭방법
JP4065213B2 (ja) シリコン基板のエッチング方法及びエッチング装置
US5783100A (en) Method of high density plasma etching for semiconductor manufacture
KR900003804B1 (ko) 단결정 실리콘의 디프 트렌치 에칭
US6838387B1 (en) Fast etching system and process
JP5041696B2 (ja) ドライエッチング方法
JP2016207753A (ja) プラズマエッチング方法
JP5033361B2 (ja) ドライエッチング方法
JPS58100683A (ja) プラズマエツチング方法
JP4243615B2 (ja) 反応性イオンエッチング装置
KR100263611B1 (ko) 트렌치 형성 방법
JP2007134660A (ja) ドライエッチング方法
JP3605490B2 (ja) 反応性イオンエッチング装置
JP3605491B2 (ja) 反応性イオンエッチング装置
WO2001048789A1 (en) Plasma processing methods
JPH10317173A (ja) 反応性イオンエッチング装置
JPH04158517A (ja) プラズマエッチング方法及びプラズマエッチング装置

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
E902 Notification of reason for refusal
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121024

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20131025

Year of fee payment: 12

EXPY Expiration of term