DE102016116094B4 - Integrierter Chip und seine Herstellungsmethode - Google Patents

Integrierter Chip und seine Herstellungsmethode Download PDF

Info

Publication number
DE102016116094B4
DE102016116094B4 DE102016116094.6A DE102016116094A DE102016116094B4 DE 102016116094 B4 DE102016116094 B4 DE 102016116094B4 DE 102016116094 A DE102016116094 A DE 102016116094A DE 102016116094 B4 DE102016116094 B4 DE 102016116094B4
Authority
DE
Germany
Prior art keywords
layer
btsv
bond pad
substrate
conductive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102016116094.6A
Other languages
English (en)
Other versions
DE102016116094A1 (de
Inventor
Min-Feng KAO
Dun-Nian Yaung
Jen-Cheng Liu
Hsun-Ying Huang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102016116094A1 publication Critical patent/DE102016116094A1/de
Application granted granted Critical
Publication of DE102016116094B4 publication Critical patent/DE102016116094B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/6834Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to protect an active side of a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • H01L2224/02313Subtractive methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02372Disposition of the redistribution layers connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0239Material of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/03001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate
    • H01L2224/03002Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate for supporting the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05008Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body, e.g.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05022Disposition the internal layer being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05073Single internal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • H01L2224/05082Two-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05569Disposition the external layer being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • H01L2224/05572Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/11001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate
    • H01L2224/11002Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate for supporting the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13022Disposition the bump connector being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13024Disposition the bump connector being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13116Lead [Pb] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13139Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/13183Rhenium [Re] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16245Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/81005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/81815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3192Multilayer coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Integrierter Chip, umfassend:mehrere Metallzwischenverbindungsschichten (106), die innerhalb einer dielektrischen Zwischenschicht- (= ILD-) Struktur (108)angeordnet sind, die entlang einer Vorderseite eines Substrats (102) angeordnet ist;eine dielektrische Schicht (114), die entlang einer Rückseite des Substrats (102) angeordnet ist;ein leitfähiges Bond-Pad (112), das über der dielektrischen Schicht (114) angeordnet ist;eine rückseitige Substrat-Durchkontaktierung (=BTSV) (110), die sich von einer der mehreren Metallzwischenverbindungsschichten (106) durch das Substrat (102) und die dielektrische Schicht (114) zum leitfähigen Bond-Pad (112) erstreckt;einen leitfähigen Bump (120), der über dem leitfähigen Bond-Pad (112) angeordnet ist, wobei das leitfähige Bond-Pad (112) eine im Wesentlichen ebene untere Fläche, die sich von über der BTSV (110) zu unterhalb des leitfähigen Bumps (120) erstreckt, aufweist;eine Passivierungsschicht (210, 212), die über der dielektrischen Schicht (114) und dem leitfähigen Bond-Pad (112) angeordnet ist; undeine Under-Bump-Metallurgie- (= UBM-) Schicht (118), die sich von über der Passivierungsschicht (210, 212) zu innerhalb einer Öffnung (1302) innerhalb der Passivierungsschicht (210, 212) erstreckt, wobei die UBM-Schicht (118) zwischen dem leitfähigen Bond-Pad (112) und dem leitfähigen Bump (120) positioniert ist,wobei das leitfähige Bond-Pad (112) ein erstes Segment, das sich in einer ersten Richtung erstreckt, und ein zweites Segment, das sich in einer zweiten Richtung, rechtwinklig zur ersten Richtung, erstreckt, aufweist,wobei die BTSV (110) das erste Segment des leitfähigen Bond-Pads (112) kontaktiert und die UBM-Schicht (118) das zweite Segment des leitfähigen Bond-Pads (112) kontaktiert.

Description

  • HINTERGRUND
  • Moderne integrierte Chips umfassen Millionen oder Milliarden Halbleiterbauelemente, die innerhalb eines Halbleitersubstrats (z.B. eines Siliziumwafers) angeordnet sind. Die Halbleiterbauelemente sind mit einem aufliegenden Back-End-of-the-Line (BEOL) Metallisierungsstack verbunden, der mehrere Metallzwischenverbindungsschichten (z.B. Drähte und Durchkontaktierungen) umfasst. Die mehreren Metallzwischenverbindungsschichten verbinden die Halbleiterbauelemente elektrisch miteinander und mit externen Komponenten. Oft enden die Metallzwischenverbindungsschichten bei einem Bond-Pad, der über dem BEOL Metallisierungsstack gelegen ist. Das Bond-Pad kann eine dicke Metallschicht umfassen, die eine leitfähige Verbindung vom integrierten Chip zu den externen Komponenten (z.B. ein integrierter Chip-Package) umfasst.
  • Stand der Technik zum Gegenstand der Erfindung ist beispielsweise zu finden in DE 10 2011 054 908 A1 , DE 11 2013 007038 T5 und DE 10 2014 111 783 A1 .
  • Die Erfindung sieht einen integrierten Chip gemäß Anspruch 1, einen integrierten Chip gemäß Anspruch 9 und ein Verfahren gemäß Anspruch 16 vor. Ausgestaltungen sind in den abhängigen Ansprüchen angegeben.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung werden aus der folgenden ausführlichen Beschreibung am besten verständlich, wenn diese mit den beiliegenden Figuren gelesen wird. Es wird betont, dass gemäß der Standardpraxis in der Industrie verschiedene Merkmale nicht im Maßstab gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale der deutlichen Besprechung wegen beliebig vergrößert oder verkleinert sein.
    • 1 veranschaulicht einige Ausführungsformen eines integrierten Chips mit einem Rückseiten-Bond-Pad.
    • 2 veranschaulicht einige zusätzliche Ausführungsformen eines integrierten Chips mit einem Rückseiten-Bond-Pad.
    • 3 veranschaulicht einige Ausführungsformen einer Draufsicht eines leitfähigen Bond-Pads, der mit einer rückseitigen Substrat-Durchkontaktierung (Back-Side Through Substrate Via, BTSV) verbunden ist.
    • 4 veranschaulicht einige Ausführungsformen eines dreidimensionalen integrierten Chips (3DIC) mit einem Rückseiten-Bond-Pad.
    • 5-15 veranschaulichen einige Ausführungsformen von Schnittansichten, die ein Verfahren zur Bildung eines integrierten Chips mit einem Rückseiten-Bond-Pad zeigen.
    • 16 veranschaulicht ein Ablaufdiagramm einiger Ausführungsformen eines Verfahrens zur Bildung eines integrierten Chips mit einem Rückseiten-Bond-Pad
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung sieht viele verschiedene Ausführungsformen oder Beispiele zur Implementierung verschiedener Merkmale des Gegenstands vor. Spezielle Beispiele von Komponenten und Anordnungen sind in der Folge zur Vereinfachung der vorliegenden Offenbarung beschrieben. Diese sind natürlich lediglich Beispiele und nicht als Einschränkung gedacht. Zum Beispiel kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen enthalten, in welchen das erste und zweite Merkmal in direktem Kontakt gebildet sind, und kann auch Ausführungsformen enthalten, in welchen zusätzliche Merkmale zwischen den ersten und zweiten Merkmalen gebildet sein können, so dass die ersten und zweiten Merkmale nicht in direktem Kontakt sein mögen. Zusätzlich kann die vorliegende Offenbarung Bezugsnummern und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und legt selbst kein Verhältnis zwischen den verschiedenen besprochenen Ausführungsformen und/oder Konfigurationen fest.
  • Ferner können raumbezogene Begriffe, wie „unterhalb“, „unter“, „niedriger“, „oberhalb“, „oberer“ und dergleichen hier zur einfachen Beschreibung verwendet werden, um ein Verhältnis eines Elements oder Merkmals zu einem oder mehreren anderen Element(en) oder Merkmal(en) zu beschreiben, die in den Figuren dargestellt sind. Die raumbezogenen Begriffe sollen unterschiedliche Orientierungen der Vorrichtung in Verwendung oder Betrieb zusätzlich zu der in den Figuren dargestellten Orientierung beinhalten. Die Vorrichtung kann anders orientiert (90 Grad oder in anderen Orientierungen gedreht) sein und die raumbezogenen Deskriptoren, die hier verwendet werden, können ebenso dementsprechend interpretiert werden.
  • Halbleiterbauelemente innerhalb eines integrierten Chips (IC) sind mittels eines Back-End-of-the-Line (BEOL) Metallisierungsstacks mit einem externen Schaltkreis (z.B. einem Package-Substrat) verbunden, umfassend mehrere Metallzwischenverbindungsschichten (z.B. Drähte und Durchkontaktierungen). Die Metallzwischenverbindungsschichten nehmen typischerweise mit zunehmendem Abstand von einem Halbleitersubstrat an Größe zu. Zum Beispiel koppeln lokale Zwischenverbindungsdrähte mit einer relativ kleinen Größe Halbleiterbauelemente innerhalb eines Halbleitersubstrats an größere Zwischenverbindungsdrähte. Die größeren Zwischenverbindungsdrähte sind des Weiteren an leitfähige Bond-Pads gekoppelt, die entlang einer oberen Fläche des BEOL Metallisierungsstacks angeordnet sind, die den IC mit dem externen Schaltkreis verbinden.
  • Leitfähige Bond-Pads sind zunehmend mittels Flip-Chip-Packages mit dem externen Schaltkreis verbunden, die Löt-Bumps verwenden um einen direkten elektrischen Kontakt zwischen den leitfähigen Bond-Pads und einem Package-Substrat (z.B. einer Leiterplatte) einrichten. Ein IC mit einem Flip-Chip-Package enthält eine Passivierungsschicht, die mehrere Öffnungen umfasst, die sich zu einer darunterliegenden letzten (d.h., obersten) Metallzwischenverbindungsschicht erstrecken. Eine Neuverteilungsschicht (RDL) ist auf der Passivierungsschicht angeordnet. Die RDL kann eine vertikale Komponente (d.h., eine Umverteilungsdurchkontaktierung (RV)) umfassen, die sich von der letzten Metallzwischenverbindungsschicht durch eine Öffnung in der Passivierungsschicht zu einer seitlichen Komponente erstreckt. Die seitliche Komponente verteilt elektrische Signale von der letzten Metallzwischenverbindungsschicht zu einem leitfähigen Bond-Pad um, das auf einem Polyimid-Pad aufliegt, das auf der Passivierungsschicht angeordnet ist, wodurch eine Kompatibilität mit verschiedenen Package-Optionen ermöglicht wird. Eine Under-Bump-Metallurgie- (UBM) -Schicht ist auf dem leitfähigen Bond-Pad angeordnet und dient als lötbare Grenzfläche zwischen dem leitfähigen Bond-Pad und einem Löt-Bump. Während die RDL eine Vielfalt verschiedener Bond-Pad-Konfigurationen ermöglicht, hat die RV eine große Größe (z.B. größer als 3 µm), die in einem großen leitfähigen Bond-Pad mit begrenzter Routingfähigkeit resultiert.
  • Die vorliegende Offenbarung bezieht sich auf einen integrierten Chip (IC) mit einer rückseitigen Substrat-Durchkontaktierung (BTSV) mit einem direkten physischen Kontakt zwischen einer Metallzwischenverbindungsschicht und einem leitfähigen Rückseiten-Bond-Pad, und ein Verfahren zur Bildung. In einigen Ausführungsformen hat der integrierte Chip mehrere Metallzwischenverbindungsschichten, die innerhalb einer dielektrischen Zwischenschicht-(Inter-Level Dielectric, ILD) Struktur angeordnet sind, die auf einer Vorderseite eines Substrats angeordnet ist. Eine dielektrische Schicht ist entlang einer Rückseite des Substrats angeordnet und ein leitfähiges Bond-Pad ist über der dielektrischen Schicht angeordnet. Eine rückseitige Substrat-Durchkontaktierung (BTSV) erstreckt sich von einer der Metallzwischenverbindungsschichten durch das Substrat und die dielektrische Schicht zu dem leitfähigen Bond-Pad. Ein leitfähiger Bump ist auf dem leitfähigen Bond-Pad angeordnet, das eine im Wesentlichen ebene untere Fläche hat und sich von über der BTSV zu unter dem leitfähigen Bump erstreckt. Durch Verwendung eines leitfähigen Bond-Pads, das direkt mit der BTSV verbunden ist, kann die Größe des leitfähigen Bond-Pads verringert werden, wodurch eine Routingfähigkeit des leitfähigen Bond-Pads verbessert wird.
  • 1 veranschaulicht einige Ausführungsformen eines integrierten Chips 100 mit einem Rückseiten-Bond-Pad.
  • Der integrierte Chip 100 umfasst ein Halbleitersubstrat 102 mit einer Vorderseite 102f und einer Rückseite 102b. Mehrere Halbleiterbauelemente 104 (z.B. Transistorbauelemente) sind entlang der Vorderseite 102f des Halbleitersubstrats 102 angeordnet. Ein Back-End-of-the-Line (BEOL) Metallisierungsstack ist über der Vorderseite 102f des Halbleitersubstrats 102 angeordnet und umfasst mehrere Metallzwischenverbindungsschichten 106, die innerhalb einer dielektrischen Zwischenschicht-(ILD) Struktur 108 angeordnet sind. Die mehreren Metallzwischenverbindungsschichten 106 sind elektrisch an die mehreren Halbleiterbauelemente 104 gekoppelt und können an Größe (z.B. Breite und/oder Höhe) zunehmen, wenn ein Abstand von der Vorderseite 102f des Halbleitersubstrats 102 zunimmt (z.B. von einer dünnen „M1‟ Schicht zu einer dickeren „Mx‟ Schicht, wobei x > 1 ist).
  • Ein leitfähiges Bond-Pad 112 ist entlang der Rückseite 102b des Halbleitersubstrats 102 angeordnet. Das leitfähige Bond-Pad 112 hat eine Vorderseite 112f, die in Richtung des Halbleitersubstrats 102 zeigt, und eine Rückseite 112b, die vom Halbleitersubstrat 102 weg zeigt. Die Vorderseite 112f des leitfähigen Bond-Pads 112 ist mittels einer oder mehrerer dielektrischer Schichten 114 vom Halbleitersubstrat 102 getrennt und kann eine ebene Fläche umfassen, die sich entlang einer Grenzfläche mit der einen oder den mehreren dielektrischen Schichten 114 erstreckt.
  • Eine rückseitige Substrat-Durchkontaktierung (BTSV) 110 erstreckt sich von einer Metallzwischenverbindungsschicht 106 innerhalb des BEOL Metallisierungsstacks durch das Halbleitersubstrat 102 zur Vorderseite 112f des leitfähigen Bond-Pads 112. Die BTSV 110 ragt von der Rückseite 102b des Halbleitersubstrats 102 durch die eine oder mehreren dielektrischen Schichten 114 nach außen vor. Die BTSV 110 hat eine relativ kleine Größe (z.B. kleiner oder gleich annähernd 2,5 µm), was der BTSV 110 erlaubt, sich mit einer dünnen Metallzwischenverbindungsschicht zu verbinden, die unterhalb einer obersten Metallzwischenverbindungsschicht (d.h., einer Schicht am weitesten weg vom Halbleitersubstrat 102) des BEOL Metallisierungsstacks angeordnet ist.
  • Die Rückseite 112b des leitfähigen Bond-Pads 112 ist durch eine Passivierungsschicht 116 abgedeckt. Eine Under-Bump-Metallurgie- (UBM) -Schicht 118 erstreckt sich durch eine Öffnung in der Passivierungsschicht 116, um die Rückseite 112b des leitfähigen Bond-Pads 112 zu kontaktieren. In einigen Ausführungsformen sich kann die UBM Schicht 118 auch entlang einer oberen Fläche der Passivierungsschicht 116 erstrecken. Ein leitfähiger Bump 120 ist innerhalb der UBM Schicht 118 angeordnet, an einer Position, die durch die UBM Schicht 118 von der Passivierungsschicht 116 getrennt ist. Der leitfähige Bump 120 ist konfiguriert, eine elektrische Verbindung zwischen den Halbleiterbauelementen 104 und einem externen Bauelement (z.B. einem anderen integrierten Chip, usw.) bereitzustellen. In einigen Ausführungsformen ist die UBM Schicht 118 über dem leitfähigen Bond-Pad 112 an einer Position angeordnet, die seitlich von der BTSV 110 versetzt ist.
  • Durch Verbinden der BTSV 110 direkt zwischen einer Metallzwischenverbindungsschicht 106 und der Vorderseite 112f des leitfähigen Bond-Pads 112 kann eine Breite des leitfähigen Bond-Pads 112 verringert werden (z.B. da keine Umverteilungsschicht verwendet ist). Ein Verringern einer Breite des leitfähigen Bond-Pads 112 erlaubt eine erhöhte Flexibilität im Routing des leitfähigen Bond-Pads 112 und verbessert die Leistung (z.B. aufgrund eines kürzeren Weges zwischen den Halbleiterbauelementen 104 und dem leitfähigen Bond-Pad 112).
  • 2 veranschaulicht einige alternative Ausführungsformen eines integrierten Chips 200 mit einem Rückseiten-Bond-Pad.
  • Der integrierte Chip 200 umfasst ein Halbleitersubstrat 102 und einen aufliegenden BEOL Metallisierungsstack. In einigen Ausführungsformen hat das Halbleitersubstrat 102 eine Dicke t, die zwischen annähernd 1 µm und annähernd 19 µm ist. Mehrere Halbleiterbauelemente 104 können innerhalb einer Vorderseite 102f des Halbleitersubstrats 102 angeordnet sein.
  • Der BEOL Metallisierungsstack umfasst mehrere Metallzwischenverbindungsschichten, die innerhalb einer dielektrischen Zwischenschicht-(ILD) Struktur 108 angeordnet sind. In einigen Ausführungsformen können die mehreren Metallzwischenverbindungsschichten mehrere Metalldrähte 107a-107c umfassen, die zwischen mehreren Kontakten 109a und/oder Metalldurchkontaktierungen 109b-109c angeordnet sind. Die mehreren Metallzwischenverbindungsdrähte können an Größe zunehmen, von dünnen ersten Drähten 107a zu dickeren Metalldrähten, 107b und/oder 107c, die durch die dünnen ersten Metalldrähte 107a vom Halbleitersubstrat 102 getrennt sind. In einigen Ausführungsformen kann der erste Metalldraht 107a eine Dicke von weniger als annähernd 70 nm haben. In einigen anderen Ausführungsformen kann der erste Metalldraht 107a eine Dicke von weniger als annähernd 30 nm haben. In verschiedenen Ausführungsformen können die mehreren Metallzwischenverbindungsschichten ein leitfähiges Material wie etwa Kupfer, Aluminium, Wolfram oder eine Kombination davon umfassen. In verschiedenen Ausführungsformen kann die ILD Struktur 108 eines oder mehrere von einem Oxid, einem dielektrischen Material mit ultra-niedriger Dielektrizitätszahl oder einem dielektrischen Material mit niedriger Dielektrizitätszahl (z.B. SiCO) umfassen.
  • Eine dielektrische Schicht mit hoher Dielektrizitätszahl 202 ist an einer Rückseite 102b des Halbleitersubstrats 102 angeordnet. Die dielektrische Schicht mit hoher Dielektrizitätszahl 202 ist konfiguriert eine Rauheit der Rückseite 102b des Halbleitersubstrats 102 zu verringern, nachdem es während der Verarbeitung verdünnt wurde. Durch Verringern der Rauheit der Rückseite 102b des Halbleitersubstrats 102 ist eine Bauelementableitung verringert. Die dielektrische Schicht mit hoher Dielektrizitätszahl 202 ist auf einer Seite, die in Richtung des Halbleitersubstrats 102 zeigt, rauer (hat z.B. einen größeren Unterschied in der vertikalen Position), als auf einer Seite, die vom Halbleitersubstrat 102 weg zeigt. In verschiedenen Ausführungsformen kann die Schicht mit hoher Dielektrizitätszahl 202 eine oder mehrere Schichten umfassen. In einigen Ausführungsformen hat die dielektrische Schicht mit hoher Dielektrizitätszahl 202 eine Dicke t2, die in einem Bereich zwischen annähernd 1,0 nm und annähernd 100,0 nm liegt. In einigen Ausführungsformen kann die dielektrische Schicht mit hoher Dielektrizitätszahl 202 zum Beispiel Aluminiumoxid (Al2O3), Tantaloxid (Ta2O5), Titanoxid (TiO2), Zirkonoxid (ZrO2), Hafniumoxid (HfO), Hafnium-Silizium-Oxid (HfSiO), Hafnium-Aluminium-Oxid (HfAlO)und/oder Hafnium-Tantal-Oxid (HfTaO) umfassen.
  • Eine dielektrische Schicht 204 ist über der dielektrischen Schicht mit hoher Dielektrizitätszahl 202 angeordnet. In verschiedenen Ausführungsformen kann die dielektrische Schicht 204 ein Oxid (z.B. SiO2) umfassen. In einigen Ausführungsformen kann eine Pufferschicht 206 über der dielektrischen Schicht 204 angeordnet sein. Die Pufferschicht 206 kann ein Nitrid (z.B. SiN, Si3N4) umfassen. Ein leitfähiges Bond-Pad 112 ist über der dielektrischen Schicht 204 und/oder der Pufferschicht 206 angeordnet. Das leitfähige Bond-Pad 112 umfasst eine ebene Struktur, die entlang einer oberen Fläche der dielektrischen Schicht 204 und/oder der Pufferschicht 206 angeordnet ist. In einigen Ausführungsformen kann das leitfähige Bond-Pad 112 zum Beispiel Aluminium umfassen.
  • Eine rückseitige Substrat-Durchkontaktierung (BTSV) 110 erstreckt sich vom BEOL Metallisierungsstack, durch das Halbleitersubstrat 102, die dielektrische Schicht mit hoher Dielektrizitätszahl 202, die dielektrische Schicht 204 und die Pufferschicht 206 zu einer Vorderseite 112f des leitfähigen Bond-Pads 112. In einigen Ausführungsformen kann die BTSV 110 zwischen dem leitfähigen Bond-Pad 112 und dem dünnen ersten Metalldraht107a (z.B. einer ,M1' Schicht, die am nächsten zur Vorderseite 102f des Halbleitersubstrats 102 ist) verbunden sein. In einigen Ausführungsformen kann die BTSV 110 eine obere Fläche haben, die komplanar mit einer oberen Fläche der dielektrischen Schicht 204 oder der Pufferschicht 206 ist. In einigen Ausführungsformen kann die BTSV 110 sich verjüngende Seitenwände haben, die sich zwischen dem dünnen ersten Metalldraht 107a und dem leitfähigen Bond-Pad 112 erstrecken. In einigen Ausführungsformen können die sich verjüngenden Seitenwände eine glatte Fläche (z.B. mit einer konstanten Steigung) haben, die zwischen dem Halbleitersubstrat 102 und der dielektrischen Schicht mit hoher Dielektrizitätszahl 202 überleitet. Die sich verjüngenden Seitenwände bewirken eine Zunahme einer Breite der BTSV 110 von der Vorderseite 102f des Halbleitersubstrats 102 zur Rückseite des Halbleitersubstrats 102. In verschiedenen Ausführungsformen kann die BTSV 110 Kupfer, Aluminium, Wolfram oder ein ähnliches Material umfassen.
  • In einigen Ausführungsformen ist eine BTSV Auskleidung 208 entlang der Seitenwände der BTSV 110 angeordnet. Die BTSV Auskleidung 208 trennt die BTSV 110 vom Halbleitersubstrat 102. In verschiedenen Ausführungsformen kann die BTSV Auskleidung 208 zum Beispiel ein Oxid oder Siliziumnitrid umfassen. In einigen Ausführungsformen kann sich die BTSV Auskleidung 208 auch entlang der Seitenwände der dielektrischen Schicht mit hoher Dielektrizitätszahl 202, der dielektrischen Schicht 204 und der Pufferschicht 206 erstrecken.
  • Eine oder mehrere Passivierungsschichten, 210 und 212, können über dem leitfähigen Bond-Pad 112 angeordnet sein. Die eine oder mehr Passivierungsschichten, 210 und 212, erstrecken sich über das leitfähige Bond-Pad 112 und entlang der Seitenwände des leitfähigen Bond-Pads 112. In einigen Ausführungsformen können die Passivierungsschichten eine dielektrische Schicht 210 und eine Polyimidschicht 212 umfassen. Eine Under-Bump-Metallurgie- (UBM) -Schicht 118 ist auf einer oberen Fläche des leitfähigen Bond-Pads 112 angeordnet und kleidet ein Inneres einer Öffnung in der Polyimidschicht 212 aus. Die UBM Schicht 118 kann einen Stack verschiedener Metallschichten, 118a und 118b, umfassen, die als eine Diffusionsschicht, eine Barrierenschicht, eine Benetzungsschicht und/oder eine Antioxidationsschicht dienen. In einigen Ausführungsformen kann die UBM Schicht 118 Kupfer, Kupferlegierungen, Aluminium, Aluminiumlegierungen oder dergleichen umfassen. In verschiedenen Ausführungsformen hat das leitfähige Bond-Pad 112 eine ebene untere Fläche, die an die dielektrische Schicht 204 und/oder die Pufferschicht 206 zwischen der BTSV 110 und der UBM Schicht 118 angrenzt. In einigen Ausführungsformen kann sich die UBM Schicht 118 des Weiteren entlang einer oberen Fläche der Polyimidschicht 212 erstrecken.
  • Ein leitfähiger Bump 120 ist auf der UBM Schicht 118 angeordnet. In einigen Ausführungsformen ist der leitfähige Bump 120 ein Löt-Bump, ein Kupfer-Bump, ein Metall-Bump, enthaltend Nickel (Ni) oder Gold (Au), oder eine Kombination davon. In einigen Ausführungsformen ist der leitfähige Bump 120 ein Löt-Bump, der durch Platzieren von Lötkugeln auf der UBM Schicht 118 und dann Aufschmelzen der Lötkugeln gebildet ist. In einigen Ausführungsformen enthält der Löt-Bump eine bleifreie Vorlötschicht, SnAg, oder ein Lötmaterial, enthaltend Legierungen von Zinn, Blei, Silber, Kupfer, Nickel, Bismut oder Kombinationen davon.
  • 3 veranschaulicht eine Draufsicht 300 einiger Ausführungsformen einer rückseitigen Substrat-Durchkontaktierung (BTSV), die ein leitfähiges Bond-Pad direkt kontaktiert.
  • Wie in Draufsicht 300 gezeigt, steht eine BTSV 110 mit einem ersten Ende des leitfähigen Bond-Pads 112 in Kontakt. In einigen Ausführungsformen kann die BTSV 110 einen kreisförmigen Querschnitt haben. In anderen Ausführungsformen kann die BTSV 110 einen Querschnitt mit einer alternativen Form haben. Eine Under-Bump-Metallurgie- (UBM) Schicht 118 steht mit einem zweiten Ende des leitfähigen Bond-Pads 112 an einer Position in Kontakt, die seitlich von der BTSV 110 versetzt ist. In einigen Ausführungsformen kann die BTSV 110 eine Breite ωBTSV in einem Bereich von annähernd 0,3 µm bis annähernd 5 µm haben.
  • In einigen Ausführungsformen ist die BTSV 110 durch das leitfähige Bond-Pad 112 abgedeckt. Da die BTSV 110 durch das leitfähige Bond-Pad 112 abgedeckt ist, kann die Größe der BTSV 110 die Größe des leitfähigen Bond-Pads 112 beeinflussen. Zum Beispiel kann in einigen solchen Ausführungsformen die BTSV 110 eine Breite ωBTSV von kleiner oder gleich annähernd 1,5 µm haben und das leitfähige Bond-Pad 112 kann eine Breite ωPad haben, die kleiner oder gleich annähernd 2,5 µm ist. In anderen solchen Ausführungsformen kann die BTSV 110 eine Breite ωBTSV von kleiner oder gleich annähernd 1 µm haben und das leitfähige Bond-Pad 112 kann eine Breite ωPad haben, die kleiner oder gleich annähernd 2,0 µm ist. In solchen Ausführungsformen resultiert ein BTSV mit einer Breite WBTSV von weniger als annähernd 2,5 µm in einer relativ kleinen Breite ωPad des leitfähigen Bond-Pads, die Gestaltungsfreiheit und Platz für ein leitfähiges Bond-Pad-Routing bietet.
  • In einigen Ausführungsformen kann sich das leitfähige Bond-Pad 112 in mehrere Richtungen erstrecken. Zum Beispiel kann sich das leitfähige Bond-Pad 112 in einer ersten Richtung 302 und einer zweiten Richtung 304, die rechtwinklig zur ersten Richtung 302 ist, erstrecken. In einigen solchen Ausführungsformen kann die BTSV 110 mit einem Segment des leitfähigen Bond-Pads 112 in Kontakt stehen, das sich in der ersten Richtung 302 erstreckt, während die UBM Schicht 118 mit einem Segment des leitfähigen Bond-Pads 112 in Kontakt stehen kann, das sich in der zweiten Richtung 304 erstreckt. In anderen solchen Ausführungsformen können die BTSV 110 und die UBM Schicht 118 Segmente des leitfähigen Bond-Pads 112 berühren, die sich in der ersten Richtung 302 erstrecken, die durch einen oder mehrere Segmente des leitfähigen Bond-Pads 112 getrennt sind, die sich in der zweiten Richtung 304 erstrecken.
  • 4 veranschaulicht einige alternative Ausführungsformen eines dreidimensionalen integrierten Chips (3D-IC) 400 mit einem leitfähigen Rückseiten-Bond-Pad.
  • Der 3D-IC 400 umfasst einen ersten Halbleiter-Die 402a, der mittels einer Klebstoffschicht 404, die dazwischen angeordnet ist, an einen zweiten Halbleiter-Die 402b gekoppelt ist. Der erste Halbleiter-Die 402a umfasst ein erstes Halbleitersubstrat 406a mit mehreren Halbleiterbauelementen 104. In einigen Ausführungsformen können die mehreren Halbleiterbauelemente 104 durch Isolationsstrukturen 405 (z.B. flache Grabenisolationsstrukturen) getrennt sein. Mehrere erste Metallzwischenverbindungsschichten 408a sind innerhalb einer ersten ILD Struktur 410a angeordnet, die eine oder mehrere ILD Schichten umfasst, die auf dem ersten Halbleiter-Die 402a aufliegen. Der zweite Halbleiter-Die 402b umfasst ein zweites Halbleitersubstrat 406b und mehrere zweite Halbleiterzwischenverbindungsschichten 408b, die innerhalb einer zweiten ILD Struktur 410b angeordnet sind, die eine oder mehr ILD Schichten umfasst. In einigen Ausführungsformen umfasst die Klebstoffschicht 404 eine Oxidschicht, sodass der erste Halbleiter-Die 402a mit dem zweiten Halbleiter-Die 402b entlang einer Grenzfläche zwischen Oxidschichten verbunden ist.
  • Die mehreren Metallzwischenverbindungsschichten 408 umfassen Metalldrähte 409 und Metallkontakte und/oder Durchkontaktierungen 407. Die ersten mehreren Metallzwischenverbindungsschichten 408a umfassen dünne Metalldrähte 409a und dicke Metalldrähte 409b, die eine größere Größe (z.B. Breite und/oder Höhe) als die dünnen Metalldrähte 409a haben. Die dicken Metalldrähte 409b sind mittels eines oder mehrerer der dünnen Metalldrähte 409a vom ersten Halbleitersubstrat 406a getrennt. Die zweiten mehreren Metallzwischenverbindungsschichten 408b umfassen auch dünne Metalldrähte 409a' und dicke Metalldrähte 409b', die eine größere Größe als die dünnen Metalldrähte 409a' haben. Die dicken Metalldrähte 409b' sind mittels eines oder mehrere der dünnen Metalldrähte 409a' vom zweiten Halbleitersubstrat 406b getrennt.
  • Eine dielektrische Durchkontaktierung (Through Dielectric Via, TDV) 412 erstreckt sich von den ersten mehreren Metallzwischenverbindungsschichten 408a, durch das erste Halbleitersubstrat 406a und die Klebstoffschicht 404 zu einer der zweiten mehreren Metallzwischenverbindungsschichten 408b. In einigen Ausführungsformen verbindet die TDV 412 eine dicke Metallschicht 407b innerhalb der mehreren ersten Metallzwischenverbindungsschichten 408a mit einer dicken Metallschicht 407c' innerhalb der mehreren zweiten Metallzwischenverbindungsschichten 408b.
  • Eine BTSV 110 ist mit einer dünnen Metallschicht 407a' der mehreren zweiten Metallzwischenverbindungsschichten 408b verbunden (z.B. eine dünne Metallschicht 407a', die zwischen einer dicken Metallschicht 407b' und dem zweiten Halbleitersubstrat 406b angeordnet ist). Die BTSV 110 erstreckt sich durch das zweite Halbleitersubstrat 406b zu einem ebenen leitfähigen Bond-Pad 112, das entlang einer Rückseite des zweiten Halbleitersubstrats 406b angeordnet ist. Das ebene leitfähige Bond-Pad 112 ist des Weiteren mit einer UBM Schicht 118 verbunden, die mit einem leitfähigen Bump 120 verbunden ist. In einigen Ausführungsformen kann mehr als eine BTSV 110 parallel zwischen der dünnen Metallschicht 407a' und dem leitfähigen Bond-Pad 112 verbunden sein.
  • 5-15 veranschaulichen einige Ausführungsformen von Schnittansichten 500-1500, die ein Verfahren zur Bildung eines integrierten Chips mit einem Rückseiten-Bond-Pad zeigen.
  • Wie in Schnittansicht 500 gezeigt, ist ein Halbleitersubstrat 502 bereitgestellt. Das Halbleitersubstrat 502 kann jede Art von Halbleiterkörper (z.B. Silizium, SiGe, SOI) sein, wie etwa ein Halbleiterwafer und/oder ein oder mehrere Dies auf einem Wafer, wie auch jede Art von Metallschicht, Bauelement, Halbleiter und/oder Epitxialschichten, usw., die damit verknüpft sind. Das Halbleitersubstrat 502 kann ein intrinsisch dotiertes Halbleitersubstrat mit einer ersten Dotierungsart (z.B. einer n-Typ Dotierung oder einer p-Typ Dotierung) umfassen.
  • Mehrere Halbleiterbauelemente 104 (z.B. Transistorbauelemente) sind entlang einer Vorderseite 502f des Halbleitersubstrats 502 gebildet. In einigen Ausführungsformen sind die mehreren Halbleiterbauelemente 104 durch Bilden einer Gate-Struktur über dem Halbleitersubstrat 502 gebildet. Die Gate-Struktur kann durch Bilden einer dielektrischen Gate-Schicht 104a auf dem Halbleitersubstrat 502 und anschließend Bilden einer Gate-Elektrodenschicht 104g über der dielektrischen Gate-Schicht 104e gebildet sein. Die dielektrische Gate-Schicht 104e und die Gate-Elektrodenschicht 104g werden anschließend gemäß einem Photolithographieprozess strukturiert, um eine Gate-Struktur zu bilden. Source- und Drain-Bereiche, 104s und 104d, können durch einen Epitaxialprozess oder durch einen Implantierungsprozess gebildet sein, der selektiv das Halbleitersubstrat 502 mit einer Dotiermittelsorte, wie zum Beispiel etwa Bor (B) oder Phosphor (P) implantiert. Die Dotiermittelsorte kann anschließend durch Hochtemperatur-Thermalausglühen in das Halbleitersubstrat 502 getrieben werden.
  • Wie in Schnittansicht 600 gezeigt, ist der Metallisierungsstack über der Vorderseite 502f des Halbleitersubstrats 502 gebildet. Der BEOL Metallisierungsstack umfasst mehrere Metallzwischenverbindungsschichten 106, die innerhalb einer ILD Struktur 108 gebildet sind, die über der Vorderseite 502f des Halbleitersubstrats 502 angeordnet ist, und die mit den mehreren Halbleiterbauelementen 104 verbunden sind.
  • In einigen Ausführungsformen ist der BEOL Metallisierungsstack durch Ablagern einzelner ILD Schichten 108a-108d unter Verwendung separater Ablagerungsprozesse gebildet. Durchkontaktierungslöcher und/oder Metallgräben werden nach deren Ablagerung in eine der einzelnen ILD Schichten 108a-108d geätzt. Ein leitfähiges Material (z.B. Kupfer, Wolfram und/oder Aluminium) wird innerhalb der Durchkontaktierungslöcher und/oder Metallgräben abgelagert, um Metallzwischenverbindungsschichten 106 zu bilden. In einigen Ausführungsformen kann ein Ablagerungsprozess verwendet sein, um eine Keimschicht innerhalb der Durchkontaktierungslöcher zu bilden, gefolgt von einem anschließenden Beschichtungsprozess (z.B. einem Galvanisierungsprozess, einem stromlosen Beschichtungsprozess), der das Metallmaterial zu einer Dicke bildet, die die Durchkontaktierungslöcher und/oder Metallgräben ausfüllt. In einigen Ausführungsformen kann ein chemisch-mechanischer Polier- (CMP) -Prozess verwendet sein, um überschüssiges Material von einer obersten Fläche der einzelnen ILD Schichten 108a-108d zu entfernen. In verschiedenen Ausführungsformen können die mehreren Metallzwischenverbindungsschichten durch einen Dual-Damascene-Prozess (dargestellt) oder einen Single-Damascene-Prozess (nicht dargestellt) gebildet sein.
  • Wie in Schnittansicht 700 gezeigt, ist eine Dicke des Halbleitersubstrats 502 verringert. Das Verringern der Dicke des Halbleitersubstrats 502 gestattet einer anschließend gebildeten rückseitigen Substrat-Durchkontaktierung (BTSV) (z.B. gebildet in 9-11), eine kleinere Größe (z.B. kleiner oder gleich annähernd 2,5 µm) zu haben, wodurch eine Größe eines leitfähigen Bond-Pads verringert werden kann. In einigen Ausführungsformen kann die ILD Struktur 108 vor dem Ausdünnen des Halbleitersubstrats 502 mittels eines Klebstoffmaterials mit einem Trägersubstrat (nicht dargestellt) verbunden werden. Das Trägersubstrat stellt während des Ausdünnens und anschließenden Verarbeitens eine Auflage bereit. Das Halbleitersubstrat 502 kann durch einen Ätzprozess und/oder durch mechanisches Schleifen der Rückseite 502b des Halbleitersubstrats 502 ausgedünnt werden. In einigen Ausführungsformen wird die Substratdicke von einer ersten Dicke t1 von annähernd 700 µm zu einer zweiten Dicke t2 in einem Bereich von zwischen ungefähr 1 µm und 10 µm verringert.
  • Wie in Schnittansicht 800 gezeigt, ist eine dielektrische Schicht mit hoher Dielektrizitätszahl 202 über der Rückseite 102b des Halbleitersubstrats 102 gebildet. In verschiedenen Ausführungsformen kann die dielektrische Schicht mit hoher Dielektrizitätszahl 202 zum Beispiel Aluminiumoxid (Al2O3), Tantaloxid (Ta2O5), Titanoxid (TiO2), Zirkonoxid (ZrO2) und/oder Hafniumoxid (HfO) umfassen. In verschiedenen Ausführungsformen kann die dielektrische Schicht mit hoher Dielektrizitätszahl 202 durch einen Ablagerungsprozess (z.B. CVD, PE-CVD, ALD, PVD, usw.) gebildet sein. Die dielektrische Schicht mit hoher Dielektrizitätszahl 202 verringert eine Rauheit der Rückseite 102b des Halbleitersubstrats 102, wodurch die Bauelementableitung verbessert wird.
  • Anschließend werden eine dielektrische Schicht 204 und/oder eine Pufferschicht 206 über der dielektrischen Schicht mit hoher Dielektrizitätszahl 202 gebildet. In einigen Ausführungsformen kann die dielektrische Schicht 204 eine Oxidschicht umfassen und die Pufferschicht 206 kann eine Nitridschicht umfassen. Die dielektrische Schicht 204 und/oder die Pufferschicht 206 können mittels Ablagerungsprozessen (z.B. CVD, PE-CVD, ALD, PVD, usw.) gebildet werden.
  • Wie in Querschnittschnittansicht 900 gezeigt, wird eine BTSV Öffnung 902 gebildet. Die BTSV Öffnung 902 erstreckt sich vertikal durch die dielektrische Schicht 204 und/oder die Pufferschicht 206, die dielektrische Schicht mit hoher Dielektrizitätszahl 202, das Halbleitersubstrat 102 und einen Teil der ILD Struktur 108, zu einer Position, die einen dünnen ersten Metalldraht 107a der mehreren Metallzwischenverbindungsschichten 106 kontaktiert. In einigen Ausführungsformen kann die BTSV Öffnung 902 durch selektives Aussetzen des Substrats einem Ätzmittel 904 gemäß einer Maskenschicht 906 gebildet werden. In verschiedenen Ausführungsformen kann die Maskenschicht 906 Fotolack oder ein Nitrid (z.B. Si3N4, SiN) umfassen, der bzw. das unter Verwendung eines Photolithographieprozesses strukturiert wird. In verschiedenen Ausführungsformen kann das Ätzmittel 904 ein Trockenätzmittel mit einer Ätzzusammensetzung, die eine Fluorspezies (z.B. CF4, CHF3, C4F8, usw.) umfasst, oder ein Nassätzmittel (z.B. Fluorwasserstoffsäure (HF) oder Tetramethylammoniumhydroxid (TMAH)) umfassen.
  • Wie in Schnittansicht 1000 gezeigt, kann eine BTSV Auskleidung 1002 innerhalb der BTSV Öffnung 902 gebildet sein. Die BTSV Auskleidung 1002 erstreckt sich entlang einer unteren Fläche und Seitenwänden der BTSV Öffnung 902. In einigen Ausführungsformen kann die BTSV Auskleidung 1002 auf innerhalb der BTSV Öffnung 902 begrenzt sein, während sich in anderen Ausführungsformen die BTSV Auskleidung 1002 von der BTSV Öffnung 902 nach außen, über die dielektrische Schicht 204 und/oder die Pufferschicht 206 erstrecken kann. In einigen Ausführungsformen kann die BTSV Auskleidung 1002 mittels eines Ablagerungsprozesses (z.B. CVD, PE-CVD, ALD, PVD, usw.) gebildet sein. In anderen Ausführungsformen kann die BTSV Auskleidung 1002 mittels eines thermischen Oxidationsprozesses gebildet sein. In solchen Ausführungsformen kann sich die BTSV Auskleidung 1002 nicht über die dielektrische Schicht 204 und/oder die Pufferschicht 206 erstrecken (d.h., die BTSV Auskleidung 208 kann eine obere Fläche unterhalb einer oberen Fläche der dielektrischen Schicht 204 und/oder der Pufferschicht 206 haben). In einigen Ausführungsformen kann die BTSV Auskleidung 1002 ein Oxid (z.B. SiO2) umfassen.
  • Die BTSV Öffnung 902 wird anschließend mit einem leitfähigen Material 1004 gefüllt. In verschiedenen Ausführungsformen kann das leitfähige Material 1004 Kupfer, Aluminium, Wolfram oder ein ähnliches Material umfassen. In einigen Ausführungsformen kann das leitfähige Material 1004 mittels eines Ablagerungsprozesses gebildet werden. In einigen zusätzlichen Ausführungsformen kann das leitfähige Material 1004 mittels eines Beschichtungsprozesses (z.B. eines Galvanisierungsprozesses oder eines stromlosen Beschichtungsprozesses) gebildet sein. Das leitfähige Material 1004 füllt die BTSV Öffnung 902 auf und erstreckt sich über eine obere Fläche der dielektrischen Schicht 204 und/oder der Pufferschicht 206.
  • Wie in Schnittansicht 1100 gezeigt, wird ein Planierungsprozess entlang einer Linie 1102 ausgeführt, um das leitfähige Material (z.B. 1004 von 10) und/oder die BTSV Auskleidung (z.B. 1002 von 10), die auf der dielektrischen Schicht 204 und/oder der Pufferschicht 206 aufliegt, zu entfernen. Der Planierungsprozess bildet eine ebene Fläche 1104, die sich entlang der BTSV 110 und der dielektrischen Schicht 204 und/oder der Pufferschicht 206 erstreckt. Der Planierungsprozess begrenzt die BTSV 110 und/oder die BTSV Auskleidung 208 auf innerhalb der BTSV Öffnung 902. In einigen Ausführungsformen kann der Planierungsprozess einen chemisch-mechanischen Polier- (CMP) -Prozess umfassen. In anderen Ausführungsformen kann der Planierungsprozess zum Beispiel einen Ätzprozess und/oder Schleifprozess umfassen.
  • Wie in Schnittansicht 1200 gezeigt, ist ein leitfähiges Bond-Pad 112 auf der ebenen Fläche 1104 gebildet. Das leitfähige Bond-Pad 112 ist in direktem Kontakt mit der BTSV 110 gebildet, sodass die BTSV 110 in physischem und elektrischem Kontakt mit dem leitfähigen Bond-Pad 112 ist. Das leitfähige Bond-Pad 112 kann durch einen Ablagerungsprozess und/oder Beschichtungsprozess, gefolgt von lithographischen Strukturierungs- und Ätzprozessen, gebildet sein.
  • Wie in Schnittansicht 1300 gezeigt, sind eine oder mehrere Passivierungsschichten, 210 und 212, über dem leitfähigen Bond-Pad 112 gebildet. Die eine oder mehreren Passivierungsschichten, 210 und 212, erstrecken sich von über dem leitfähigen Bond-Pad 112 zu über der dielektrischen Schicht 204 und/oder Pufferschicht 206. In einigen Ausführungsformen können die eine oder mehreren Passivierungsschichten eine dielektrische Schicht 210 und eine Polyimidschicht 212 umfassen. Die eine oder mehreren Passivierungsschichten können durch einen Ablagerungsprozess gebildet werden, gefolgt von einem Strukturierungsprozess, der eine UBM Öffnung 1302 bildet, die sich durch die eine oder mehreren Passivierungsschichten zu einer Rückseite 112b des leitfähigen Bond-Pads 112 hin erstreckt.
  • Wie in Schnittansicht 1400 gezeigt, ist eine Under-Bump-Metallurgie- (UBM) - Schicht 118 innerhalb der UBM Öffnung 1302 gebildet. Die UBM Schicht 118 ist ein Stack verschiedener Metallschichten, 118a und 118b, die als eine Diffusionsschicht, eine Barrierenschicht, eine Benetzungsschicht und/oder eine Antioxidationsschicht dienen. Die UBM Schicht 118 kann durch aufeinanderfolgende Ablagerungsprozesse gebildet werden.
  • Wie in Schnittansicht 1500 gezeigt, wird ein leitfähiger Bump 120 auf der UBM Schicht 118 gebildet. In verschiedenen Ausführungsformen kann der leitfähige Bump 120 einen Löt-Bump, einen Kupfer-Bump, einen Metall-Bump, enthaltend Nickel (Ni) oder Gold (Au), oder eine Kombination davon umfassen. In einigen Ausführungsformen kann der integrierte Chip mit einem Substrat (z.B. einer Leiterplatte) oder einem Leiterrahmen eines Packages in Kontakt gebracht werden, und dann wird die Lötkugel aufgeschmolzen, um eine elektrische Verbindung mit dem Substrat oder Leiterrahmen zu bilden. Sobald der integrierte Chip elektrisch mit dem Substrat oder Leiterrahmen verbunden ist, können das Trägersubstrat und die Klebstoffschicht entfernt werden.
  • 16 veranschaulicht ein Ablaufdiagramm einiger Ausführungsformen eines integrierten Chips mit einem Rückseiten-Bond-Pad. Obwohl Verfahren 1600 in Bezug auf 5-15 beschrieben ist, ist klar, dass das Verfahren 1600 nicht auf solche Strukturen begrenzt ist, sondern stattdessen ein eigenständiges Verfahren, unabhängig von den Strukturen, ist.
  • Während das offenbarte Verfahren 1600 hierin als eine Serie von Vorgängen oder Ereignissen veranschaulicht und beschrieben ist, ist klar, dass die veranschaulichte Abfolge solcher Vorgänge oder Ereignisse nicht in einem begrenzenden Sinn auszulegen ist. Zum Beispiel können einige Vorgänge in anderen Reihenfolgen und/oder zeitgleich mit anderen Vorgängen oder Ereignissen abgesehen von den hierin veranschaulichten und/oder beschriebenen stattfinden. Zusätzlich können nicht alle veranschaulichten Vorgänge notwendig sein, um einen oder mehrere Aspekte oder Ausführungsformen der vorliegenden Beschreibung zu implementieren. Des Weiteren können eine oder mehrere der hierin abgebildeten Handlungen in einem Vorgang und/oder einer Phase oder mehreren separaten Vorgängen und/oder Phasen ausgetragen werden.
  • Bei 1602 werden ein oder mehrere Halbleiterbauelemente entlang einer Vorderseite eines Halbleitersubstrats gebildet. 5 veranschaulicht einige Ausführungsformen entsprechend dem Vorgang 1602.
  • Bei 1604 werden mehrere Metallzwischenverbindungsschichten innerhalb einer dielektrischen Zwischenschicht- (ILD) Struktur gebildet, die entlang der Vorderseite des Halbleitersubstrats angeordnet ist. 6 veranschaulicht einige Ausführungsformen entsprechend dem Vorgang 1604.
  • Bei 1606 wird eine Dicke des Halbleitersubstrats verringert. 7 veranschaulicht einige Ausführungsformen entsprechend dem Vorgang 1606.
  • Bei 1608 wird eine Schicht mit hoher Dielektrizitätszahl entlang einer Rückseite des Halbleitersubstrats gebildet. 8 veranschaulicht einige Ausführungsformen entsprechend dem Vorgang 1608.
  • Bei 1610 werden eine dielektrische Schicht und/oder eine Pufferschicht über der Schicht mit hoher Dielektrizitätszahl gebildet. 8 veranschaulicht einige Ausführungsformen entsprechend dem Vorgang 1610.
  • Bei 1612 wird eine rückseitige Substrat-Durchkontaktierungs- (BTSV) Öffnung gebildet. Die BTSV Öffnung erstreckt sich durch die dielektrische Schicht und/oder die Pufferschicht, die Schicht mit hoher Dielektrizitätszahl, das Halbleitersubstrat und einen Teil der ILD Struktur. Die BSTV kann einen dünnen Metallzwischenverbindungsdraht berühren, der zwischen dem Substrat und dickeren Metallzwischenverbindungsdrähten angeordnet ist. 9 veranschaulicht einige Ausführungsformen entsprechend dem Vorgang 1612.
  • Bei 1614 wird eine BTSV Auskleidung innerhalb der BTSV Öffnung gebildet. 10 veranschaulicht einige Ausführungsformen entsprechend dem Vorgang 1614.
  • Bei 1616 wird die BTSV Öffnung mit einem leitfähigen Material aufgefüllt. 10 veranschaulicht einige Ausführungsformen entsprechend dem Vorgang 1616.
  • Bei 1618 wird ein Planierungsprozess ausgeführt, um eine BTSV mit einer oberen Fläche zu bilden, die im Wesentlichen komplanar mit der dielektrischen Schicht oder der Pufferschicht ist. 11 veranschaulicht einige Ausführungsformen entsprechend dem Vorgang 1618.
  • Bei 1620 wird ein leitfähiges Bond-Pad auf einer ebenen Fläche der BTSV der dielektrischen Schicht oder der Pufferschicht gebildet. 12 veranschaulicht einige Ausführungsformen entsprechend dem Vorgang 1620.
  • Bei 1622 werden eine oder mehrere Passivierungsschichten über dem leitfähigen Bond-Pad und der dielektrischen Schicht oder der Pufferschicht gebildet. 13 veranschaulicht einige Ausführungsformen entsprechend dem Vorgang 1622.
  • Bei 1624 wird eine Under-Bump-Metallurgie- (UBM) -Schicht innerhalb einer Öffnung in der Passivierungsschicht an einer Stelle gebildet, die das leitfähige Bond-Pad kontaktiert. 14 veranschaulicht einige Ausführungsformen entsprechend dem Vorgang 1624.
  • Bei 1626 wird ein leitfähiger Bump auf der UBM Schicht gebildet. 15 veranschaulicht einige Ausführungsformen entsprechend dem Vorgang 1626.
  • Deshalb bezieht sich die vorliegende Offenbarung auf einen integrierten Chip (IC) mit einer rückseitigen Substrat-Durchkontaktierung (BTSV) mit einer direkten physischen Verbindung zwischen einer Metallzwischenverbindungsschicht und einem Rückseiten-Bond-Pad.
  • In einigen Ausführungsformen bezieht sich die vorliegende Offenbarung auf einen integrierten Chip. Der integrierte Chip umfasst mehrere Metallzwischenverbindungsschichten, die innerhalb einer dielektrischen Zwischenschicht- (ILD) Struktur entlang einer Vorderseite eines Substrats angeordnet sind. Eine dielektrische Schicht ist entlang einer Rückseite des Substrats angeordnet und ein leitfähiges Bond-Pad ist über der dielektrischen Schicht angeordnet. Eine rückseitige Substrat-Durchkontaktierung (BTSV) erstreckt sich von einer der mehreren Metallzwischenverbindungsschichten durch das Substrat und die dielektrische Schicht zum leitfähigen Bond-Pad. Ein leitfähiger Bump ist über dem leitfähigen Bond-Pad angeordnet. Das leitfähige Bond-Pad hat eine im Wesentlichen ebene untere Fläche und erstreckt sich von über der BTSV zu unterhalb des leitfähigen Bumps.
  • In anderen Ausführungsformen bezieht sich die vorliegende Offenbarung auf einen integrierten Chip. Der integrierte Chip umfasst mehrere Metallzwischenverbindungsschichten, die innerhalb einer dielektrischen Zwischenschicht- (ILD) Struktur angeordnet sind, die entlang einer Vorderseite eines Substrats angeordnet ist. Die mehreren Metallzwischenverbindungsschichten umfassen einen ersten Metallzwischenverbindungsdraht und einen dickeren zweiten Metallzwischenverbindungsdraht, der durch den ersten Metallzwischenverbindungsdraht vom Substrat getrennt ist. Eine Schicht mit hoher Dielektrizitätszahl ist auf einer Rückseite des Substrats angeordnet und eine dielektrische Schicht ist durch die dielektrische Schicht mit hoher Dielektrizitätszahl von der Rückseite des Substrats getrennt. Ein leitfähiges Bond-Pad ist über der dielektrischen Schicht angeordnet. Eine rückseitige Substrat-Durchkontaktierung (BTSV) erstreckt sich zwischen der Metallzwischenverbindungsschicht und dem leitfähigen Bond-Pad.
  • In weiteren Ausführungsformen bezieht sich die vorliegende Offenbarung auf ein Verfahren zur Bildung eines integrierten Chips. Das Verfahren umfasst ein Bilden mehrerer Metallzwischenverbindungsschichten innerhalb einer dielektrischen Zwischenschicht- (ILD) Struktur, die entlang einer Vorderseite eines Substrats angeordnet ist. Die mehreren Metallzwischenverbindungsschichten umfassen einen ersten Metallzwischenverbindungsdraht und einen dickeren zweiten Metallzwischenverbindungsdraht, der durch den ersten Metallzwischenverbindungsdraht vom Substrat getrennt ist. Das Verfahren umfasst des Weiteren ein Bilden einer Schicht mit hoher Dielektrizitätszahl auf einer Rückseite des Substrats und Bilden einer dielektrischen Schicht über der Schicht mit hoher Dielektrizitätszahl. Das Verfahren umfasst des Weiteren ein Ätzen der dielektrischen Schicht, der Schicht mit hoher Dielektrizitätszahl, des Substrats und der ILD Struktur, um eine rückseitige Substrat-Durchkontaktierungs- (BTSV) Öffnung zu bilden, die sich zu einer Position erstreckt, die mit dem ersten Metallzwischenverbindungsdraht in Kontakt steht. Das Verfahren umfasst des Weiteren ein Ablagern eines leitfähigen Materials innerhalb der BTSV Öffnung und ein Ausführen eines Planierungsprozesses, um das leitfähige Material außerhalb der BTSV Öffnung zu entfernen, um eine rückseitige Substrat-Durchkontaktierung (BTSV) zu bilden. Das Verfahren umfasst des Weiteren ein Bilden eines leitfähigen Pads mit einer ebenen unteren Fläche, die auf der BTSV angeordnet wird.

Claims (16)

  1. Integrierter Chip, umfassend: mehrere Metallzwischenverbindungsschichten (106), die innerhalb einer dielektrischen Zwischenschicht- (= ILD-) Struktur (108) angeordnet sind, die entlang einer Vorderseite eines Substrats (102) angeordnet ist; eine dielektrische Schicht (114), die entlang einer Rückseite des Substrats (102) angeordnet ist; ein leitfähiges Bond-Pad (112), das über der dielektrischen Schicht (114) angeordnet ist; eine rückseitige Substrat-Durchkontaktierung (=BTSV) (110), die sich von einer der mehreren Metallzwischenverbindungsschichten (106) durch das Substrat (102) und die dielektrische Schicht (114) zum leitfähigen Bond-Pad (112) erstreckt; einen leitfähigen Bump (120), der über dem leitfähigen Bond-Pad (112) angeordnet ist, wobei das leitfähige Bond-Pad (112) eine im Wesentlichen ebene untere Fläche, die sich von über der BTSV (110) zu unterhalb des leitfähigen Bumps (120) erstreckt, aufweist; eine Passivierungsschicht (210, 212), die über der dielektrischen Schicht (114) und dem leitfähigen Bond-Pad (112) angeordnet ist; und eine Under-Bump-Metallurgie- (= UBM-) Schicht (118), die sich von über der Passivierungsschicht (210, 212) zu innerhalb einer Öffnung (1302) innerhalb der Passivierungsschicht (210, 212) erstreckt, wobei die UBM-Schicht (118) zwischen dem leitfähigen Bond-Pad (112) und dem leitfähigen Bump (120) positioniert ist, wobei das leitfähige Bond-Pad (112) ein erstes Segment, das sich in einer ersten Richtung erstreckt, und ein zweites Segment, das sich in einer zweiten Richtung, rechtwinklig zur ersten Richtung, erstreckt, aufweist, wobei die BTSV (110) das erste Segment des leitfähigen Bond-Pads (112) kontaktiert und die UBM-Schicht (118) das zweite Segment des leitfähigen Bond-Pads (112) kontaktiert.
  2. Integrierter Chip nach Anspruch 1, des Weiteren umfassend: eine Schicht mit hoher Dielektrizitätszahl (202), die konfiguriert ist, eine Rauheit der Rückseite des Substrats (102) zu verringern, wobei die Schicht mit hoher Dielektrizitätszahl (202) vertikal zwischen der dielektrischen Schicht (114) und dem Substrat (102) angeordnet ist.
  3. Integrierter Chip nach Anspruch 2, wobei die Schicht mit hoher Dielektrizitätszahl (202) eine Dicke hat, die in einem Bereich von zwischen annähernd 1,0 nm und annähernd 100,0 nm liegt.
  4. Integrierter Chip nach einem der vorangehenden Ansprüche, wobei die BTSV (110) glatte Seitenwände hat, die sich kontinuierlich zwischen der einen der mehreren Metallzwischenverbindungsschichten (106) und dem leitfähigen Bond-Pad (112) erstrecken.
  5. Integrierter Chip nach einem der vorangehenden Ansprüche, wobei die mehreren Metallzwischenverbindungsschichten (106) einen ersten Metallzwischenverbindungsdraht (107a) und einen dickeren zweiten Metallzwischenverbindungsdraht (107b, 107c), der durch den ersten Metallzwischenverbindungsdraht (107a) vom Substrat (102) getrennt ist, umfassen; und wobei die BTSV (110) den ersten Metallzwischenverbindungsdraht (107a) kontaktiert.
  6. Integrierter Chip nach einem der vorangehenden Ansprüche, des Weiteren umfassend: eine BTSV-Auskleidung, die entlang von Seitenwänden der BTSV (110) angeordnet ist, um die BTSV (110) vom Substrat (102) zu trennen.
  7. Integrierter Chip nach einem der vorangehenden Ansprüche, des Weiteren umfassend: eine oder mehrere zusätzliche BTSV, die parallel zur BTSV (110) zwischen der einen der mehreren Metallzwischenverbindungsschichten (106) und dem leitfähigen Pad (112) angeordnet sind.
  8. Integrierter Chip nach einem der vorangehenden Ansprüche, des Weiteren umfassend: eine Pufferschicht, die durch die dielektrische Schicht (114) vom Substrat (102) getrennt ist, wobei die BTSV (110) eine obere Fläche hat, die vom Substrat (102) weg zeigt, die koplanar mit entweder einer oberen Fläche der dielektrischen Schicht (114), die vom Substrat (102) weg zeigt, oder mit einer oberen Fläche der Pufferschicht, die vom Substrat (102) weg zeigt, ist.
  9. Integrierter Chip, umfassend: mehrere Metallzwischenverbindungsschichten (106), die innerhalb einer dielektrischen Zwischenschicht- (=ILD-) Struktur (108) Zwischenschicht- (=ILD-) Struktur (108) angeordnet sind, die entlang einer Vorderseite eines Substrats (102) angeordnet ist, wobei die mehreren Metallzwischenverbindungsschichten (106) einen ersten Metallzwischenverbindungsdraht (107a) und einen dickeren zweiten Metallzwischenverbindungsdraht (107b, 107c), der durch den ersten Metallzwischenverbindungsdraht (107a) vom Substrat (102) getrennt ist, umfassen; eine Schicht mit hoher Dielektrizitätszahl (202), die auf einer Rückseite des Substrats (102) angeordnet ist; eine dielektrische Schicht (204), die durch die Schicht mit hoher Dielektrizitätszahl (202) von der Rückseite des Substrats (102) getrennt ist; ein leitfähiges Bond-Pad (112), das über der dielektrischen Schicht (114) angeordnet ist; eine rückseitige Substrat-Durchkontaktierung (= BTSV) (110), die sich zwischen dem ersten Metallzwischenverbindungsdraht (107a) und dem leitfähigen Bond-Pad (112) erstreckt; eine Passivierungsschicht (210, 212), die über der dielektrischen Schicht (204) und dem Bond-Pad (112) angeordnet ist; eine Under-Bump-Metallurgie- (= UBM-) Schicht (118), die sich von über der Passivierungsschicht (210, 212) zu innerhalb einer Öffnung (1302) innerhalb der Passivierungsschicht (210, 212) erstreckt; und ein leitfähiger Bump (120), der über der UBM-Schicht (118) angeordnet ist, wobei das leitfähige Bond-Pad (112) eine im Wesentlichen ebene untere Fläche, die sich von über der BTSV (110) zu unterhalb des leitfähigen Bumps (120) erstreckt, aufweist.
  10. Integrierter Chip nach Anspruch 9, wobei die BTSV (110) sich verjüngende Seitenwände hat, die sich kontinuierlich zwischen dem ersten Metallzwischenverbindungsdraht (107a) und dem leitfähigen Bond-Pad (112) erstrecken.
  11. Integrierter Chip nach Anspruch 10, wobei die BTSV (110) glatte Seitenwände hat, die sich kontinuierlich zwischen dem ersten Metallzwischenverbindungsdraht (107a) und dem leitfähigen Bond-Pad (112) erstrecken.
  12. Integrierter Chip nach einem der Ansprüche 9 bis 11, wobei das leitfähige Bond-Pad (112) ein erstes Segment, das sich in einer ersten Richtung erstreckt, und ein zweites Segment, das sich in einer zweiten Richtung, rechtwinklig zur ersten Richtung, erstreckt, aufweist.
  13. Integrierter Chip nach einem der Ansprüche 9 bis 12, wobei das leitfähige Bond-Pad (112) Aluminium umfasst.
  14. Integrierter Chip nach einem der Ansprüche 9 bis 13, wobei die BTSV (110) Kupfer, Aluminium oder Wolfram umfasst.
  15. Integrierter Chip nach einem der Ansprüche 9 bis 14, wobei die BTSV (110) eine Breite hat, die kleiner oder gleich annähernd 2,5 µm ist.
  16. Verfahren zur Bildung eines integrierten Chips, umfassend: Bilden (1604) mehrerer Metallzwischenverbindungsschichten (106) innerhalb einer dielektrischen Zwischenschicht- (=ILD-) Struktur (108), die entlang einer Vorderseite eines Substrats (102) angeordnet ist, wobei die mehreren Metallzwischenverbindungsschichten (106) einen ersten Metallzwischenverbindungsdraht (107a) und einen dickeren zweiten Metallzwischenverbindungsdraht (107b, 107c), der durch den ersten Metallzwischenverbindungsdraht (107a) vom Substrat (102) getrennt ist, umfassen; Bilden (1608) einer Schicht mit hoher Dielektrizitätszahl (202) auf der Rückseite des Substrats (102); Bilden (1610) einer dielektrischen Schicht (204) über der Schicht mit hoher Dielektrizitätszahl (202); Ätzen (1612) der dielektrischen Schicht (204), der Schicht mit hoher Dielektrizitätszahl (202), des Substrats (102) und der ILD-Struktur (108), um eine rückseitige Substrat-Durchkontaktierungs- (=BTSV-) Öffnung (902) zu bilden, die sich zu einer Position erstreckt, die in Kontakt mit dem ersten Metallzwischenverbindungsdraht (107a) steht; Ablagern (1616) eines leitfähigen Materials innerhalb der BTSV-Öffnung (902); Durchführen (1618) eines Planierungsprozesses, um das leitfähige Material außerhalb der BTSV-Öffnung (902) zu entfernen, um eine rückseitige Substrat-Durchkontaktierung (=BTSV) (110) (110) zu bilden; Bilden (1620) eines leitfähigen Pads (112) mit einer ebenen unteren Fläche, das auf der BTSV (110) angeordnet ist; Bilden (1622) einer oder mehrerer Passivierungsschichten (210, 212) über dem leitfähigen Pad (112); Bilden einer Under-Bump-Metallurgie- (=UBM-) Öffnung (1302), die sich durch die eine oder mehreren Passivierungsschichten (210, 212) zu einer Rückseite des leitfähigen Pads (112) hin erstreckt; Bilden (1624) einer UBM-Schicht (118) innerhalb der UBM-Öffnung (1302); Bilden (1626) eines leitfähigen Bumps (120) auf der UBM-Schicht (118).
DE102016116094.6A 2015-11-30 2016-08-30 Integrierter Chip und seine Herstellungsmethode Active DE102016116094B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562260808P 2015-11-30 2015-11-30
US62/260,808 2015-11-30
US15/143,950 2016-05-02
US15/143,950 US10147682B2 (en) 2015-11-30 2016-05-02 Structure for stacked logic performance improvement

Publications (2)

Publication Number Publication Date
DE102016116094A1 DE102016116094A1 (de) 2017-06-01
DE102016116094B4 true DE102016116094B4 (de) 2021-11-11

Family

ID=58693328

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102016116094.6A Active DE102016116094B4 (de) 2015-11-30 2016-08-30 Integrierter Chip und seine Herstellungsmethode

Country Status (6)

Country Link
US (4) US10147682B2 (de)
JP (2) JP2017103458A (de)
KR (1) KR101929620B1 (de)
CN (1) CN106816426A (de)
DE (1) DE102016116094B4 (de)
TW (1) TWI628758B (de)

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10147682B2 (en) * 2015-11-30 2018-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for stacked logic performance improvement
US9929107B1 (en) 2016-12-06 2018-03-27 Infineon Technologies Ag Method for manufacturing a semiconductor device
US9991373B1 (en) * 2016-12-06 2018-06-05 Infineon Technologies Ag Semiconductor device
US20180166362A1 (en) * 2016-12-14 2018-06-14 Nanya Technology Corporation Semiconductor stacking structure and method for manufacturing thereof
CN112164688B (zh) * 2017-07-21 2023-06-13 联华电子股份有限公司 芯片堆叠结构及管芯堆叠结构的制造方法
KR102420586B1 (ko) * 2017-07-24 2022-07-13 삼성전자주식회사 반도체 장치, 반도체 패키지 및 반도체 패키지의 제조 방법
US10504784B2 (en) 2017-10-25 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Inductor structure for integrated circuit
US10163758B1 (en) * 2017-10-30 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method for the same
US10510634B2 (en) * 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method
JP2019212729A (ja) * 2018-06-04 2019-12-12 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
US10825696B2 (en) 2018-07-02 2020-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Cross-wafer RDLs in constructed wafers
US10923397B2 (en) * 2018-11-29 2021-02-16 Globalfoundries Inc. Through-substrate via structures in semiconductor devices
CN109560065B (zh) * 2018-12-14 2023-01-31 上海微阱电子科技有限公司 一种带体接触的半导体器件结构和形成方法
US10923421B2 (en) * 2019-04-23 2021-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11004758B2 (en) 2019-06-17 2021-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
CN110400809A (zh) * 2019-07-24 2019-11-01 深圳市华星光电半导体显示技术有限公司 TFT驱动背板及Micro-LED显示器
JP7375817B2 (ja) 2019-07-24 2023-11-08 日本電気株式会社 音声処理装置、通話デバイス、音声処理方法、およびプログラム
KR20210048005A (ko) * 2019-10-22 2021-05-03 삼성디스플레이 주식회사 표시 장치 및 표시 장치의 제조방법
US11417618B2 (en) * 2019-12-26 2022-08-16 SK Hynix Inc. Semiconductor device including redistribution layer and method for fabricating the same
US11205628B2 (en) * 2019-12-30 2021-12-21 Advanced Semiconductor Engineering, Inc. Semiconductor device package and method of manufacturing the same
US11309254B2 (en) * 2020-02-18 2022-04-19 Nanya Technology Corporation Semiconductor device having through silicon vias and method of manufacturing the same
DE102020124131A1 (de) * 2020-03-26 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren
US11264359B2 (en) 2020-04-27 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Chip bonded to a redistribution structure with curved conductive lines
US11244914B2 (en) * 2020-05-05 2022-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Bond pad with enhanced reliability
CN111554647B (zh) * 2020-05-19 2022-04-19 上海先方半导体有限公司 一种晶圆级芯片结构、多芯片堆叠互连结构及制备方法
US11508633B2 (en) * 2020-05-28 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having taper-shaped conductive pillar and method of forming thereof
KR20210155696A (ko) 2020-06-16 2021-12-23 삼성전자주식회사 인터포저 및 이를 포함하는 반도체 패키지
US11670601B2 (en) 2020-07-17 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Stacking via structures for stress reduction
US12094828B2 (en) 2020-07-17 2024-09-17 Taiwan Semiconductor Manufacturing Co., Ltd. Eccentric via structures for stress reduction
CN111968955B (zh) * 2020-08-27 2021-10-12 武汉新芯集成电路制造有限公司 半导体器件及其制造方法
KR20220033177A (ko) * 2020-09-09 2022-03-16 삼성전자주식회사 반도체 패키지 및 이의 제조 방법
US11862535B2 (en) * 2020-09-16 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate-via with reentrant profile
US11610833B2 (en) * 2020-10-22 2023-03-21 Nanya Technology Corporation Conductive feature with non-uniform critical dimension and method of manufacturing the same
KR20220058683A (ko) 2020-10-29 2022-05-10 삼성전자주식회사 반도체 패키지
WO2022101473A1 (de) 2020-11-16 2022-05-19 Tdk Electronics Ag Siliziumsubstrat mit esd-schutzelement
US11652025B2 (en) 2021-01-15 2023-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate via formation to enlarge electrochemical plating window
US11973050B2 (en) * 2021-02-02 2024-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming an upper conductive structure having multilayer stack to decrease fabrication costs and increase performance
US11942368B2 (en) 2021-02-26 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon vias and methods of fabricating thereof
US11715756B2 (en) * 2021-04-09 2023-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Device structure and methods of forming the same
US20230068312A1 (en) * 2021-09-02 2023-03-02 Applied Materials, Inc. Backside power rail to deep vias
CN115274594B (zh) * 2022-09-19 2022-12-16 合肥晶合集成电路股份有限公司 一种半导体结构及其制作方法
CN115458505B (zh) * 2022-11-11 2023-03-07 广州粤芯半导体技术有限公司 半导体结构及其制备方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100237508A1 (en) 2009-03-17 2010-09-23 Kabushiki Kaisha Toshiba Power-supply wiring structure for multilayer wiring and method of manufacturing multilayer wiring
DE102011054908A1 (de) 2010-11-29 2012-05-31 Samsung Electronics Co., Ltd. Halbleitervorrichtung, Herstellungsverfahren dafür und Halbleitergehäuse mit dieser Halbleitervorrichtung
US20130015592A1 (en) 2011-07-12 2013-01-17 Intersil Americas Inc. Bond pad configurations for semiconductor dies
DE102014111783A1 (de) 2014-07-17 2016-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Gestapelte integrierte schaltungen mit umverteilungsleitungen
DE112013007038T5 (de) 2013-06-29 2016-01-28 Intel Corporation Zwischenverbindungsstruktur umfassend Metall-Rückseiten-Umverteilungsleitungen mit sehr kleinem Teilungsabstand kombiniert mit Durchkontaktierungen

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6737685B2 (en) * 2002-01-11 2004-05-18 International Business Machines Corporation Compact SRAM cell layout for implementing one-port or two-port operation
US7354798B2 (en) * 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
JP2004327910A (ja) * 2003-04-28 2004-11-18 Sharp Corp 半導体装置およびその製造方法
JP2006203139A (ja) 2005-01-24 2006-08-03 Sharp Corp 半導体装置の製造方法
JP2006210758A (ja) 2005-01-31 2006-08-10 Kyushu Institute Of Technology 貫通電極を形成した半導体装置及びその製造方法
US7969015B2 (en) * 2005-06-14 2011-06-28 Cufer Asset Ltd. L.L.C. Inverse chip connector
US8405216B2 (en) * 2005-06-29 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for integrated circuits
US7648851B2 (en) * 2006-03-06 2010-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating backside illuminated image sensor
US9293418B2 (en) * 2007-07-03 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Backside through vias in a bonded structure
EP3032578B1 (de) * 2008-03-19 2021-01-13 IMEC vzw Verfahren zur herstellung von substratdurchgängen und zugehöriges halbleiterbauelement
US7939449B2 (en) * 2008-06-03 2011-05-10 Micron Technology, Inc. Methods of forming hybrid conductive vias including small dimension active surface ends and larger dimension back side ends
US8288872B2 (en) * 2008-08-05 2012-10-16 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon via layout
US8138036B2 (en) * 2008-08-08 2012-03-20 International Business Machines Corporation Through silicon via and method of fabricating same
US7956442B2 (en) * 2008-10-09 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Backside connection to TSVs having redistribution lines
US7928534B2 (en) * 2008-10-09 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Bond pad connection to redistribution lines having tapered profiles
US7989318B2 (en) * 2008-12-08 2011-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for stacking semiconductor dies
US8513119B2 (en) * 2008-12-10 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming bump structure having tapered sidewalls for stacked dies
US8264077B2 (en) * 2008-12-29 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Backside metal of redistribution line with silicide layer on through-silicon via of semiconductor chips
US8501587B2 (en) * 2009-01-13 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated chips and methods of fabrication thereof
US8158489B2 (en) * 2009-06-26 2012-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of TSV backside interconnects by modifying carrier wafers
US8203209B2 (en) * 2009-08-07 2012-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. Bond pad design for reducing the effect of package stress
US9190325B2 (en) * 2010-09-30 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. TSV formation
JP2012119601A (ja) 2010-12-03 2012-06-21 Nec Corp インターポーザ及び半導体装置
KR101719636B1 (ko) 2011-01-28 2017-04-05 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US20120193785A1 (en) * 2011-02-01 2012-08-02 Megica Corporation Multichip Packages
JP5832852B2 (ja) 2011-10-21 2015-12-16 浜松ホトニクス株式会社 光検出装置
US8896089B2 (en) * 2011-11-09 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Interposers for semiconductor devices and methods of manufacture thereof
US8803316B2 (en) * 2011-12-06 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. TSV structures and methods for forming the same
TWI467736B (zh) * 2012-01-04 2015-01-01 Univ Nat Chiao Tung 立體積體電路裝置
JP5925006B2 (ja) * 2012-03-26 2016-05-25 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US8956973B2 (en) * 2012-03-27 2015-02-17 International Business Machines Corporation Bottom-up plating of through-substrate vias
US9257392B2 (en) * 2012-04-11 2016-02-09 Mediatek Inc. Semiconductor package with through silicon via interconnect
JP5984134B2 (ja) * 2012-05-15 2016-09-06 ローム株式会社 半導体装置およびその製造方法、電子部品
JP6012262B2 (ja) 2012-05-31 2016-10-25 キヤノン株式会社 半導体装置の製造方法
JP2014003081A (ja) 2012-06-15 2014-01-09 Ps4 Luxco S A R L 半導体装置及びその製造方法
US20130334699A1 (en) * 2012-06-19 2013-12-19 Chien-Li Kuo Semiconductor device and fabricating method thereof
CN102779826A (zh) * 2012-08-15 2012-11-14 豪威科技(上海)有限公司 背照式cmos影像传感器
US8884400B2 (en) * 2012-12-27 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor in Post-Passivation structures and methods of forming the same
US9087821B2 (en) * 2013-07-16 2015-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonding with through substrate via (TSV)
US8860229B1 (en) * 2013-07-16 2014-10-14 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonding with through substrate via (TSV)
US9449898B2 (en) * 2013-07-31 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having backside interconnect structure through substrate via and method of forming the same
JP2015050339A (ja) 2013-09-02 2015-03-16 ソニー株式会社 半導体装置およびその製造方法
US9484325B2 (en) * 2013-10-09 2016-11-01 Invensas Corporation Interconnections for a substrate associated with a backside reveal
US9379074B2 (en) * 2013-11-22 2016-06-28 Invensas Corporation Die stacks with one or more bond via arrays of wire bond wires and with one or more arrays of bump interconnects
US9252080B1 (en) * 2014-10-15 2016-02-02 Globalfoundries Inc. Dielectric cover for a through silicon via
US10147682B2 (en) * 2015-11-30 2018-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for stacked logic performance improvement

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100237508A1 (en) 2009-03-17 2010-09-23 Kabushiki Kaisha Toshiba Power-supply wiring structure for multilayer wiring and method of manufacturing multilayer wiring
DE102011054908A1 (de) 2010-11-29 2012-05-31 Samsung Electronics Co., Ltd. Halbleitervorrichtung, Herstellungsverfahren dafür und Halbleitergehäuse mit dieser Halbleitervorrichtung
US20130015592A1 (en) 2011-07-12 2013-01-17 Intersil Americas Inc. Bond pad configurations for semiconductor dies
DE112013007038T5 (de) 2013-06-29 2016-01-28 Intel Corporation Zwischenverbindungsstruktur umfassend Metall-Rückseiten-Umverteilungsleitungen mit sehr kleinem Teilungsabstand kombiniert mit Durchkontaktierungen
DE102014111783A1 (de) 2014-07-17 2016-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Gestapelte integrierte schaltungen mit umverteilungsleitungen

Also Published As

Publication number Publication date
JP2019135799A (ja) 2019-08-15
US20210351134A1 (en) 2021-11-11
KR101929620B1 (ko) 2018-12-14
DE102016116094A1 (de) 2017-06-01
US20200161244A1 (en) 2020-05-21
CN106816426A (zh) 2017-06-09
JP2017103458A (ja) 2017-06-08
TWI628758B (zh) 2018-07-01
US20170154850A1 (en) 2017-06-01
TW201729364A (zh) 2017-08-16
JP7332342B2 (ja) 2023-08-23
US20190067200A1 (en) 2019-02-28
KR20170063345A (ko) 2017-06-08
US10147682B2 (en) 2018-12-04
US11107767B2 (en) 2021-08-31
US10566288B2 (en) 2020-02-18

Similar Documents

Publication Publication Date Title
DE102016116094B4 (de) Integrierter Chip und seine Herstellungsmethode
DE102015114903B4 (de) Dreidimensionale integrierte Schaltungsstruktur und gebondete Struktur
DE102019115275B4 (de) Halbleiter-Interconnect-Struktur und Verfahren
DE102015110731B4 (de) Hybride bondinselstruktur
DE102015114902B4 (de) Dreidimensionale integrierte Schaltungsstruktur und Verfahren zu deren Herstellung
DE102013103206B4 (de) Durchkontaktierungsstruktur sowie Verfahren
DE102012109484B4 (de) Gepackte Halbleitervorrichtung und Verfahren zum Packen der Halbleitervorrichtung
DE102019127076B4 (de) Filmstruktur für bondkontaktstelle und verfahren zu ihrer herstellung
US9812430B2 (en) Package on-package method
DE102013104397A1 (de) Halbleiterpackage und Verfahren zur Herstellung desselben
DE102016100764B4 (de) Halbleiter-bauelementstruktur
DE102016100258B4 (de) Verfahren zur Bildung einer Zwischenschicht für Kupferstrukturierung
DE102016101089B4 (de) Mehrfachaufprallprozess zum Bonden
DE102021100457B4 (de) Rückseiten- oder vorderseiten-substratdurchkontaktierungslandung (tsv-landung) auf metall
DE102019116376A1 (de) Package mit integrierter Schaltung und Verfahren zu seinem Bilden
DE102019117352A1 (de) Padstruktur zur verbesserten bondfähigkeit
US9768132B2 (en) Semiconductor structure and method of forming the same
DE102021104469A1 (de) Durchkontaktierung durch substrat mit aufweitungsprofil
DE102016117031B4 (de) Halbleiterstruktur und Herstellungsverfahren davon
DE102019125487B4 (de) Halbleitervorrichtung und herstellungsverfahren
DE102013103916B4 (de) Gehäuse mit Metall-Isolator-Metall-Kondensator
DE102016114897A1 (de) Metallsperr- und Bondinselstruktur

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final