DE102004022933A1 - Waferhalter für ein Halbleiterherstellungssystem - Google Patents

Waferhalter für ein Halbleiterherstellungssystem Download PDF

Info

Publication number
DE102004022933A1
DE102004022933A1 DE102004022933A DE102004022933A DE102004022933A1 DE 102004022933 A1 DE102004022933 A1 DE 102004022933A1 DE 102004022933 A DE102004022933 A DE 102004022933A DE 102004022933 A DE102004022933 A DE 102004022933A DE 102004022933 A1 DE102004022933 A1 DE 102004022933A1
Authority
DE
Germany
Prior art keywords
wafer
holder
holder body
semiconductor
ship
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE102004022933A
Other languages
English (en)
Inventor
Byung-Il Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Terasemicon Co Ltd
Original Assignee
Terasemicon Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Terasemicon Co Ltd filed Critical Terasemicon Co Ltd
Publication of DE102004022933A1 publication Critical patent/DE102004022933A1/de
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • H01L21/67309Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements characterized by the substrate support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67346Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders characterized by being specially adapted for supporting a single substrate or by comprising a stack of such individual supports
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Abstract

Die vorliegende Erfindung bezieht sich auf einen Waferhalter für ein Halbleiterherstellungssystem, der die Ausbeute und die Produktivität von Halbleitern dadurch verbessert, dass im Wesentlichen verhindert wird, dass ein Halbleiterwafer einer Prozessreaktion in dem Halbleiterherstellungssystem ausgesetzt wird, das ein Doppelschiff verwendet, und dass eine Ablagerung an der Rückseite durch den Waferhalter verhindert wird. Es ist ein Waferhalter für ein Halbleiterherstellungssystem vorgesehen, das ein Reaktionsrohr und ein Doppelschiff aufweist. Der Waferhalter ist mit einem Halterkörper zum Verbergen der Rückseite des Halbleiterwafers während eines Prozesses in dem Reaktionsrohr versehen, das einen abgedichteten Prozessraum bereitstellt. Der Halterkörper ist mit einer Waferhubvorrichtung versehen, von der ein Abschnitt von dem Halterkörper entkoppelt und mit ihm gekoppelt werden kann, so dass ein unterer Abschnitt des Halbleiterwafers durch das Doppelschiff gestützt ist, und der Halbleiterwafer kann von dem Waferkörper angehoben werden, wenn der Halbleiterwafer geladen/entladen wird. Ein Trennungsgrenzbereich zwischen dem Halterkörper und der Waferhubvorrichtung ist mit einer Gaszustromauffangfläche versehen, um einen Zustrom eines Reaktionsgases durch den Trennungsgrenzbereich zu verhindern, so dass die Waferhubvorrichtung mit dem Halterkörper gekoppelt und von ihm entkoppelt werden kann.

Description

  • Die vorliegende Erfindung bezieht sich auf einen Waferhalter für ein Halbleiterherstellungssystem und insbesondere auf einen Waferhalter für ein Halbleiterherstellungssystem, das die Ausbeute und die Produktivität von Halbleitern dadurch verbessert, dass im Wesentlichen verhindert wird, dass ein Halbleiterwafer einer Prozessreaktion bei dem Halbleiterherstellungssystem ausgesetzt wird, das ein Doppelschiff verwendet, und das die rückseitige Ablagerung durch den Waferhalter verhindert.
  • Im Allgemeinen wird ein Halbleiterherstellungssystem zum Verarbeiten eines Halbleiterwafers in eine Stapelwafer-Bauart und eine Einfachwafer-Bauart klassifiziert. Bei der Stapelwaferbauart ist ein Waferladeschiff zum Laden einer Vielzahl von Halbleiterwafer vorhanden, um so die Verarbeitbarkeit zu verbessern. Bei der Einfachwaferbauart ist die Verarbeitungszeit äußerst verkürzt, um den Halbleiterwafer Stück um Stück zu verarbeiten.
  • Da jedoch das herkömmliche Stapelhalbleiterherstellungssystem einen Schlitz aufweist, der einen Kantenabschnitt des Halbleiterwafers während eines Prozesses örtlich einnimmt, wird ein Film für den Halbleiterprozess an beiden Seiten des Halbleiterwafers, eines Ladeschiffes zum Stützen eines unteren Abschnittes des Halbleiterwafers und der Schlitze beim Ausbilden eines Filmes ausgebildet.
  • Wenn der Wafer entladen wird, nachdem der Filmausbildungsprozess zur Herstellung des Halbleiters abgeschlossen ist, dann wird dementsprechend ein Film zerstört, der bei dem Wafer und einem Schlitz vorhanden ist. Wenn der Film zerstört wird, dann werden Partikel erzeugt. Insbesondere werden vergleichsweise viele Partikel an einer Rückseite des Wafers erzeugt.
  • Da zusätzlich verschiedene Filme an der Rückseite aufgeschichtet sind, wird eine mechanische Spannung auf dem Halbleiterwafer wiederholt aufgebracht, und die Halbleiterwafer werden gebogen. Da die Filmregelmäßigkeit an der Rückseite des Halbleiterwafers verglichen mit der Filmregelmäßigkeit an der oberen Fläche des Halbleiterwafers stark reduziert ist, werden viele Prozessprobleme bei dem nachfolgenden Prozessen hervorgerufen, insbesondere bei einer Fotolithographie.
  • Daher haben die Erfinder ein Halbleiterherstellungssystem vorgeschlagen, das die Ausbildung eines Filmes an der Rückseite eines Halbleiterwafers während eines Filmausbildungsprozesses zum Herstellen eines Halbleiters im Wesentlichen verhindert, so dass die Produktionsausbeute verbessert ist und die Produktivität des gesamten Halbleiterherstellungsprozesses stark verbessert ist (Koreanische Patentanmeldung Nummer 10-2003-0091246).
  • Wie dies in der 1A gezeigt ist, ist das Halbleiterherstellungssystem mit einem Einfachwafer-Halbleiterherstellungssystem versehen, das ein Reaktionsrohr 1, ein Waferladedoppelschiff 9, eine Spalteinstelleinheit 5 (Antriebseinheit) und eine Gaszuführungseinheit aufweist. Das Reaktionsrohr 1 hat einen abgedichteten Prozessraum zum Durchführen eines Filmausbildungsprozesses auf einem Halbleiterwafer 100. Ein Waferladedoppelschiff 9 besteht aus einem ersten Waferladeschiff 3 und einem zweiten Waferladeschiff 4. Das erste Waferladeschiff 3 stützt einen Waferhalter 2, der in dem Prozessraum des Reaktionsrohres 1 angebracht ist, und der zumindest einen Halbleiterwafer 100 so laden und stützen kann, dass eine Ablagerung eines Filmes an der Rückseite des Halbleiterwafers 100 verhindert wird. Das zweite Waferladeschiff 4 hat eine zweite Waferstütze, die angrenzend an einer Innenseite oder einer Außenseite des ersten Waferladeschiffes 3 angebracht ist, und es ist so aufgebaut, dass es sich hinsichtlich des ersten Waferladeschiffes 3 exakt nach oben und nach unten bewegt, und es stützt einen Kantenabschnitt des Waferhalters 2. Die Spalteinstelleinheit 5 ist unter einem unteren Abschnitt des Waferladedoppelschiffes 9 angebracht und stützt untere Abschnitte des ersten Waferladeschiffes 3 und des zweiten Waferladeschiffes 4 jeweils unabhängig, und sie kann das erste Waferladeschiff 3 oder das zweite Waferladeschiff 4 hoch und runter bewegen, um einen Stützzustand des Halbleiterwafers relativ zu steuern. Die Gaszuführungseinheit führt zumindest ein Prozessgas dem Reaktionsrohr zu.
  • Wie dies in der 1B gezeigt, hat der Waferhalter 2 hierbei einen Halterkörper 6, einen Öffner 7 und eine Waferseitensicherung. Der Halterkörper 6 ist im Wesentlichen rund und flach geformt. Der Öffner 7 ist durch Ausschneiden des Abschnittes ausgebildet, der sich mit der Waferstütze 7 überschneidet und zwar als eine vorbestimmte Figur. Die Waferseitensicherung 8 ist an einer Plattenfläche des Waferhalters 6 ausgebildet und haftet dichtend an einer Seitenkante des Halbleiterwafers 100, so dass das Prozessgas nicht durch die Seitenkante des Halbleiterwafers 100 dringen kann. Die Waferseitensicherung 8 ist wie ein Ring ausgebildet, der von der Plattenfläche an der Kante des Halbleiterwafers 100 nach oben vorsteht, und zwar so weit wie die Dicke des Halbleiterwafers 100.
  • Da das Halbleiterherstellungssystem mit dem Waferhalter 2 und dem Doppelschiff 9, bei dem der Waferhalter 2 angewendet wird, keinen Halbleiterfilm an der Rückseite des Halbleiterwafers 100 ausbildet, kann ein fehlerhafter Prozess im Wesentlichen verhindert werden, der bei den nachfolgenden Prozessen aufgrund des Halbleiterfilmes hervorgerufen werden würde, der an der Rückseite des Halbleiterwafers abgelagert wäre.
  • Jedoch kann Halbleiterwafer 100 sehr geringfügig durch den Waferhalter 2 frei gelegt werden. Der Öffner 7 ist ein ausgestanzter Abschnitt, der so ausgebildet ist, dass er die Waferstütze des zweiten Waferladeschiffes 4 nach oben und nach unten bewegt.
  • Nachdem der Prozess abgeschlossen ist, sollte der Halbleiterwafer 100 anders gesagt von dem Waferhalter 2 beabstandet sein, um den Halbleiterwafer 100 zu/von dem Doppelschiff 9 zu laden/entladen, und es ist erforderlich, dass er von dem Waferhalter 2 auch während des Prozesses beabstandet ist, so dass das zweite Waferladeschiff so montiert ist, dass die Überlagerung mit dem Waferhalter 2 vermieden wird, und der Öffner 7 ist zum Betreiben des zweiten Waferladeschiffes ausgebildet.
  • Der Öffner 7 legt den kleinen Bereich des Halbleiterwafers 100 bei dem Prozess frei, und es besteht die Gefahr, dass ein Prozessfilm an dem kleinen Bereich während des Prozesses ausgebildet werden kann.
  • Dementsprechend richtet sich die vorliegende Erfindung auf einen Waferhalter für ein Halbleiterherstellungssystem, das im Wesentlichen eines oder mehrere Probleme aufgrund den Begrenzung und Nachteilen des dazugehörigen Stands der Technik bewältigt.
  • Es ist die Aufgabe der vorliegenden Erfindung, einen Waferhalter für ein Halbleiterherstellungssystem vorzusehen, der die Ausbeute und die Produktivität der Halbleiter dadurch verbessert, dass im Wesentlichen verhindert wird, dass ein Halbleiterwafer einer Prozessreaktion in dem Halbleiterherstellungssystem ausgesetzt wird, das ein Doppelschiff verwendet, und das eine Ablagerung eines Filmes an der Rückseite des Wafers unter Verwendung des Waferhalters verhindert wird.
  • Zusätzliche Vorteile und Merkmale der Erfindung sind in der folgenden Beschreibung dargelegt und werden dem Fachmann aus der folgenden Beschreibung ersichtlich oder können aus der praktischen Umsetzung der Erfindung ersehen werden. Die Merkmale und weitere Vorteile der Erfindung werden durch den Aufbau verwirklicht und erreicht, der insbesondere in der Figurenbeschreibung und den Ansprüchen davon sowie den beigefügten Zeichnungen dargelegt ist.
  • Um diese Aufgabe zu lösen und weitere Vorteile gemäß dem Zwecke der Erfindung zu erhalten, die hierbei im breiten Rahmen ausgeführt ist, ist ein Waferhalter für ein Halbleiterherstellungssystem vorgesehen, das ein Reaktionsrohr und ein Doppelschiff aufweist, wobei das Reaktionsrohr einem Halbleiterwafer einen abgedichteten Raum zum Durchführen eines Prozesses bereitstellt, das Doppelschiff in dem Prozessraum angebracht ist, der durch das Reaktionsrohr bereit gestellt wird, und zumindest einen Halbleiterwafer lädt, wobei das Doppelschiff aus einem ersten Waferladeschiff und einem zweiten Waferladeschiff besteht, wobei das erste Waferladeschiff und das zweite Waferladeschiff zu einander hoch und runter bewegt werden und von einander beabstandet sind, der Waferhalter an dem Doppelschiff angebracht ist, der Halbleiterwafer an dem Waferhalter angebracht ist, eine Rückseite des Halbleiterwafers während eines Prozesses verborgen wird, und der Waferhalter ist dadurch gekennzeichnet, dass: der Waferhalter mit einem Halterkörper zum Verbergen der Rückseite des Halbleiterwafers während eines Prozesses in dem Reaktionsrohr zum Bereitstellen eines abgedichteten Prozessraumes versehen ist, der Halterkörper mit einer Waferhubvorrichtung versehen ist, von der ein Abschnitt mit dem Halterkörper gekoppelt werden kann und von diesem entkoppelt werden kann, so dass ein unterer Abschnitt des Halbleiterwafers durch das Doppelschiff gestützt ist und der Halbleiterwafer von dem Waferkörper angehoben werden kann, wenn der Halbleiterwafer geladen/entladen wird, und ein Trennungsgrenzbereich zwischen dem Halterkörper und der Waferhubvorrichtung mit einer Gaszustromauffangfläche versehen ist, um einen Zustrom des Reaktionsgases durch den Trennungsgrenzbereich zu verhindern, so dass die Waferhubvorrichtung mit dem Halterkörper gekoppelt werden kann und von diesem entkoppelt werden kann.
  • Die Gaszustromauffangfläche ist aus abgeschrägten Flächen ausgebildet, die einander zugewandt sind, oder aus gebogenen und abgestuften Flächen, die kontinuierlich gebogen sind, oder aus der Kombination aus diesen, so dass der Zustrom des Reaktionsgases durch die Grenzfläche während des Prozesses verhindert wird, bei dem der Halterkörper und die Waferhubvorrichtung mit einander gekoppelt sind.
  • Gemäß der Waferhubvorrichtung wird der Halterkörper in eine Vielzahl Ausführungsbeispiele klassifiziert. Als das erste Ausführungsbeispiel haben die Waferhubvorrichtung Stützpole um Stützen von zumindest drei Punkten des Halbleiterwafers an einer Seitensicherung des Halterkörpers. Der Halterkörper ist mit Durchgangslöchern versehen, durch die Stützpole eingeführt werden können und aus denen sie entnommen werden können. Der Stützpol hat einen unteren Abschnitt, an dem eine Hubstange angeordnet ist, die durch ein zweites Waferladeschiff des Doppelschiffes betätigt wird.
  • Hierbei hat die Hubstange eine Einfügungsnute, die an ihrem unteren Abschnitt so ausgebildet ist, dass ein Endabschnitt der Waferstütze des zweiten Waferladeschiffes in die Einfügungsnut eingefügt und mit dieser gekoppelt wird.
  • Als ein gewandeltes Beispiel wird das zweite Waferladeschiff durch einen zusätzlichen Roboterarm ersetzt, wobei der Roboterarm eine Hubstange aufweist, um den Stützpol anzuheben.
  • Als das zweite Ausführungsbeispiel hat der Halterkörper eine Funktion der Wafervorrichtung, und erweist folgende auf: einen oberen Halterkörper, der durch das zweite Waferladeschiff betätigt wird, um zumindest den Halbleiterwafer anzuheben, und einen untere Halterkörper, der an dem ersten Waferladeschiff angebracht ist. Der obere Halterkörper hat einen Ausschnittsabschnitt zum vorsehen eines Einfügungsbereiches für einen Roboterarm zum Laden/Entladen des Halbleiterwafers. Der untere Halterkörper weist folgende auf: eine vorstehende Klinke, die mit dem Ausschnittsabschnitt gekoppelt; und einen Öffner an deren Kante, damit das zweite Waferladeschiff dem oberen Halterkörper anheben kann.
  • Als das dritte Ausführungsbeispiel hat der Halterkörper eine Funktion der Waferhubvorrichtung, und er hat eine Waferhubstütze, die mit dem zweiten Waferladeschiff des Doppelschiffes gekoppelt ist. Die Waferhubstütze ist so ausgebildet, dass sie sich im Inneren der Waferseitensicherung so erstreckt, dass zumindest eine Kante des Halbleiterwafers an der Waferhubstütze angeordnet ist. Das erste Waferladeschiff ist angrenzend an dem Öffner, der durch eine Trennung der Waferhubstütze vorgesehen ist, um den Halterkörper daran anordnen zu können.
  • Hierbei ist ein Waferhubkörper mit dem zweiten Waferladeschiff gekoppelt. Ein Kopplungsloch ist in einem unteren Abschnitt des Waferhubkörpers oder der Stütze des zweiten Waferladeschiffes ausgebildet, und ein Kopplungsstift, der in das Kopplungsloch einzufügen ist, ist an dem anderen von dem unteren Abschnitt des Waferkörpers und der Stütze des zweiten Waferladeschiffes ausgebildet.
  • Es ist offensichtlich, dass sowohl die vorstehende allgemeine Beschreibung als auch die nachfolgende detaillierte Beschreibung der vorliegenden Erfindung beispielhaft sind und die Erfindung entsprechend den Ansprüchen weiter beschreiben soll.
  • Die beigefügten Zeichnungen, die zum besseren Verständnis der Erfindung beigefügt sind und Bestandteil dieser Anmeldung sind, zeigen ein Ausführungsbeispiel (Ausführungsbeispiele) der Erfindung, und zusammen mit der Beschreibung dienen sie zum erläutern des Prinzips der Erfindung. Zu den Zeichnungen:
  • 1A zeigt eine schematische Schnittansicht eines herkömmlichen Halbleiterherstellungssystems, das mit einem Doppelschiff und einem Waferhalter versehen ist, um eine rückseitige Ablagerung zu verhindern;
  • 1B zeigt einen herkömmlichen Waferhalter, der für das herkömmliche Halbleiterherstellungssystem geeignet ist;
  • 2A zeigt eine perspektivische Ansicht eines ersten Ausführungsbeispieles eines Waferhalters des Halbleiterherstellungssystems gemäß der vorliegenden Erfindung;
  • 2B zeigt eine Querschnittsansicht entlang einer Linie A-A in der 2A;
  • 3A zeigt eine perspektivische Ansicht eines zweiten Ausführungsbeispieles eines Waferhalters des Halbleiterherstellungssystems gemäß der vorliegenden Erfindung;
  • 3B zeigt eine Querschnittsansicht entlang einer Linie B-B in der 3A;
  • 3C zeigt eine Querschnittansicht eines anderen Beispieles einer Gaszuflussauffangfläche des zweiten Ausführungsbeispieles;
  • 4A zeigt eine perspektivische Ansicht eines dritten Ausführungsbeispieles eines Waferhalters des Halbleiterherstellungssystems gemäß der vorliegenden Erfindung;
  • 4B zeigt eine Querschnittsansicht entlang einer Linie C-C in der 4A; und
  • 4C zeigt eine Querschnittsansicht eines anderen Beispieles einer Gaszuflussauffangfläche des dritten Ausführungsbeispieles.
  • Es ist wird nun auf die bevorzugten Ausführungsbeispiele der vorliegenden Erfindung Bezug genommen, von denen Beispiele in den beigefügten Zeichnungen dargestellt sind.
  • Vor allen Dingen ist der Waferhalter der vorliegenden Erfindung nicht auf den Ring-Waferhalter beschränkt, der in den Zeichnungen gezeigt ist.
  • Insbesondere ist eine Waferseitensicherung der Ringbauart lediglich ein Beispiel zum Darstellen der vorliegenden Erfindung. Die vorliegende Erfindung bezieht sich auf einen Waferhalterkörper. Es ist selbstverständlich, dass die vorliegende Erfindung außerdem auf einen Waferhalter anwendbar ist, der schräg ausgeführt ist oder der taschenförmig ausgeführt ist, die eine unterschiedliche Seitensicherung darstellen.
  • 2A zeigt eine perspektivische Ansicht eines ersten Ausführungsbeispieles eines Waferhalters des Halbleiterherstellungssystems gemäß der vorliegenden Erfindung. Die 2B zeigt eine Querschnittsansicht entlang einer Linie A-A in der 2A. Die 3A zeigt eine perspektivische Ansicht eines zweiten Ausführungsbeispieles eines Waferhalters des Halbleiterherstellungssystems gemäß der vorliegenden Erfindung. Die 3B zeigt eine Querschnittsansicht entlang einer Linie B-B in der 3A. Die 3C zeigt eine Querschnittsansicht eines anderen Beispieles einer Gaszustromauffangfläche des zweiten Ausführungsbeispieles. Die 4A zeigt eine perspektivische Ansicht eines dritten Ausführungsbeispieles eines Waferhalters des Halbleiterherstellungssystems gemäß der vorliegenden Erfindung. Die 4B zeigt eine Querschnittsansicht entlang einer Linie C-C in der 4A. Die 4C zeigt eine Querschnittsansicht eines anderen Beispieles einer Gaszustromauffangfläche des dritten Ausführungsbeispieles. Der Waferhalter der vorliegenden Erfindung hat ein Reaktionsrohr und ein Doppelschiff 9. Das Reaktionsrohr stellt einem Halbleiterwafer einen abgedichteten Raum zum Durchführen eines Prozesses bereit. Das Doppelschiff 9 ist in dem Prozessraum angebracht, der durch das Reaktionsrohr bereitgestellt wird, und es lädt zumindest einen Halbleiterwafer 100. Das Doppelschiff 9 besteht aus einem ersten Waferladeschiff 3 und einem zweiten Waferladeschiff 4. Das erste Waferladeschiff 3 und das zweite Waferladeschiff 4 bewegen sich zueinander nach oben und nach unten, und sie sind von einander beabstandet. Der Waferhalter ist an dem Doppelschiff 9 angebracht. Der Halbleiterwafer 100 ist an dem Waferhalter angebracht. Eine Rückseite des Halbleiterwafers 100 wird während eines Prozesses verborgen. Der Waferhalter ist mit einem Halterkörper 10 zum Verbergen der Rückseite des Halbleiterwafers 100 während eines Prozesses in dem Reaktionsrohr versehen, dass einen abgedichteten Prozessraum bereitstellt. Der Halterkörper 10 ist mit einer Waferhubvorrichtung 12 versehen, von der ein Abschnitt mit dem Halterkörper 10 gekoppelt und von diesen entkoppelt werden kann, so dass ein unterer Abschnitt des Halbleiterwafers 100 durch das Doppelschiff 9 gestützt ist, und der Halbleiterwafer 100 kann von dem Waferkörper 10 angehoben werden, wenn der Halbleiterwafer 100 geladen/entladen wird. Ein Trennungsgrenzbereich zwischen dem Halterkörper 10 und der Waferhubvorrichtung 12 ist mit einer Gaszustromauffangfläche versehen, um einen Zustrom des Reaktionsgases durch den Trennungsgrenzbereich zu verhindern, so dass die Waferhubvorrichtung 12 mit dem Halterkörper 10 gekoppelt und von diesen entkoppelt werden kann.
  • Bei dem entsprechenden Ausführungsbeispiel der vorliegenden Erfindung ist die Gaszustromauffangfläche 14 aus abgeschrägten Flächen 16 ausgebildet, so dass der Halterkörper 10 und die Waferhubvorrichtung 12 einander zugewandt sind, so dass der Zustrom des Reaktionsgases durch den Grenzbereich zwischen dem Halterkörper 10 und der Waferhubvorrichtung 12 während des Prozesses verhindert wird, bei dem der Halterkörper 10 und die Waferhubvorrichtung 12 miteinander gekoppelt sind.
  • Die Gaszustromauffangfläche 14 ist aus gebogenen und abgestuften Flächen 18 ausgebildet, die kontinuierlich so gebogen sind, dass der Halterkörper 10 und die Waferhubvorrichtung 12 miteinander gekoppelt und voneinander entkoppelt werden, um den Zustrom des Reaktionsgases durch den Grenzbereich während des Prozesses zu verhindern, bei dem der Halterkörper 10 und die Waferhubvorrichtung 12 miteinander gekoppelt sind.
  • Die vorliegende Erfindung wird in verschiedenen Ausführungsbeispielen gemäß der Waferhubvorrichtung 12 klassifiziert. Die 2A zeigt eine perspektivische Ansicht eines ersten Ausführungsbeispieles eines Waferhalters des Halbleiterherstellungssystems gemäß der vorliegenden Erfindung. Die 2B zeigt eine Querschnittsansicht entlang einer Linie A-A in der 2A.
  • Bei dem ersten Ausführungsbeispiel hat die Waferhubvorrichtung 12 des Waferhalters Stützpole 20 zum Stützen von zumindest drei Punkten des Halbleiterwafers 100 in einer Seitensicherung 8 des Halterkörpers 10. Der Halterkörper 10 ist mit Durchgangslöchern 22 versehen, in die die Stützpole 20 eingefügt werden können und aus denen sie entnommen werden können. Der Stützpol 20 hat einen unteren Abschnitt, bei dem eine Hubstange 24 angeordnet ist, die durch ein zweites Waferladeschiff 4 betätigt wird.
  • Die Waferhubvorrichtung ist eine fächerartige Waferhubvorrichtung. Der Stützpol 20, der von dem Becher entkoppelt ist, wird von dem Waferhalterkörper 10 angehoben, um den Halbleiterwafer zu stützen.
  • Im Falle der Becherbauart ist hierbei eine abgeschrägte Fläche oder eine gebogene und abstufte Fläche an den Stützpolen 20 und den Durchgangslöchern 22 als die Gaszustromauffangfläche 14 ausgebildet. Ihre Querschnittsform ist verformt.
  • Da eine derartige becherartige Waferhubvorrichtung bei einer Waferseitensicherung 8 des Halterkörpers 10 vorbereitet ist, wird im Wesentlichen verhindert, dass die Rückseite des Halbleiterwafers 100 dem Prozess während des Prozesses ausgesetzt wird, bei dem die becherartige Waferhubvorrichtung mit der Waferseitensicherung 8 zusammen arbeitet.
  • Anders gesagt wird die Seite des Halbleiterwafers 100 durch die Waferseitensicherung 8 verborgen, wenn der Halbleiterwafer 100 an dem Halterkörper 10 zum Durchführen des Prozesses angebracht ist, und der untere Abschnitt des Halbleiterwafers 100 wird durch den Halterkörper 10 verborgen. Gleichzeitig verhindert die Gaszustromauffangfläche 14 einen Zustrom des Gases durch die Kopplungsgrenzfläche zwischen den Stützpolen 20 und dem Halterkörper 10.
  • Hierbei ist der Halterkörper 10 an einer Waferstütze 26 des ersten Waferladeschiffes 3 angeordnet. Die Hubstange 24 ist an einem unteren Abschnitt des Stützpoles 20 angeordnet. Die Hubstange 24 ist mit einer Waferstütze 28 des zweiten Waferladeschiffes 4 gekoppelt.
  • Hierbei kann die Hubstange 24 rund sein, und sie hat eine Einfügungsnut 30, die an einem unteren Abschnitt davon ausgebildet ist. Ein Endabschnitt von der Waferstütze 28 des zweiten Waferladeschiffes 4 ist in die Einfügungsnut 30 eingefügt und mit dieser gekoppelt.
  • Gemäß dem ersten Ausführungsbeispiel wird die Ablagerung an der Rückseite des Halbleiterwafers 100 im Wesentlichen verhindert, da ausschließliche eine obere Fläche des Halbleiterwafers 100 während eines Prozesses freiliegt.
  • Als nächstes soll der Halbleiterwafer 100 von dem Halterkörper 10 beabstandet sein, um einen Roboterarm zu betreiben, wenn der Halbleiterwafer 100 geladen/entladen wird. Wenn sich das zweite Waferladeschiff 4 nach oben bewegt, bewegt die Waferstütze 28 hierbei die Hubstange 24 nach oben, so dass die Stützpole 20 den Halbleiterwafer 100 nach oben bewegen.
  • Bei der vorliegenden Erfindung kann ein Entwickler einen Schlitz oder eine Waferstütze eines ersten Waferladeschiffes und eines zweiten Waferladeschiffes vorsehen, wenn ein Doppelschiff entwickelt wird.
  • Dies ist dadurch begründet, dass die Waferstütze des zweiten Waferladeschiffes keinen direkten Kontakt mit dem Halbleiterwafer hat und ein herkömmlicher Öffner mit einem kleinen Kontaktbereich nicht erforderlich ist.
  • Folglich kann bei der vorliegenden Erfindung die Waferstütze des ersten Waferladeschiffes und des zweiten Waferladeschiffes im gewissen Maße abgewandelt werden. Die detaillierte Beschreibung wird weggelassen, da die Auslegung frei ist.
  • Bei dem ersten Ausführungsbeispiel kann das zweite Waferladeschiff durch einen Roboterarm aufgrund einer Verschiebungsdistanz ausgetauscht werden.
  • Der Grund ist folgendermaßen. Um den Stützpol zu verschieben, ist bei dem ersten Ausführungsbeispiel eine Hubstange erforderlich, die an dem unteren Abschnitt des Stützpoles anzuordnen ist, die eine vorbestimmte Länge aufweist, die zum Vorsehen eines Raumes erforderlich ist, in dem ein Roboterarm betätigt wird, wenn der Halbleiterwafer entladen wird. Angesichts einer Dicke der Hubstange, einer vorbestimmten Raumlänge des unteren Abschnittes des Waferhalters, eines erforderlichen Raumes für die Bewegung des Reaktionsgases und eines erforderlichen Raumes für den Roboterarm für jene Zeit, wenn der Halbleiterwafer geladen/entladen wird, besteht die Gefahr, dass die Verschiebungsdistanz zwischen den Halbleiterwafern bei einem Stapel-Halbleiterherstellungssystem größer werden kann.
  • Dementsprechend wird bei der Waferhubvorrichtung des ersten Ausführungsbeispieles der vorliegenden Erfindung das zweite Waferladeschiff durch einen zusätzlichen Roboterarm (nicht gezeigt) ersetzt, wobei der Roboterarm eine Hubstange aufweist, um den Stützpol nach oben anzuheben.
  • Die 3A zeigt nun eine perspektivische Ansicht eines zweiten Ausführungsbeispieles eines Waferhalters des Halbleiterherstellungssystems gemäß der vorliegenden Erfindung. Die 3B zeigt eine Querschnittsansicht entlang einer Linie B-B in der 3A. Die 3B zeigt eine Querschnittsansicht eines anderen Beispieles einer Gaszustromauffangfläche des zweiten Ausführungsbeispieles. Bei der Waferhubvorrichtung 12 des Waferhalters des zweiten Ausführungsbeispieles hat der Halterkörper 10 des Halterkörpers eine Funktion der Waferhubvorrichtung, und er ist einem oberen Haltekörper 32 und einem unteren Halterkörper 34 unterteilt. Der obere Halterkörper 32 wird durch das zweite Waferladeschiff betätigt und hebt zumindest den Halbleiterwafer 100 nach oben an. Der obere Halterkörper 32 hat einen Ausschnittsabschnitt 36, der einen Einfügungsbereich für einen Roboterarm zum Laden/Entladen des Halbleiterwafers 100 bereitstellt. Der untere Halterkörper 34 ist an dem ersten Waferladeschiff 3 angebracht. Der untere Halterkörper 34 hat eine vorstehende Klinke 38 und einen Öffner 40 an einer Kante davon. Die vorstehende Klinke 38 ist mit dem Ausschnittsabschnitt 36 gekoppelt. Der Öffner 40 ermöglicht der Waferstütze 28 des zweiten Waferladeschiffes 4, den oberen Halterkörper 32 anzuheben.
  • Im Gegensatz zu dem ersten Ausführungsbeispiel ist die Hubstange des ersten Ausführungsbeispieles durch den unteren Halterkörper 34 ersetzt worden. Da der untere Halterkörper 34 und der obere Halterkörper 32 während des Prozesses eng einander sind, ist die Verschiebungsdistanz zwischen den Waferhaltern weiter reduziert.
  • Um dieses zu erreichen, hat der obere Halterkörper 32 einen Ausschnittsabschnitt 36, der einen Raum zum Aufnehmen und Anheben eines Halbleiterwafers 100 sowie einen Betriebsraum für einen Roboterarm zum Laden/Entladen des Halbleiterwafers 100 bereitstellt. Der untere Halterkörper 34 hat eine vorstehende Klinke 38, die eine Waferhalterform ausbildet, indem sie sich in den Ausschnittsabschnitt 36 erstreckt.
  • Der untere Halterkörper 34 hat den Öffner 40, der an der Außenseiten der Waferseitensicherung 8 ausgebildet ist. Der untere Halterkörper 34 ist an der Waferstütze 26 des ersten Waferladeschiffes 3 angeordnet, und der obere Halterkörper 32 ist an der Waferstütze 26 des zweiten Waferladeschiffes 4 durch den Öffner 40 angeordnet.
  • Dementsprechend wird ausschließlich die obere Fläche des Halbleiterwafers 100 einem Prozess durch den oberen Halterkörper 32 und die vorstehende Klinke des untere Halterkörpers ausgesetzt, die mit dem Ausschnittsabschnitt des oberen Halters während des Prozesses gekoppelt ist.
  • Wenn der Halbleiter geladen/entladen wird, dann wird das zweite Waferladeschiff 4 angehoben, und deren Waferstütze 28 wird durch den Öffner 40 so angehoben, dass der obere Halterkörper 40 angehoben wird und der Ausschnittsabschnitt 36 freigelegt wird.
  • Der Roboterarm lädt/entlädt den Halbleiterwafer 100 durch den Ausschnittsabschnitt 36.
  • Währenddessen zeigt die 3C eine Querschnittsansicht von einem anderen Beispiel einer Gaszustromauffangfläche des zweiten Ausführungsbeispieles. Wie dies vorstehend beschrieben ist, ist die Gaszustromauffangfläche 14 dazu ausgebildet, den Zustrom des Reaktionsgases durch den Grenzbereichsspalt zwischen zwei getrennten Bauelementen zu verhindern. Die Gaszustromauffangfläche 14 kann als eine abgeschrägte Fläche 16 oder als eine gebogene und abgestufte Fläche 18 ausgebildet sein, wie dies in der 3B gezeigt ist. Die Gaszustromauffangfläche 14 kann mit einer Form aus einer Kombination der vorstehend beschriebenen Flächen 16 und 18 ausgebildet sein.
  • Die 4A zeigt nun eine perspektivische Ansicht eines dritten Ausführungsbeispieles eines Waferhalters des Halbleiterherstellungssystems gemäß der vorliegenden Erfindung. Die 4B zeigt eine Querschnittsansicht entlang einer Linie C-C in der 4A . Die 4C zeigt eine Querschnittsansicht von einem anderen Beispiel einer Gaszustromauffangfläche des dritten Ausführungsbeispieles. Bei dem dritten Ausführungsbeispiel hat der Halterkörper 10 eine Waferhubstütze 42, die eine Funktion der Waferhubvorrichtung 12 aufweist, und sie ist ein Abschnitt, der von dem Halterkörper 10 getrennt ist, und sie ist mit dem Doppelschiff 9 gekoppelt, und zwar insbesondere mit der Waferstütze 28 des zweiten Waferladeschiffes 4. Die Waferhubstütze 42 ist von dem Halterkörper 10 getrennt, und sie ist so ausgebildet, dass sie sich in das Innere der Waferseitensicherung 8 erstreckt, so dass zumindest eine Kante des Halbleiterwafers 100 an der Waferhubstütze 42 angeordnet ist. Währendessen grenzt das erste Waferladeschiff 3 an der Seite der Waferhubstütze 42 an, damit der Halterkörper daran angeordnet werden kann.
  • Hierbei ist die Waferhubstütze 42 mit dem zweiten Waferladeschiff gekoppelt. Ein Kopplungsloch 44 ist in einem unteren Abschnitt der Waferhubstütze 42 oder der Stüte 28 des zweiten Waferladeschiffes 4 ausgebildet. Ein Kopplungssift 46, der einzufügen ist, ist an dem anderen von dem unteren Abschnitt der Waferhubstütze 42 und der Stütze 28 des zweiten Waferladeschiffes 4 ausgebildet.
  • Im Gegensatz zu dem zweiten Ausführungsbeispiel ist der untere Waferhalterkörper, der von dem Halterkörper 10 des zweiten Ausführungsbeispieles getrennt ist, durch die Waferhubstütze 42 ersetzt, die horizontal unterteilt ist. Da der Halterkörper 10 und die Waferhubstütze 43 horizontal angeordnet sind, ist die Dicke gleich wie bei dem Waferhalter, so dass die Anordnungsdistanz zwischen den Waferhaltern weiter reduziert ist.
  • Die Waferhubstütze 42 kann für diesen Zweck mit dem Öffner 48 des Halterkörpers 10 gekoppelt und von ihm entkoppelt werden. Ein Abschnitt der Waferhubstütze 42 erstreckt sich in das Innere der Waferseitensicherung 8 und ist mit einem unteren Abschnitt eines Außenumfanges des Halbleiterwafers in Kontakt.
  • Die Waferhubstütze 42 ist mit der Waferstütze 28 des zweiten Ladeschiffes 4 gekoppelt. Die Waferstütze 26 des ersten Ladeschiffes 3 grenzt an den Öffner 48 an, der durch die Waferhubstütze 42 vorgesehen ist, so dass der untere Abschnitt des Halterkörpers 10 an der Waferstütze 26 angeordnet ist.
  • Dementsprechend ist die Waferhubstütze 42 mit dem Halterkörper 10 gekoppelt, so dass nur die obere Fläche des Halbleiterwafers 100 während eines Prozesses frei liegt.
  • Wenn der Halbleiterwafer 100 geladen/entladen wird, wird als nächstes die Waferstütze 28 des zweiten Waferladeschiffes 4 nach oben bewegt. Dann wird die Waferhubstütze 42 ebenfalls nach oben bewegt, die mit der Waferstütze 28 gekoppelt ist. Dann wird der Halbleiterwafer 100 ebenfalls nach oben bewegt, und der Roboterarm wird betrieben.
  • Währendessen haben die Waferhubstütze 42 und der Halterkörper 10 die abgeschrägte Gaszustromauffangfläche 14, die an deren oberen Abschnitt klein ist und die an deren unteren Abschnitt groß ist. Die 4C zeigt eine Querschnittsansicht eines anderen Beispieles einer Gaszustromauffangfläche des dritten Ausführungsbeispieles. In der 4C ist die gebogene und abgestufte Fläche 18 dargestellt, wie sie vorstehend beschrieben ist.
  • Gemäß dem entsprechenden Ausführungsbeispiel der vorliegenden Erfindung wird bei einem Stapel-Halbleiterherstellungssystem ausschließlich die obere Fläche des Halbleiterwafers einem Prozess ausgesetzt, auch wenn eine große Menge Halbleiterwafer geladen ist, so dass im Wesentlichen verhindert wird, das ein Film an der Rückseite des Halbleiterwafers ausgebildet wird.
  • Jedes der Ausführungsbeispiele hat ihre spezifischen Vorteile. Wenn z.B. das erste Ausführungsbeispiel mit dem dritten Ausführungsbeispiel verglichen wird, dann ist das erste Ausführungsbeispiel dadurch gekennzeichnet, dass ein Stützpol im Inneren einer Waferseitensicherung angeordnet ist und dass im Wesentlichen verhindert wird, dass der Halbleiter frei liegt, aber die Anordnungsdistanz zwischen den Halbleiterwafern ist aufgrund der Hubstange vergrößert. Das dritte Ausführungsbeispiel kann durch eine minimale Änderung der herkömmlichen Linie angewendet werden, auch wenn die herkömmliche Anordnungsdistanz zwischen den Halbleiterwafern ausreichend groß aufrecht erhalten wird, aber es wird eine Schnittlinie an der Waferseitensicherung aufgrund der zusätzlichen Waferhubstütze erzeugt, so dass es erforderlich ist, den Spalt der Schnittlinie genau einzustellen.
  • Gemäß der vorstehend beschriebenen vorliegenden Erfindung ist die Gaszustromauffangfläche enthalten, und ein Abschnitt des Waferhalters ist von dem Waferhalter getrennt, um eine Waferhubvorrichtung zu bilden, so dass es im Wesentlichen vermieden wird, dass der Halbleiterwafer durch den herkömmlichen Öffner dem Prozess ausgesetzt wird, und die Ausbeute und die Produktivität des Halbleiters wird verbessert.
  • Für den Fachmann ist offensichtlich, dass verschiedenen Abwandlungen und Änderungen der vorliegenden Erfindung geschaffen werden können. Es ist somit beabsichtigt, dass die vorliegende Erfindung die Abwandlungen und Änderungen dieser Erfindung innerhalb des Umfanges der beigefügten Ansprüche und ihrer äquivalente abdeckt.
  • Die vorliegende Erfindung bezieht sich auf einen Waferhalter für ein Halbleiterherstellungssystem, das die Ausbeute und die Produktivität der Halbleiter dadurch verbessert, dass im Wesentlichen verhindert wird, dass ein Halbleiter einer Prozessreaktion in dem Halbleiterherstellungssystem ausgesetzt wird, dass ein Doppelschiff verwendet, und dass eine Ablagerung an der Rückseite durch den Waferhalter verhindert wird. Es wird ein Waferhalter für ein Halbleiterherstellungssystem vorgesehen, das ein Reaktionsrohr und ein Doppelschiff aufweist. Der Waferhalter ist mit einem Halterkörper versehen, um die Rückseite des Halbleiterwafers während eines Prozesses in dem Reaktionsrohr zu verbergen, das einen abgedichteten Prozessraum bereitstellt. Der Halterkörper ist mit einer Waferhubvorrichtung versehen, von der ein Abschnitt von dem Halterkörper entkoppelt und mit ihm gekoppelt werden kann, so dass ein unterer Abschnitt des Halbleiterwafers durch das Doppelschiff gestützt ist, und der Halbleiterwafer kann von dem Waferkörper angehoben werden, wenn der Halbleiterwafer geladen/entladen wird. Ein Trennungsgrenzbereich zwischen dem Halterkörper und der Waferhubvorrichtung ist mit einer Gaszustromauffangfläche versehen, um einen Zustrom eines Reaktionsgases durch den Trennungsgrenzbereich zu verhindern, so dass die Waferhubvorrichtung mit dem Halterkörper gekoppelt und von ihm entkoppelt werden kann.

Claims (9)

  1. Waferhalter für ein Halbleiterherstellungssystem, das ein Reaktionsrohr und Doppelschiff aufweist, wobei das Reaktionsrohr einem Halbleiterwafer einen abgedichteten Raum zum Durchführen eines Prozesses bereitstellt, wobei das Doppelschiff in dem durch das Reaktionsrohr bereitgestellten Prozessraum angebracht ist und zumindest einen Halbleiterwafer lädt, wobei das Doppelschiff auf einem ersten Waferladeschiff und einem zweiten Waferladeschiff besteht, wobei das erste Waferladeschiff und das zweite Waferladeschiff relativ zueinander nach oben und nach unten bewegt werden und voneinander beabstandet sind, wobei der Waferhalter an dem Doppelschiff angebracht ist, der Halbleiterwafer an dem Waferhalter angebracht ist, eine Rückseite des Halbleiterwafers während eines Prozesses verborgen wird, der Waferhalter ist dadurch gekennzeichnet, dass: der Waferhalter mit einem Halterkörper zum Verbergen der Rückseite des Halbleiterwafers während eines Prozesses in dem Reaktionsrohr versehen ist, das einen abgedichteten Prozessraum bereitstellt; der Halterkörper mit einer Waferhubvorrichtung versehen ist, von der ein Abschnitt von dem Halterkörper entkoppelt und mit ihm gekoppelt werden kann, so dass ein unterer Abschnitt des Waferhalters durch das Doppelschiff gestützt ist, und der Halbleiterwafer kann von dem Waferkörper angehoben werden, wenn der Halbleiterwafer geladen/entladen wird; und ein Trennungsgrenzbereich zwischen dem Halterkörper und der Waferhubvorrichtung mit einer Gaszustromauffangfläche versehen ist, um einen Zustrom eines Reaktionsgases durch den Trennungsgrenzbereich zu verhindern, so dass die Waferhubvorrichtung mit dem Halterkörper gekoppelt und von ihm entkoppelt werden kann.
  2. Waferhalter gemäß Anspruch 1, wobei die Gaszustromauffangfläche aus abgeschrägten Flächen ausgebildet ist, die einander zugewandt sind.
  3. Waferhalter gemäß Anspruch 1, wobei die Gaszustromauffangfläche aus gebogenen und abgestuften Flächen ausgebildet ist, die kontinuierlich gebogen sind.
  4. Waferhalter gemäß Anspruch 1, wobei die Waferhubvorrichtung Stützpole zum Stützen von zumindest drei Punkten des Halbleiterwafers in einer Seitensicherung des Halterkörpers aufweist; der Halterkörper mit Durchgangslöchern versehen ist, in denen die Stützpole eingefügt und aus denen sie entnommen werden können; und der Stützpol einen unteren Abschnitt aufweist, bei dem eine Hubstange angeordnet ist, die durch ein zweites Waferladeschiff des Doppelschiffes betätigt wird.
  5. Waferhalter gemäß Anspruch 4, wobei die Hubstange eine Einfügungsnut aufweist, die an ihrem unteren Abschnitt ausgebildet ist, so dass ein Endabschnitt der Waferstütze des zweiten Waferladeschiffes in die Einfügungsnut eingefügt und mit ihr gekoppelt wird.
  6. Waferhalter gemäß Anspruch 4, wobei das zweite Waferladeschiff durch einen zusätzlichen Roboterarm ersetzt ist, und der Roboterarm eine Hubstange zum Anheben des Stützpoles nach oben aufweist.
  7. Waferhalter gemäß Anspruch 1, wobei der Halterkörper eine Funktion der Waferhubvorrichtung aufweist, mit: einem oberen Halterkörper, der durch das zweite Waferladeschiff betätigt wird, um zumindest den Halbleiterwafer anzuheben; und einem unteren Halterkörper, der an dem ersten Waferladeschiff angebracht ist, wobei der obere Halterkörper einen Ausschnittsabschnitt zum Bereitstellen eines Einfügungsbereiches für einen Roboterarm zum Laden/Entladen des Halbleiterwafers aufweist; und wobei der untere Halterkörper folgendes aufweist: eine vorstehende Klinke, die mit dem Ausschnittsabschnitt gekoppelt ist; und einen Öffner, der an einer Kante davon ausgebildet ist, damit das zweite Waferladeschiff den oberen Halterkörper anheben kann.
  8. Waferhalter gemäß Anspruch 1, wobei der Halterkörper eine Funktion der Waferhubvorrichtung aufweist und eine Waferhubstütze aufweist, die mit dem zweiten Waferladeschiff des Doppelschiffes gekoppelt ist; die Waferhubstütze so ausgebildet ist, dass sie sich in das Innere der Waferseitensicherung so erstreckt, dass zumindest eine Kante des Halbleiterwafers an der Waferhubstütze angeordnet ist; und das erste Waferladeschiff angrenzend an dem Öffner angeordnet ist, was durch die Trennung der Waferhubstütze vorgesehen wird, damit der Halterkörper daran angeordnet werden kann.
  9. Waferhalter gemäß Anspruch 8, wobei ein Waferhubkörper mit dem zweiten Waferladeschiff gekoppelt ist; und ein Kopplungsloch in einem unteren Abschnitt des Waferhubkörpers oder in der Stütze des zweiten Waferladeschiffes ausgebildet ist, und ein Kopplungsstift, der in das Kopplungsloch einzufügen ist, an dem anderen von dem unteren Abschnitt des Waferhubkörpers und der Stütze des zweiten Waferladeschiffes ausgebildet ist.
DE102004022933A 2004-01-15 2004-05-10 Waferhalter für ein Halbleiterherstellungssystem Withdrawn DE102004022933A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR2004-0003072 2004-01-15
KR1020040003072A KR100549273B1 (ko) 2004-01-15 2004-01-15 반도체 제조장치의 기판홀더

Publications (1)

Publication Number Publication Date
DE102004022933A1 true DE102004022933A1 (de) 2005-08-11

Family

ID=34747831

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102004022933A Withdrawn DE102004022933A1 (de) 2004-01-15 2004-05-10 Waferhalter für ein Halbleiterherstellungssystem

Country Status (4)

Country Link
US (1) US7207763B2 (de)
JP (1) JP3988948B2 (de)
KR (1) KR100549273B1 (de)
DE (1) DE102004022933A1 (de)

Families Citing this family (339)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060113806A1 (en) * 2004-11-29 2006-06-01 Asm Japan K.K. Wafer transfer mechanism
WO2007099786A1 (ja) * 2006-02-23 2007-09-07 Hitachi Kokusai Electric Inc. 基板処理装置及び半導体装置の製造方法
JP4313401B2 (ja) * 2007-04-24 2009-08-12 東京エレクトロン株式会社 縦型熱処理装置及び被処理基板移載方法
JP4922870B2 (ja) * 2007-08-31 2012-04-25 株式会社アルバック 基板リフト装置
US20110049100A1 (en) * 2008-01-16 2011-03-03 Charm Engineering Co., Ltd. Substrate holder, substrate supporting apparatus, substrate processing apparatus, and substrate processing method using the same
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011074274A1 (ja) * 2009-12-18 2011-06-23 株式会社ニコン 基板ホルダ対、デバイスの製造方法、分離装置、基板の分離方法、基板ホルダおよび基板位置合わせ装置
EP2360720A1 (de) * 2010-02-23 2011-08-24 Saint-Gobain Glass France Vorrichtung zum Positionieren von mindestens zwei Gegenständen, Anordnungen, insbesondere Mehrschichtkörperanordnungen, Anlage zum Prozessieren, insbesondere zum Selenisieren, von Gegenständen, Verfahren zum Positionieren von mindestens zwei Gegenständen
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR101889738B1 (ko) 2012-09-07 2018-09-20 세메스 주식회사 기판 처리 장치 및 방법
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
KR102097109B1 (ko) * 2013-01-21 2020-04-10 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR101390474B1 (ko) * 2013-04-08 2014-05-07 주식회사 유진테크 기판처리장치
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
CN104347460B (zh) * 2013-08-01 2017-03-15 中微半导体设备(上海)有限公司 晶圆传递腔室
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9370863B2 (en) * 2014-02-04 2016-06-21 Asm Ip Holding B.V. Anti-slip end-effector for transporting workpiece
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102141855B1 (ko) * 2014-03-31 2020-08-07 주식회사 선익시스템 마스크 얼라인 장치
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
TWI653701B (zh) * 2014-06-09 2019-03-11 日商荏原製作所股份有限公司 Substrate attaching and detaching portion for substrate holder, wet substrate processing device including the substrate attaching and detaching portion, substrate processing device, and substrate transfer method
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
KR102425455B1 (ko) * 2015-01-09 2022-07-27 어플라이드 머티어리얼스, 인코포레이티드 기판 이송 메커니즘들
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN106710442B (zh) * 2015-10-21 2021-01-22 京东方科技集团股份有限公司 背光源分离设备
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
KR101685096B1 (ko) * 2015-11-17 2016-12-12 주식회사 유진테크 기판처리장치 및 이를 이용한 기판처리방법
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
JP6539929B2 (ja) * 2015-12-21 2019-07-10 昭和電工株式会社 ウェハ支持機構、化学気相成長装置およびエピタキシャルウェハの製造方法
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11361981B2 (en) 2018-05-02 2022-06-14 Applied Materials, Inc. Batch substrate support with warped substrate capability
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529880A (ja) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TW202247335A (zh) * 2021-02-08 2022-12-01 荷蘭商Asm Ip私人控股有限公司 晶舟、用於對準及旋轉晶舟之總成、用於處理晶圓之垂直批式熔爐總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10259376A1 (de) * 2002-11-26 2004-06-17 Terasemicon Co., Ltd. Halbleiterherstellungsvorrichtung
DE10300139A1 (de) * 2002-11-30 2004-06-24 Terasemicon Co., Ltd. Halbleiterherstellungsanordnung

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5843233A (en) * 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5620525A (en) * 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5275521A (en) * 1991-07-03 1994-01-04 Tokyo Electron Sagami Limited Wafer transfer device
JPH05102056A (ja) * 1991-10-11 1993-04-23 Rohm Co Ltd ウエハー支持具
CH687987A5 (de) * 1993-05-03 1997-04-15 Balzers Hochvakuum Verfahren zur Erhoehung der Beschichtungsrate in einem Plasmaentladungsraum und Plasmakammer.
US6296735B1 (en) * 1993-05-03 2001-10-02 Unaxis Balzers Aktiengesellschaft Plasma treatment apparatus and method for operation same
CH687986A5 (de) * 1993-05-03 1997-04-15 Balzers Hochvakuum Plasmabehandlungsanlage und Verfahren zu deren Betrieb.
JP3151118B2 (ja) * 1995-03-01 2001-04-03 東京エレクトロン株式会社 熱処理装置
KR100431389B1 (ko) * 1995-11-06 2004-09-18 동경 엘렉트론 주식회사 반송장치,반송방법,처리장치및처리방법
JP3122364B2 (ja) * 1996-02-06 2001-01-09 東京エレクトロン株式会社 ウエハボート
US5947718A (en) * 1997-03-07 1999-09-07 Semitool, Inc. Semiconductor processing furnace
US6321680B2 (en) * 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6517303B1 (en) * 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
JP3398936B2 (ja) * 1999-04-09 2003-04-21 日本エー・エス・エム株式会社 半導体処理装置
US6485248B1 (en) * 2000-10-10 2002-11-26 Applied Materials, Inc. Multiple wafer lift apparatus and associated method
US6935466B2 (en) * 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
JP4467028B2 (ja) * 2001-05-11 2010-05-26 信越石英株式会社 縦型ウェーハ支持治具
JP2003031647A (ja) * 2001-07-19 2003-01-31 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US6646233B2 (en) * 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US6799940B2 (en) * 2002-12-05 2004-10-05 Tokyo Electron Limited Removable semiconductor wafer susceptor
KR100568456B1 (ko) * 2003-12-15 2006-04-07 주식회사 테라세미콘 고온공정용 반도체 제조장치

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10259376A1 (de) * 2002-11-26 2004-06-17 Terasemicon Co., Ltd. Halbleiterherstellungsvorrichtung
DE10300139A1 (de) * 2002-11-30 2004-06-24 Terasemicon Co., Ltd. Halbleiterherstellungsanordnung

Also Published As

Publication number Publication date
KR100549273B1 (ko) 2006-02-03
JP2005203727A (ja) 2005-07-28
KR20050075140A (ko) 2005-07-20
JP3988948B2 (ja) 2007-10-10
US20050158164A1 (en) 2005-07-21
US7207763B2 (en) 2007-04-24

Similar Documents

Publication Publication Date Title
DE102004022933A1 (de) Waferhalter für ein Halbleiterherstellungssystem
DE69836425T2 (de) Behälter
DE19649508B4 (de) Halter für Halbleiterplatten
DE112014001586B4 (de) Vorrichtung zur Bearbeitung von zwei oder mehreren Substraten in einem Batch-Prozess
DE10259376A1 (de) Halbleiterherstellungsvorrichtung
DE69913521T2 (de) Reaktor mit mikroumgebung zur verarbeitung eines mikroelektronischen werkstücks
DE102008034788A1 (de) Längs-Verstellvorrichtung für einen Fahrzeugsitz
DE3442844A1 (de) Vorrichtung und anlage fuer die durchfuehrung einer behandlung unter vakuum
DE112009004602T5 (de) Struktur zum Befestigen von metallischen Plattenabschnitten aneinander
DE102015216855A1 (de) Vorrichtung zum Zurücksetzen einer Motorbremse unter Verwendung von Dekomprimieren
DE102018123394A1 (de) Platte zur bildung eines gasströmungskanals für brennstoffzelle und brennstoffzellenstapel
DE102014007971A1 (de) Vorrichtung zum Halten von Solarmodulrahmen
EP2422362A1 (de) Transporteinrichtung mit einem auslenkbaren dichtrahmen
DE102015016002A1 (de) Verfahren und Vorrichtung zum thermischen Behandeln von Substraten sowie Aufnahmeeinheit für Substrate
DE69933806T2 (de) Vorrichtung zur Wärmebehandlung eines Substrats und Verfahren zur Trennung des Substrats von der Vorrichtung
DE102020207489B4 (de) Anti-auswurf-vorrichtung für wafereinheiten
WO2004056678A2 (de) Greifer und betriebsverfahren
DE102014102300A1 (de) Türverriegelungs-/Entriegelungsbetätigungsvorrichtung und Schließzylindereinbauteile für Fahrzeugtür
DE3620223C2 (de)
DE102004022932A1 (de) Halbleiterherstellungssystem und Verfahren zum Ausbilden eines Dünnfilms auf einem Wafer unter Verwendung desselben
DE102018113991A1 (de) Anordnung für einen Deckel eines Fahrzeugdachs sowie Fahrzeugdach für ein Kraftfahrzeug
DE112020003093T5 (de) Epitaxiewachstumseinrichtung und verfahren zur herstellung eines epitaxiewafers
DE102012109924A1 (de) Halbleiter-Verarbeitungssystem
DE102007057644A1 (de) Vakuumkammer auf Rahmenbasis für Beschichtungsanlagen
DE2405931A1 (de) Vorrichtung zum entfernen von abscheidungen auf den raendern einer platte

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8139 Disposal/non-payment of the annual fee