KR20050075140A - 반도체 제조장치의 기판홀더 - Google Patents

반도체 제조장치의 기판홀더 Download PDF

Info

Publication number
KR20050075140A
KR20050075140A KR1020040003072A KR20040003072A KR20050075140A KR 20050075140 A KR20050075140 A KR 20050075140A KR 1020040003072 A KR1020040003072 A KR 1020040003072A KR 20040003072 A KR20040003072 A KR 20040003072A KR 20050075140 A KR20050075140 A KR 20050075140A
Authority
KR
South Korea
Prior art keywords
substrate
holder
boat
semiconductor
holder body
Prior art date
Application number
KR1020040003072A
Other languages
English (en)
Other versions
KR100549273B1 (ko
Inventor
이병일
Original Assignee
주식회사 테라세미콘
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 테라세미콘 filed Critical 주식회사 테라세미콘
Priority to KR1020040003072A priority Critical patent/KR100549273B1/ko
Priority to US10/839,711 priority patent/US7207763B2/en
Priority to DE102004022933A priority patent/DE102004022933A1/de
Priority to JP2004145449A priority patent/JP3988948B2/ja
Publication of KR20050075140A publication Critical patent/KR20050075140A/ko
Application granted granted Critical
Publication of KR100549273B1 publication Critical patent/KR100549273B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • H01L21/67309Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements characterized by the substrate support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67346Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders characterized by being specially adapted for supporting a single substrate or by comprising a stack of such individual supports
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Abstract

본 발명에서는 듀얼보트가 사용되어 기판홀더를 통해 백사이드 증착을 방지시킨 반도체 제조장치에 있어서 공정시 반도체 기판이 공정반응에 노출되는 것을 원천적으로 방지시킴으로써, 반도체 제조 수율과 생산성을 더욱 향상시킨 반도체 제조장치의 기판홀더가 개시된다.
이를 위한 본 발명은 반도체 기판에 공정을 진행할 수 있도록 밀폐된 공간을 제공하는 반응튜브가 마련되고, 이 반응튜브가 제공하는 공정공간 내에 장착되어 적어도 하나의 반도체 기판이 로딩되며 상호 상하로 이동되는 제1기판 로딩용 보트와 제2기판 로딩용 보트에 의해 간격이 제공되는 듀얼보트가 마련됨과 더불어, 이 듀얼보트에 반도체 기판이 안착되는 기판홀더가 안착되어져 공정진행 중 반도체 기판의 후면이 은폐되는 반도체 제조장치의 기판홀더에 있어서: 상기 기판홀더는 밀폐된 공정공간을 제공하는 반응튜브 내에서 공정진행 중 반도체 기판의 후면이 은폐되도록 홀더본체가 마련되고, 반도체 기판의 로딩/언로딩 시에는 홀더본체로부터 반도체 기판이 듀얼보트를 통해 저부가 지지되어 승강되게 상기 홀더본체에는 그 일부가 홀더본체에서 분리 결합되는 기판승강구가 형성됨과 더불어, 이 홀더본체와 기판승강구의 분리 경계부에는 여기로부터의 반응가스 유입이 방해되게 가스유입 차단면이 형성되어 맞물리게 분리결합되는 것이다.

Description

반도체 제조장치의 기판홀더{Wafer-Holder for Semiconductor Manufacturing Process}
본 발명은 반도체 제조장치의 기판홀더에 관한 것으로, 구체적으로는 듀얼보트가 사용되어 기판홀더를 통해 백사이드 증착을 방지시킨 반도체 제조장치에 있어서 공정시 반도체 기판이 공정반응에 노출되는 것을 원천적으로 방지시킴으로써, 반도체 제조 수율과 생산성을 더욱 향상시킨 반도체 제조장치의 기판홀더에 관한 것이다.
일반적으로 반도체 기판을 공정처리하는 반도체 제조장치는 공정 처리능력을 향상시키기 위해서 내부에 반도체 기판을 다량으로 로딩하기 위한 기판 로딩용 보트를 포함하는 배치식과 공정시간을 극도로 감소시키기 위해 한 장씩 공정을 진행하는 매엽식이 있다.
그러나, 종래의 배치식 반도체 제조장치는 공정을 진행할 때 슬롯이 반도체 기판의 가장자리 부분을 국부적으로 거치하고 있기 때문에, 예를 들어 막형성을 하는 공정 중에 반도체 기판의 양면 및 반도체 기판의 하부를 지지하는 로딩용 보트 및 슬롯들 등에도 모두 반도체 공정용 막이 형성된다.
따라서, 반도체 제조용 막 공정이 완료된 후 기판을 언로딩시킬때, 기판과 슬롯에 일체로 연결된 막이 파쇄되며, 파쇄시 파티클이 발생되고 기판의 백사이드에는 비교적 많은 파티클이 발생된다.
또한, 여러가지 막이 뒷면에 중첩되어 입혀지기 때문에, 기계적 스트레스가 점점 더해져 반도체 기판이 휘는 현상이 발생됨과 더불어, 반도체 기판 백사이드의 막 균일성은 상면의 막 균일성에 비해 현저히 감소하기 때문에 후속공정, 특히 사진공정(Photolithography)에 많은 공정 문제를 발생시킨다.
이에 본 출원인은 반도체 제조용 막형성 공정 중 반도체 기판의 후면(백사이드)에 막의 생성을 근본적으로 차단시켜, 수율을 향상시키고 전체 반도체 제조공정의 생산성을 대폭 향상 시키는 반도체 제조장치(출원번호 10-2003-0091246)를 출원한바 있다.
이것은 예시도면 도 1a 와 같이, 반도체 기판에 막형성 공정을 진행할 수 있도록 밀폐된 공간을 제공하는 반응튜브(1)와: 반응튜브 내의 공정 공간 내에 장착되어 적어도 하나의 반도체 기판(100)을 로딩할 수 있으며 반도체 기판(100)의 백사이드가 증착되지 않도록 지지하는 기판홀더(2)를 지지하는 제1기판 로딩용 보트(3)와 이 제1기판 로딩용 보트(3)의 내측 또는 외측으로 인접하여 제1기판 로딩용 보트(3)에 대해서 상하로 미세하게 움직일 수 있도록 구성되며 반도체 기판의 가장자리 부분을 독립적으로 지지하는 기판지지대를 포함하는 제2기판 로딩용 보트(4)로 구성된 기판 로딩용 듀얼보트(9)와: 이 기판 로딩용 듀얼보트(9)의 하부에 설치되어 제1기판 로딩용 보트(3) 및 제2기판 로딩용 보트(4)의 하부를 각각 독립적으로 지지하면서 제1기판 로딩용 보트와 제2기판 로딩용 보트 중 적어도 어느 하나를 상하로 승강시켜 반도체 기판의 지지 상태를 상대적으로 조절할 수 있는 간격조절장치(5.구동장치)와: 반응챔버 내에 공정에 필요한 적어도 하나의 공정가스를 공급하는 가스공급장치가 포함되어 이루어진 것이다.
여기서, 기판홀더(2)는 예시도면 도 1b 와 같이 실질적으로 원형판상인 홀더본체(6)와: 기판지지부 부분이 중첩되는 부분을 소정의 도형으로 절개하여 형성된 열개부(7)와: 홀더본체(6)의 판면 상에 형성되어 반도체 기판(100)의 측부 가장자리 부분을 거의 밀착하여 공정가스가 통하지 못하도록 형성된 기판 측부가드부(8)를 포함하며, 기판 측부가드부(8)는 반도체 기판(100)의 가장자리 끝부분을 따라서 홀더본체(6)의 판면으로부터 반도체 기판(100)의 두께 높이만큼 돌출 형성되는 일종의 링형으로 형성된 것이다.
이러한 기판홀더(2)와 이 기판홀더(2)가 적용되는 듀얼보트(9)를 갖는 반도체 제조장치를 통해 반도체 기판(100)의 후면에는 반도체 막이 형성되지 않으므로, 반도체 기판의 후면에 증착되는 만도체 막으로 인하여 후속공정에서 발생되는 공정불량을 근본적으로 차단할 수 있는 것이다.
그런데, 상기 기판홀더(2)를 통하여도 반도체 기판이 미세하게 노출되는 부위가 존재하며, 이것은 바로 제2기판로딩용보트(4)의 기판지지부를 승강시키기 위하여 형성된 천공부위인 열개부(7)이다.
즉, 공정완료 후 반도체 기판(100)을 듀얼보트(9)에 언로딩/로딩하기 위하여 반도체 기판(100)은 기판홀더(2)로부터 이격되어야 하고, 공정진행중에도 기판홀더로부터 이격시킬 필요가 있는 관계로, 기판홀더와의 간섭을 회피하여 제2기판로딩용보트가 조합되어 있으며, 제2기판로딩보트의 동작을 위해 열개부(7)가 형성되어 있다.
이 열개부(7)에 의해 반도체 기판(100)의 미세구역이 공정에 노출되어 있으며, 공정진행 중 이 미세구역에 공정막이 형성될 우려를 전혀 배제할 수는 없는 것이다.
이에 본 발명은 듀얼보트가 사용되어 기판홀더를 통해 백사이드 증착을 방지시킨 반도체 제조장치에 있어서 공정시 반도체 기판이 공정반응에 노출되는 것을 원천적으로 방지시킴으로써, 반도체 제조 수율과 생산성을 더욱 향상시킨 반도체 제조장치의 기판홀더를 제공함에 그 목적이 있는 것이다.
이를 위한 본 발명은 반도체 기판에 공정을 진행할 수 있도록 밀폐된 공간을 제공하는 반응튜브가 마련되고, 이 반응튜브가 제공하는 공정공간 내에 장착되어 적어도 하나의 반도체 기판이 로딩되며 상호 상하로 이동되는 제1기판 로딩용 보트와 제2기판 로딩용 보트에 의해 간격이 제공되는 듀얼보트가 마련됨과 더불어, 이 듀얼보트에 반도체 기판이 안착되는 기판홀더가 안착되어져 공정진행 중 반도체 기판의 후면이 은폐되는 반도체 제조장치의 기판홀더에 있어서:
상기 기판홀더는 밀폐된 공정공간을 제공하는 반응튜브 내에서 공정진행 중 반도체 기판의 후면이 은폐되도록 홀더본체가 마련되고, 반도체 기판의 로딩/언로딩 시에는 홀더본체로부터 반도체 기판이 듀얼보트를 통해 저부가 지지되어 승강되게 상기 홀더본체에는 그 일부가 홀더본체에서 분리 결합되는 기판승강구가 형성됨과 더불어, 이 홀더본체와 기판승강구의 분리 경계부에는 여기로부터의 반응가스 유입이 방해되게 가스유입 차단면이 형성되어 맞물리게 분리결합되는 것을 특징으로 하는 반도체 제조장치의 기판홀더이다.
상기 가스유입 차단면은 서로 대향되는 테이퍼면이나, 연속되어 절곡된 절곡계단면 또는 이들이 조합되어 홀더본체와 기판승강구가 결합된 공정진행 중 경계면으로부터의 반응가스 유입을 차단한다.
상기 기판승강구에 의해 본 발명의 홀더본체는 다수의 실시예로 구분되며, 제1의 실시예로서 기판승강구는 홀더본체의 측부가드부 내측에 반도체 기판을 적어도 3점 지지하는 지지봉으로 마련되고 홀더본체에는 상기 지지봉이 삽탈되는 관통홀이 형성되며, 이 지지봉의 저부에는 듀얼보트의 제2기판로딩용보트에 의해 작동되는 승강로드가 배치된 것을 특징으로 한다.
여기서, 승강로드는 그 저부에 삽착홈이 형성되고 이 삽착홈에 제2기판 로딩용보트의 기판지지부의 단부가 삽착되어 결합되는 것을 특징으로 한다.
또한, 변형례로는 제2기판로딩용보트에 대체되어 별도의 로봇아암이 배치되고 이 로봇아암에 승강로드가 포함되어 지지봉을 승강시키는 것을 특징으로 한다.
한편, 제2의 실시예로서 기판승강구는 홀더본체가 상하로 2분할되고 제2기판로딩용 보트에 의해 작동되는 상부 홀더본체는 최소한 반도체 기판을 승강시키면서 반도체 기판의 로딩/언로딩을 위한 로봇아암의 삽입면적을 제공하는 구역으로 절개부가 형성되고, 제1기판 로딩용 보트에 장착되는 하부홀더본체에는 상기 상기 절개부에 맞물리는 돌출턱부가 형성됨과 더불어 그 외주부에는 상기 제2기판 로딩용 보트를 통해 상기 상부홀더본체의 승강이 수행되게 열개부가 형성된 것을 특징으로 한다.
다음으로, 제3의 실시예로서 기판승강구는 듀얼보트가 결합되는 홀더본체 부위가 분리되어 제2기판 로딩용보트와 결합되는 기판승강지지대가 형성되며, 이 기판승강지지대는 홀더본체에서 분리되어 적어도 반도체 기판의 외주부를 거치하게 기판 측부 가드부 내측으로 연장되어 형성되는 한편, 제1기판 로딩용보트는 상기 기판승강지지대의 분리가 제공하는 열개부에 이웃하여 홀더본체를 거치시키는 것을 특징으로 한다.
여기서, 기판승강구본체는 그 저부와 제2기판 로딩용보트의 지지부 중 어느 하나에 결합홀이 형성되고 다른 하나에 이 결합홀과 삽착되는 결합핀이 형성되어 기판승강구본체와 제2기판 로딩용보트가 결합되는 것을 특징으로 한다.
이하, 이 발명이 속하는 기술분야에서 통상의 지식을 갖는 자가 이 발명을 용이하게 실시할 수 있을 정도로 상세히 설명하기 위하여, 이 발명의 가장 바람직한 실시예를 첨부된 도면을 참조로 하여 상세히 설명하기로 한다. 이 발명의 목적, 작용효과를 포함하여 기타 다른 목적들, 특징점들, 그리고 동작상의 이점들이 바람직한 실시예의 설명에 의해 보다 명확해질 것이다.
참고로 여기에서 개시되는 실시예는 여러가지 실시가능한 예 중에서 당업자의 이해를 돕기 위하여 가장 바람직한 예를 선정하여 제시한 것일뿐, 이 발명의 기술적 사상이 반드시 이 실시예에 의해서 한정되거나 제한되는 것은 아니고, 본 발명의 기술적 사상을 벗어나지 않는 범위내에서 다양한 변화와 변경이 가능함은 물론, 균등한 타의 실시예가 가능함을 밝혀 둔다.
먼저, 본 발명의 기판홀더는 도시된 링형의 기판홀더에 국한되지 않음을 밝혀둔다.
특히, 기판 측부 가드부는 본 발명을 설명을 위하여 링형으로 이루어진 하나의 예를 나타내었을 뿐이고, 본 발명은 기판홀더 본체에 관련된 것으로 다른 측부가드를 나타낸 테이퍼형이나 포켓타입의 기판홀더에도 본 발명이 적용되어짐은 당연하다.
예시도면 도 2 내지 도 4 는 본 발명에 따른 반도체 기판홀더의 각 실시예를 나타낸 사시설명도와 그 작동상태를 나타낸 개념도로서, 본 발명은 반도체 기판에 공정을 진행할 수 있도록 밀폐된 공간을 제공하는 반응튜브가 마련되고, 이 반응튜브가 제공하는 공정공간 내에 장착되어 적어도 하나의 반도체 기판(100)이 로딩되며 상호 상하로 이동되는 제1기판 로딩용보트(3)와 제2기판 로딩용보트(4)에 의해 간격이 제공되는 듀얼보트가 마련됨과 더불어, 이 듀얼보트에 반도체 기판이 안착되는 기판홀더(2)가 안착되어져 공정진행 중 반도체 기판의 후면이 은폐되는 반도체 제조장치의 기판홀더에 있어서:
상기 기판홀더는 밀폐된 공정공간을 제공하는 반응튜브 내에서 공정진행 중 반도체 기판의 후면이 은폐되도록 홀더본체(10)가 마련되고, 반도체 기판(100)의 로딩/언로딩 시에는 홀더본체(10)로부터 반도체 기판이 듀얼보트(9)를 통해 저부가 지지되어 승강되게 상기 홀더본체(10)에는 그 일부가 홀더본체(10)에서 분리 결합되는 기판승강구(12)가 형성됨과 더불어, 이 홀더본체(10)와 기판승강구(12)의 분리 경계부에는 여기로부터의 반응가스 유입이 방해되게 가스유입 차단면(14)이 형성되어 맞물리게 분리결합되는 것을 특징으로 하는 반도체 제조장치의 기판홀더이다.
이러한 본 발명의 각 실시예에 있어서, 공통적으로 상기 가스유입 차단면(14)은 홀더본체(10)와 기판승강구(12)가 결합된 공정진행 중 경계면으로부터의 반응가스 유입을 차단하게 기판승강구와 홀더본체가 서로 대향되는 테이퍼면(16)으로 맞물리게 분리결합되는 것을 특징으로 한다.
또한, 상기 가스유입 차단면(14)은 홀더본체(10)와 기판승강구(12)가 결합된 공정진행 중 경계면으로부터의 반응가스 유입을 차단하게 기판승강구와 홀더본체가 연속되어 절곡된 절곡계단면(18)으로 맞물리게 분리결합되는 것을 특징으로 한다.
이러한 본 발명은 상기 기판승강구에 의해 각 실시예로 구분되며, 이 중 예시도면 도 2 는 본 발명에 따른 반도체 제조장치 기판홀더의 제1의 실시예를 나타낸 도면이다.
즉 제1실시예로서 기판홀더의 기판승강구(12)는 홀더본체(10)의 기판 측부 가드부(8) 내측에 반도체 기판(100)을 적어도 3점 지지하는 지지봉(20)으로 마련되고 홀더본체(10)에는 상기 지지봉이 삽탈되는 관통홀(22)이 형성되며, 이 지지봉(20)의 저부에는 제2기판로딩용보트(4)에 의해 작동되는 승강로드(24)가 배치된 것이다.
이것은 캡타입의 기판승강구를 나타내며, 분리된 캡인 지지봉(20)이 기판홀더본체(10)로부터 승강되어져 반도체 기판을 지지하게 된다.
여기서 캡타입은 상기 지지봉(20)과 관통홀(22)에 가스유입 차단면(14)으로서 테이퍼면이나 절곡계단면이 형성되어 그 단면형상의 변형이 있기 때문이다.
이러한 캡타입의 기판승강구는 홀더본체(10)의 기판 측부 가드부(8) 내측에 마련되므로, 기판 측부 가드부(8)와 연동되어 공정진행시 반도체 기판(100)의 후면이 공정에 노출되는 것을 원천적으로 방지시키게 된다.
즉, 공정진행을 위해 홀더본체(10)에 반도체 기판(100)이 안착되면, 측부는 기판 측부 가드부(8)에 의해 은폐되고, 그 저부는 홀더본체(10)에 의해 은폐됨과 더불어, 가스유입 차단면(14)은 지지봉(20)과 기판홀더 본체의 결합 경계면으로부터 가스가 유입되는 것을 방지시키고 있는 것이다.
이때, 홀더본체(10)는 제1기판 로딩용보트(3)의 기판지지부(26)에 거치되어 있고, 상기 지지봉(20)의 저부에는 승강로드(24)가 배치되며, 승강로드(24)는 제2기판 로딩용보트(4)의 기판지지부(28)에 결합되어 있다.
여기서, 승강로드(24)는 원형일 수도 있으며 그 저부에 삽착홈(30)이 형성되고 이 삽착홈(30)에 제2기판 로딩용보트(4)의 기판지지부(28)의 단부가 삽착되어 결합되는 것을 특징으로 한다.
이러한 제1실시예에 따라, 공정진행 중에는 반도체 기판(100)의 상면만이 노출되어 공정이 진행되므로, 반도체 기판(100) 후면의 증착이 원천적으로 방지되는 것이다.
다음으로, 반도체 기판(100) 언로딩/로딩시에 로봇암의 작동을 위해서는 홀더본체(10)로부터 반도체 기판(100)이 이격되어야 하며, 이때 제2기판 로딩용보트(4)가 상승되면서 기판지지부(28)가 승강로드(24)를 상승시키고, 이에 의해 지지봉(20)은 반도체 기판(100)을 상승시키게 되는 것이다.
이러한 본 발명에서는 듀얼보트에 있어서, 제1기판 로딩용 보트 및 제2기판 로딩용보트의 슬릿이나 기판지지부의 설계자유도를 갖게된다.
이것은 특히 제2기판로딩용보트의 기판지지부가 직접 반도체기판과 접촉되지 아니하고, 미세접촉면적이 고려된 종래 열개부 확보가 필요치 않기 때문이다.
이러한 이유로, 본 발명에서 특히 제1기판로딩용보트와 제2기판로딩용보트의 기판지지부의 어느 정도 변경이 허락되며, 그 자유도에 의해 상세설명은 생략한다.
다만, 제1의 실시예에서는 간격 배치상 제2기판로딩용보트가 로봇아암으로 대체될 수 있다.
이것은 제1의 실시예에서 지지봉을 밀어내기 위하여 하부에 반도체기판의 언로딩시 로봇암이 작동될 공간을 제공하는 필요길이를 갖는 승강로드가 필요하고 승강로드의 두께와 기판홀더 저부에서의 소정의 이격길이와 더불어, 반응가스의 유동을 위한 필요 공간부를 감안하고, 로딩/언로딩시 로봇아암의 필요작업공간부를 감안할 때, 배치형 반도체 제조장치에서 반도체 기판의 배열간격이 멀어질 우려가 있기 때문이다.
따라서, 본 발명의 제1실시예에서 승강작동구는 제2기판로딩용 보트에 대체되어 별도의 로봇아암(미도시)이 배치되고 이 로봇아암에 승강로드가 포함되어 지지봉을 승강시키는 것을 특징으로 한다.
다음으로, 예시도면 도 3 은 본 발명에 따른 반도체 제조장치 기판홀더의 제2의 실시예를 나타낸 사시설명도와 단면설명도로서, 제2의 실시예로서 기판홀더의 기판승강구(12)는 홀더본체(10)가 상하로 2분할되어 제2기판 로딩용보트(4)에 의해 작동되는 상부 홀더본체(32)는 최소한 반도체 기판(10)을 승강시키면서 반도체 기판(100)의 로딩/언로딩을 위한 로봇아암의 삽입면적을 제공하는 구역으로 절개부(36)가 형성되고, 제1기판 로딩용보트(3)에 장착되는 하부 홀더본체(34)에는 상기 상기 절개부(36)에 맞물려 이 절개부에 연장되어 기판홀더형상을 이루도록 돌출턱부(38)가 형성됨과 더불어 그 외주부에는 상기 제2기판 로딩용보트(4)의 기판지지부(28)를 통해 상기 상부 홀더본체(32)의 승강이 수행되게 열개부(40)가 형성된 것을 특징으로 한다.
이것은 제1실시예와는 달리 제1실시예의 승강로드를 분할된 하부 홀더본체(34)가 담당하게 되며, 하부 홀더본체(34)와 상부 홀더본체(32)는 공정진행시 서로 밀접되므로, 기판홀더의 배치간격을 좀 더 감소시키게 된다.
이를 위한 상부 홀더본체(32)에는 반도체 기판(100)이 안착되어 이를 승강시키는 면적과 더불어, 로봇아암의 작동공간을 제공하는 절개부(36)가 형성되며, 하부 홀더본체(34)에는 이 절개부(36)를 연장하여 기판홀더형상을 이루는 돌출턱부(38)가 형성되어 있다.
그리고, 하부 홀더본체(34)에는 기판 측부 가드부(8) 외측으로 열개부(40)가 형성되어, 하부 홀더본체(34)는 제1기판 로딩용보트(3)의 기판지지부(26)에 거치되고, 열개부(40)를 통해 상부 홀더본체(32)가 제2기판 로딩용보트(4)의 기판지지부(28)에 거치된다.
따라서, 공정진행 중에는 상부 홀더본체(32)와 상부 홀더본체의 절개부를 감당하는 하부 홀더본체의 돌출턱부(38)에 의해 반도체 기판(100)의 상면만이 공정에 노출된다.
뒤이어 반도체 기판의 언로딩/로딩시에는 제2기판 로딩용보트(4)가 승강되고, 이것의 기판지지부(28)는 열개부(40)를 통해 승강되며, 이에 의해 상부 홀더본체(32)가 승강되어 절개부(36)가 공개된다.
이 절개부(36)를 통해 로봇아암이 반도체 기판(100)의 언로딩/로딩을 수행하게 된다.
한편, 예시도면 도 3c 는 가스유입 차단면의 다른 적용예를 나타낸 단면설명도로서, 전술된 바와 같이 가스유입 차단면(14)은 분리된 두부재 사이의 경계틈으로부터 반응가스가 유입되는 것을 방지시키기 위하여 형성된 것으로, 도 3b의 테이퍼면(16)으로 형성되거나, 절곡된 절곡계단면(18)으로 형성되면 충분하며, 이 두가지 형태가 조합되어도 무방하다.
다음으로, 예시도면 도 4 는 본 발명의 반도체 제조장치 기판홀더의 제3실시예를 나타낸 사시설명도와 단면설명도로서, 제3의 실시예로서 기판승강구(12)는 듀얼보트(9)가 결합되는 홀더본체(10) 부위가 분리되어 제2기판 로딩용보트(4)의 기판지지부(28)와 결합되는 기판승강지지대(42)가 형성되며, 이 기판승강지지대(42)는 홀더본체(10)에서 분리되어 적어도 반도체 기판(100)의 외주부를 거치하게 기판 측부 가드부(8) 내측으로 연장되어 형성되는 한편, 제1기판 로딩용보트(3)는 상기 기판승강지지대(42)의 측부에 이웃하여 홀더본체(10)를 거치시키는 것을 특징으로 한다.
여기서, 기판승강지지대(42)는 그 저부와 제2기판 로딩용보트(4)의 기판지지부(28) 중 어느 하나에 결합홀(44)이 형성되고 다른 하나에 이 결합홀(44)과 삽착되는 결합핀(46)이 형성되어 기판승강지지대와 제2기판 로딩용보트가 결합되는 것을 특징으로 한다.
이것은 제2실시예와는 달리 제2실시예의 상하로 분할되어 형성된 하부 기판홀더본체를 수평으로 분할된 기판승강지지대(42)가 담당하게 되며, 홀더본체(10)와 기판승강지지대(42)는 수평으로 배치되므로, 하나의 기판홀더 두께만이 소요되므로 기판홀더의 배치간격을 더욱 감소시키게 된다.
이를 위한 기판승강지지대(42)는 마치 홀더본체(10)에 열개부(48)가 충진되어져 분리된 형상이며, 기판승강지지대(42)의 일부는 기판 측부 가드부(8) 내측으로 연장되어 반도체기판의 외주저부와 접촉되도록 마련되어 있다.
그리고, 이 기판승강지지대(42)가 제2기판 로딩용보트(4)의 기판지지부(28)와 결합되며, 제1기판 로딩용보트(3)의 기판지지부(26)는 이기판승강지지대(42)가 제공하는 열개부(48)와 이웃하여 홀더본체(10) 저부를 거치하도록 마련되어 있다.
따라서, 공정진행 중에는 기판승강지지대(42)와 홀더본체(10)가 결합되어 반도체 기판(100)의 상면만이 공정에 노출된다.
뒤이어, 반도체 기판(100)의 언로딩/로딩시에는 제2기판 로딩용보트(4)의 기판지지부(28)가 상승되고, 이에 결합된 기판승강지지대(42)가 상승되면서 반도체 기판(100)이 상승되고, 로봇아암이 작업을 수행하게 되는 것이다.
한편, 기판승강지지대(42)와 홀더본체(10)는 상협하광의 가스유입 차단면(14)을 갖으며, 예시도면 도 4c 는 가스유입 차단면의 다른 예로서 상술된 바와 같이 절곡계단면(18)을 갖는 가스유입 차단면을 나타내고 있다.
이러한 본 발명의 각 실시예를 통하여 배치식 반도체 제조장치에 있어서, 다량의 반도체 기판이 로딩되면서도 반도체 기판의 상면만을 공정에 노출시켜 반도체 기판의 백사이드(후면)의 막형성을 원천적으로 방지시키게 되는 것이다.
상기 각 실시예는 각각 독특한 장점이 부각된 것으로, 예를 들어 제1실시예와 제3실시예를 비교해 보면 제1실시예는 지지봉이 기판 측부가드부 내측에 배치되어 반도체 기판의 노출이 원천적으로 방지되는 대신 승강로드에 의해 반도체 기판의 배치간격이 증가되며, 제3실시예에서는 기존의 반도체 기판 배치간격을 충분히 유지시키면서도 기존라인의 최소한 변경으로 적용이 가능한 대신 기판승강지지대의 형성에 따라 기판측부가드부에 절개선이 발생되며 절개선의 정밀한 틈 조절이 필요할 것이다.
상술된 바와 같이 본 발명에 따르면, 가스유입 차단면이 포함되고 기판홀더의 일부분이 분리되어 기판승강구가 형성됨으로써, 종래 열개부에 의한 반도체 기판의 공정노출이 원천적으로 방지되므로, 반도체의 제조수율과 생산성이 더욱 향상되는 효과가 있다.
도 1a 는 듀얼보트와 기판홀더가 마련되어 백사이드 증착을 방지시키는 반도체 제조장치를 나타낸 개념도,
도 1b 는 반도체 제조장치에 적용되는 종래 기판홀더를 나타낸 개념도,
도 2a 는 본 발명에 따른 반도체 제조장치 기판홀더의 제1실시예를 나타낸 사시설명도,
도 2b 는 제1실시예의 단면설명도로서, 도 2a 의 A-A 선 단면설명도,
도 3a 는 본 발명에 따른 반도체 제조장치 기판홀더의 제2실시예를 나타낸 사시설명도,
도 3b 는 제2실시예의 단면설명도로서, 도 3a 의 B-B 선 단면설명도,
도 3c 는 제2실시예의 가스유입 차단면의 다른예를 나타낸 단면설명도,
도 4a 는 본 발명에 따른 반도체 제조장치 기판홀더의 제3실시예를 나타낸 사시설명도,
도 4b 는 제3실시예의 단면설명도로서, 도 4a 의 C-C 선 단면설명도,
도 4c 는 제3실시예의 가스 유입차단면의 다른예를 나타낸 단면설명도이다.
- 도면의 주요부분에 대한 부호의 설명 -
100 - 반도체 기판, 3 - 제1기판 로딩용보트,
4 - 제2기판 로딩용보트, 8 - 기판 측부 가드부,
9 - 듀얼보트, 10 - 홀더본체,
12 - 기판승강구, 14 - 가스유입 차단면,
16 - 테이퍼면, 18 - 절곡계단면,
20 - 지지봉, 22 - 관통홀,
24 - 승강로드, 26,28 - 기판지지부,
30 - 삽착홈, 32 - 상부 홀더본체,
34 - 하부 홀더본체, 36 - 절개부,
38 - 돌출턱부, 40,48 - 열개부,
42 - 기판승강지지대, 44 - 결합홀,
46 - 결합핀,

Claims (9)

  1. 반도체 기판에 공정을 진행할 수 있도록 밀폐된 공간을 제공하는 반응튜브가 마련되고, 이 반응튜브가 제공하는 공정공간 내에 장착되어 적어도 하나의 반도체 기판이 로딩되며 상호 상하로 이동되는 제1기판 로딩용 보트와 제2기판 로딩용 보트에 의해 간격이 제공되는 듀얼보트가 마련됨과 더불어, 이 듀얼보트에 반도체 기판이 안착되는 기판홀더가 안착되어져 공정진행 중 반도체 기판의 후면이 은폐되는 반도체 제조장치의 기판홀더에 있어서:
    상기 기판홀더는 밀폐된 공정공간을 제공하는 반응튜브 내에서 공정진행 중 반도체 기판의 후면이 은폐되도록 홀더본체가 마련되고, 반도체 기판의 로딩/언로딩 시에는 홀더본체로부터 반도체 기판이 듀얼보트를 통해 저부가 지지되어 승강되게 상기 홀더본체에는 그 일부가 홀더본체에서 분리 결합되는 기판승강구가 형성됨과 더불어, 이 홀더본체와 기판승강구의 분리 경계부에는 여기로부터의 반응가스 유입이 방해되게 가스유입 차단면이 형성되어 맞물리게 분리결합되는 것을 특징으로 하는 반도체 제조장치의 기판홀더.
  2. 제 1 항에 있어서, 가스유입 차단면은 서로 대향되는 테이퍼면으로 형성된 것을 특징으로 하는 반도체 제조장치의 기판홀더.
  3. 제 1 항에 있어서, 가스유입 차단면은 연속되어 절곡된 절곡계단면으로 형성된 것을 특징으로 하는 반도체 제조장치의 기판홀더.
  4. 제 1 항에 있어서, 기판승강구는 홀더본체의 측부가드부 내측에 반도체 기판을 적어도 3점 지지하는 지지봉으로 마련되고 홀더본체에는 상기 지지봉이 삽탈되는 관통홀이 형성되며, 이 지지봉의 저부에는 듀얼보트의 제2기판로딩용보트에 의해 작동되는 승강로드가 배치된 것을 특징으로 하는 반도체 제조장치의 기판홀더.
  5. 제 4 항에 있어서, 승강로드는 그 저부에 삽착홈이 형성되고 이 삽착홈에 제2기판 로딩용보트의 기판지지부의 단부가 삽착되어 결합되는 것을 특징으로 하는 반도체 제조장치의 기판홀더.
  6. 제 4 항에 있어서, 제2기판로딩용보트에 대체되어 별도의 로봇아암이 배치되고 이 로봇아암에 승강로드가 포함되어 지지봉을 승강시키는 것을 특징으로 하는 반도체 제조장치의 기판홀더.
  7. 제 1 항에 있어서, 기판승강구는 홀더본체가 상하로 2분할되고 제2기판로딩용 보트에 의해 작동되는 상부 홀더본체는 최소한 반도체 기판을 승강시키면서 반도체 기판의 로딩/언로딩을 위한 로봇아암의 삽입면적을 제공하는 구역으로 절개부가 형성되고, 제1기판 로딩용 보트에 장착되는 하부홀더본체에는 상기 상기 절개부에 맞물리는 돌출턱부가 형성됨과 더불어 그 외주부에는 상기 제2기판 로딩용 보트를 통해 상기 상부홀더본체의 승강이 수행되게 열개부가 형성된 것을 특징으로 하는 반도체 제조장치의 기판홀더.
  8. 제 1 항에 있어서, 기판승강구는 듀얼보트가 결합되는 홀더본체 부위가 분리되어 제2기판 로딩용보트와 결합되는 기판승강지지대가 형성되며, 이 기판승강지지대는 홀더본체에서 분리되어 적어도 반도체 기판의 외주부를 거치하게 기판 측부 가드부 내측으로 연장되어 형성되는 한편, 제1기판 로딩용보트는 상기 기판승강지지대의 분리가 제공하는 열개부에 이웃하여 홀더본체를 거치시키는 것을 특징으로 하는 반도체 제조장치의 기판홀더.
  9. 제 8 항에 있어서, 기판승강구본체는 그 저부와 제2기판 로딩용보트의 지지부 중 어느 하나에 결합홀이 형성되고 다른 하나에 이 결합홀과 삽착되는 결합핀이 형성되어 기판승강구본체와 제2기판 로딩용보트가 결합되는 것을 특징으로 하는 반도체 제조장치의 기판홀더.
KR1020040003072A 2004-01-15 2004-01-15 반도체 제조장치의 기판홀더 KR100549273B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020040003072A KR100549273B1 (ko) 2004-01-15 2004-01-15 반도체 제조장치의 기판홀더
US10/839,711 US7207763B2 (en) 2004-01-15 2004-05-06 Semiconductor manufacturing system and wafer holder for semiconductor manufacturing system
DE102004022933A DE102004022933A1 (de) 2004-01-15 2004-05-10 Waferhalter für ein Halbleiterherstellungssystem
JP2004145449A JP3988948B2 (ja) 2004-01-15 2004-05-14 半導体製造装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040003072A KR100549273B1 (ko) 2004-01-15 2004-01-15 반도체 제조장치의 기판홀더

Publications (2)

Publication Number Publication Date
KR20050075140A true KR20050075140A (ko) 2005-07-20
KR100549273B1 KR100549273B1 (ko) 2006-02-03

Family

ID=34747831

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040003072A KR100549273B1 (ko) 2004-01-15 2004-01-15 반도체 제조장치의 기판홀더

Country Status (4)

Country Link
US (1) US7207763B2 (ko)
JP (1) JP3988948B2 (ko)
KR (1) KR100549273B1 (ko)
DE (1) DE102004022933A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210005983A (ko) * 2014-06-09 2021-01-15 가부시키가이샤 에바라 세이사꾸쇼 기판 홀더용의 기판 착탈부, 이것을 구비한 습식 기판 처리 장치, 기판 처리 장치 및 기판 반송 방법

Families Citing this family (342)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060113806A1 (en) * 2004-11-29 2006-06-01 Asm Japan K.K. Wafer transfer mechanism
US8012888B2 (en) * 2006-02-23 2011-09-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device manufacturing method
JP4313401B2 (ja) * 2007-04-24 2009-08-12 東京エレクトロン株式会社 縦型熱処理装置及び被処理基板移載方法
JP4922870B2 (ja) * 2007-08-31 2012-04-25 株式会社アルバック 基板リフト装置
US20110049100A1 (en) * 2008-01-16 2011-03-03 Charm Engineering Co., Ltd. Substrate holder, substrate supporting apparatus, substrate processing apparatus, and substrate processing method using the same
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011074274A1 (ja) * 2009-12-18 2011-06-23 株式会社ニコン 基板ホルダ対、デバイスの製造方法、分離装置、基板の分離方法、基板ホルダおよび基板位置合わせ装置
EP2360720A1 (de) * 2010-02-23 2011-08-24 Saint-Gobain Glass France Vorrichtung zum Positionieren von mindestens zwei Gegenständen, Anordnungen, insbesondere Mehrschichtkörperanordnungen, Anlage zum Prozessieren, insbesondere zum Selenisieren, von Gegenständen, Verfahren zum Positionieren von mindestens zwei Gegenständen
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR101889738B1 (ko) 2012-09-07 2018-09-20 세메스 주식회사 기판 처리 장치 및 방법
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
KR102097109B1 (ko) * 2013-01-21 2020-04-10 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR101390474B1 (ko) * 2013-04-08 2014-05-07 주식회사 유진테크 기판처리장치
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
CN104347460B (zh) * 2013-08-01 2017-03-15 中微半导体设备(上海)有限公司 晶圆传递腔室
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9370863B2 (en) * 2014-02-04 2016-06-21 Asm Ip Holding B.V. Anti-slip end-effector for transporting workpiece
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102141855B1 (ko) * 2014-03-31 2020-08-07 주식회사 선익시스템 마스크 얼라인 장치
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
KR102654680B1 (ko) 2015-01-09 2024-04-05 어플라이드 머티어리얼스, 인코포레이티드 기판 이송 메커니즘들
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
CN106710442B (zh) * 2015-10-21 2021-01-22 京东方科技集团股份有限公司 背光源分离设备
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
KR101685096B1 (ko) * 2015-11-17 2016-12-12 주식회사 유진테크 기판처리장치 및 이를 이용한 기판처리방법
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
JP6539929B2 (ja) * 2015-12-21 2019-07-10 昭和電工株式会社 ウェハ支持機構、化学気相成長装置およびエピタキシャルウェハの製造方法
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11361981B2 (en) 2018-05-02 2022-06-14 Applied Materials, Inc. Batch substrate support with warped substrate capability
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TW202247335A (zh) * 2021-02-08 2022-12-01 荷蘭商Asm Ip私人控股有限公司 晶舟、用於對準及旋轉晶舟之總成、用於處理晶圓之垂直批式熔爐總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5620525A (en) * 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5843233A (en) * 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5275521A (en) * 1991-07-03 1994-01-04 Tokyo Electron Sagami Limited Wafer transfer device
JPH05102056A (ja) * 1991-10-11 1993-04-23 Rohm Co Ltd ウエハー支持具
US6296735B1 (en) * 1993-05-03 2001-10-02 Unaxis Balzers Aktiengesellschaft Plasma treatment apparatus and method for operation same
CH687986A5 (de) * 1993-05-03 1997-04-15 Balzers Hochvakuum Plasmabehandlungsanlage und Verfahren zu deren Betrieb.
CH687987A5 (de) * 1993-05-03 1997-04-15 Balzers Hochvakuum Verfahren zur Erhoehung der Beschichtungsrate in einem Plasmaentladungsraum und Plasmakammer.
JP3151118B2 (ja) * 1995-03-01 2001-04-03 東京エレクトロン株式会社 熱処理装置
KR100431389B1 (ko) * 1995-11-06 2004-09-18 동경 엘렉트론 주식회사 반송장치,반송방법,처리장치및처리방법
JP3122364B2 (ja) * 1996-02-06 2001-01-09 東京エレクトロン株式会社 ウエハボート
US5947718A (en) * 1997-03-07 1999-09-07 Semitool, Inc. Semiconductor processing furnace
US6321680B2 (en) * 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6517303B1 (en) * 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
JP3398936B2 (ja) * 1999-04-09 2003-04-21 日本エー・エス・エム株式会社 半導体処理装置
US6485248B1 (en) * 2000-10-10 2002-11-26 Applied Materials, Inc. Multiple wafer lift apparatus and associated method
US6935466B2 (en) * 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
JP4467028B2 (ja) * 2001-05-11 2010-05-26 信越石英株式会社 縦型ウェーハ支持治具
JP2003031647A (ja) * 2001-07-19 2003-01-31 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US6646233B2 (en) * 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
KR100491161B1 (ko) * 2002-11-26 2005-05-24 주식회사 테라세미콘 반도체 제조장치
KR100496133B1 (ko) * 2002-11-30 2005-06-17 주식회사 테라세미콘 반도체 제조장치
US6799940B2 (en) * 2002-12-05 2004-10-05 Tokyo Electron Limited Removable semiconductor wafer susceptor
KR100568456B1 (ko) * 2003-12-15 2006-04-07 주식회사 테라세미콘 고온공정용 반도체 제조장치

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210005983A (ko) * 2014-06-09 2021-01-15 가부시키가이샤 에바라 세이사꾸쇼 기판 홀더용의 기판 착탈부, 이것을 구비한 습식 기판 처리 장치, 기판 처리 장치 및 기판 반송 방법

Also Published As

Publication number Publication date
DE102004022933A1 (de) 2005-08-11
KR100549273B1 (ko) 2006-02-03
US20050158164A1 (en) 2005-07-21
US7207763B2 (en) 2007-04-24
JP2005203727A (ja) 2005-07-28
JP3988948B2 (ja) 2007-10-10

Similar Documents

Publication Publication Date Title
KR100549273B1 (ko) 반도체 제조장치의 기판홀더
KR102097109B1 (ko) 증착 장치
KR100636487B1 (ko) 기판 지지 장치 및 기판 디처킹 방법
JP2005051010A (ja) 半導体処理装置
KR100854500B1 (ko) 척 어셈블리 및 이를 구비한 고밀도 플라즈마 설비
JP2008160056A (ja) リフトピン、それを有する基板処理装置及びそれを用いた基板処理
JP2009088185A (ja) プラズマ処理装置及びそのガス排気方法
KR102185623B1 (ko) 박막증착장치 및 박막증착방법
KR20000077203A (ko) 증착장치
TWI737526B (zh) 電漿處理裝置及容器裝配體
KR20150040888A (ko) 다른 크기의 워크피스를 취급하기 위한 장치 및 방법
JP3636864B2 (ja) 処理装置およびステージ装置
JP2006066544A (ja) 成膜装置及び成膜方法
JP7361410B2 (ja) 支持ユニット、基板処理装置、そして基板処理方法
KR100582036B1 (ko) 반도체 제조공법 및 반도체 제조장치의 기판홀더
KR200495161Y1 (ko) 기판지지부 및 이를 포함하는 기판처리장치
KR20220067365A (ko) 기판 처리 장치
KR20190063286A (ko) 리프트핀유닛의 이동방법 및 기판처리장치
KR20070002252A (ko) 플라즈마를 사용하는 기판 가공 장치
KR100833472B1 (ko) 기판 처리 장치
KR100234530B1 (ko) 반도체 웨이퍼 보트
KR100557850B1 (ko) 반도체 제조공정의 웨이퍼 이송방법 및 웨이퍼 이송장치
KR100925688B1 (ko) 쉐도우 링 및 이를 구비하는 기판 처리 장치
US20220328343A1 (en) Processing method and processing apparatus
KR20090102934A (ko) 에지프레임과 이를 포함하는 기판처리장치

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130115

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140122

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150126

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160114

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170112

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20180122

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20190117

Year of fee payment: 14