DE10157223A1 - Verfahren zur Herstellung eines Kontaktes bei einer Halbleitervorrichtung unter Verwendung einer Cluster-Vorrichtung mit zumindest einem Plasmavorbehandlungsmodul - Google Patents
Verfahren zur Herstellung eines Kontaktes bei einer Halbleitervorrichtung unter Verwendung einer Cluster-Vorrichtung mit zumindest einem PlasmavorbehandlungsmodulInfo
- Publication number
- DE10157223A1 DE10157223A1 DE10157223A DE10157223A DE10157223A1 DE 10157223 A1 DE10157223 A1 DE 10157223A1 DE 10157223 A DE10157223 A DE 10157223A DE 10157223 A DE10157223 A DE 10157223A DE 10157223 A1 DE10157223 A1 DE 10157223A1
- Authority
- DE
- Germany
- Prior art keywords
- gas
- plasma
- fluorine
- layer
- substrate
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 239000000758 substrate Substances 0.000 title claims abstract description 72
- 239000004065 semiconductor Substances 0.000 title claims abstract description 49
- 238000000151 deposition Methods 0.000 title claims abstract description 24
- 230000008021 deposition Effects 0.000 title claims abstract description 21
- 239000000463 material Substances 0.000 title claims abstract description 7
- 238000004519 manufacturing process Methods 0.000 title claims description 11
- 230000015572 biosynthetic process Effects 0.000 title description 7
- 229920002120 photoresistant polymer Polymers 0.000 claims abstract description 26
- 238000004380 ashing Methods 0.000 claims abstract description 24
- 238000000034 method Methods 0.000 claims description 121
- 239000007789 gas Substances 0.000 claims description 80
- 239000011737 fluorine Substances 0.000 claims description 35
- 229910052731 fluorine Inorganic materials 0.000 claims description 35
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims description 34
- 238000006243 chemical reaction Methods 0.000 claims description 32
- 238000004140 cleaning Methods 0.000 claims description 27
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 25
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 21
- 238000012545 processing Methods 0.000 claims description 21
- 229910052710 silicon Inorganic materials 0.000 claims description 21
- 239000010703 silicon Substances 0.000 claims description 21
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 claims description 16
- 229910001882 dioxygen Inorganic materials 0.000 claims description 16
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 14
- 229910001873 dinitrogen Inorganic materials 0.000 claims description 14
- 238000010438 heat treatment Methods 0.000 claims description 12
- 238000000137 annealing Methods 0.000 claims description 11
- 238000005530 etching Methods 0.000 claims description 9
- 229910052757 nitrogen Inorganic materials 0.000 claims description 5
- 238000011068 loading method Methods 0.000 claims description 2
- 239000000126 substance Substances 0.000 claims description 2
- 230000005284 excitation Effects 0.000 claims 12
- 230000001105 regulatory effect Effects 0.000 claims 3
- 230000000694 effects Effects 0.000 claims 2
- 230000001939 inductive effect Effects 0.000 claims 1
- 238000012546 transfer Methods 0.000 abstract description 8
- 238000011049 filling Methods 0.000 abstract description 3
- 238000001771 vacuum deposition Methods 0.000 abstract 1
- 239000010410 layer Substances 0.000 description 98
- 210000002381 plasma Anatomy 0.000 description 41
- 238000002203 pretreatment Methods 0.000 description 14
- 239000012495 reaction gas Substances 0.000 description 10
- 238000009413 insulation Methods 0.000 description 9
- 238000001816 cooling Methods 0.000 description 7
- 238000001312 dry etching Methods 0.000 description 7
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 6
- 239000004020 conductor Substances 0.000 description 6
- 239000000112 cooling gas Substances 0.000 description 6
- 239000000498 cooling water Substances 0.000 description 6
- 239000001257 hydrogen Substances 0.000 description 6
- 229910052739 hydrogen Inorganic materials 0.000 description 6
- 239000001301 oxygen Substances 0.000 description 6
- 229910052760 oxygen Inorganic materials 0.000 description 6
- 239000011229 interlayer Substances 0.000 description 5
- 238000002360 preparation method Methods 0.000 description 5
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 4
- QAOWNCQODCNURD-UHFFFAOYSA-N Sulfuric acid Chemical compound OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 description 4
- 229910052799 carbon Inorganic materials 0.000 description 4
- 238000010586 diagram Methods 0.000 description 4
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 4
- 229920005591 polysilicon Polymers 0.000 description 4
- 238000003672 processing method Methods 0.000 description 4
- 229910021332 silicide Inorganic materials 0.000 description 4
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 4
- 238000012549 training Methods 0.000 description 4
- 229910052581 Si3N4 Inorganic materials 0.000 description 3
- 239000000356 contaminant Substances 0.000 description 3
- 238000005137 deposition process Methods 0.000 description 3
- 238000007599 discharging Methods 0.000 description 3
- 239000002184 metal Substances 0.000 description 3
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 3
- 235000010678 Paulownia tomentosa Nutrition 0.000 description 2
- 240000002834 Paulownia tomentosa Species 0.000 description 2
- 229910052786 argon Inorganic materials 0.000 description 2
- 235000013312 flour Nutrition 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 125000006850 spacer group Chemical group 0.000 description 2
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 1
- 238000009825 accumulation Methods 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 238000010923 batch production Methods 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 210000003608 fece Anatomy 0.000 description 1
- -1 fluorine ions Chemical class 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 238000002161 passivation Methods 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000010405 reoxidation reaction Methods 0.000 description 1
- 230000002441 reversible effect Effects 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 238000005496 tempering Methods 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/0206—Cleaning during device manufacture during, before or after processing of insulating layers
- H01L21/02063—Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76814—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76897—Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Drying Of Semiconductors (AREA)
- Electrodes Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
Ein Verfahren zur Herstellung eines Kontaktes bei einer Halbleitervorrichtung enthält eine Reihe von Vorbehandlungsprozessen bzw. -verfahren, die alle in einem Plasmavorbehandlungsmodul durchgeführt werden. Ein Halbleitersubstrat weist eine Zwischenschicht auf, die auf einer Unterschicht aus einem Material, das Silizium enthält, ausgebildet wird. Eine Kontaktöffnung wird in der Zwischenschicht zum Freilegen einer Oberfläche der Unterschicht ausgebildet. Anschließend wird das Halbleitersubstrat in das Plasmavorbehandlungsmodul geladen. Das Photoresistmuster wird durch Veraschung in dem Plasmavorbehandlungsmodul entfernt. Eine beschädigte Schicht auf der Oberfläche, die durch die Kontaktöffnung freigelegt worden ist, wird anschließend in dem Plasmavorbehandlungsmodul entfernt. Anschließend wird das Halbleitersubstrat in dem Plasmavorbehandlungsmodul vorgereinigt. Das Halbleitersubstrat wird anschließend, während es sich in einem Vakuum befindet, zu einem Abscheidungsmodul übertragen. Dort wird eine obere Schicht auf dem Substrat zum Auffüllen der Kontaktöffnung ausgebildet.
Description
Die vorliegende Erfindung betrifft ein Verfahren zur Herstellung eines Kontaktes
bei einer Halbleitervorrichtung unter Verwendung einer Vorrichtung mit einem Plasma
vorbehandlungsmodul. Insbesondere betrifft die vorliegende Erfindung Vorbehand
lungsverfahren vom Zeitpunkt einer Kontaktöffnungsausbildung unter Verwendung
eines Photoresistmusters an bis zum Zeitpunkt einer Materialabscheidung in der Kon
taktöffnung.
Eine hochintegrierte Halbleitervorrichtung wird durch Aufeinanderstapeln von
zahlreichen leitenden oder halbleitenden Schichten mit jeweils dazwischen angeordne
ten Isolationsschichten und einem Verbinden der leitenden oder halbleitenden Schichten
miteinander hergestellt. Typischerweise wird eine Kontaktöffnung zum elektrischen
Verbinden der leitenden Schichten oder halbleitenden Schichten verwendet. Ein Verfah
ren zum Ausbilden einer derartigen hochintegrierten Halbleitervorrichtung enthält die
Schritte eines Ausbildens einer unteren (leitenden oder halbleitenden) Schicht, Ausbil
den einer Isolationsschicht darauf, Ausbilden einer Kontaktöffnung durch die Isolati
onsschicht hindurch, wobei die Kontaktöffnung die untere Schicht freilegt, und Ausbil
den einer oberen (leitenden oder halbleitenden) Schicht auf der Isolationsschicht, die die
Kontaktöffnung mit einem leitenden Material auffüllt.
Im Allgemeinen wird die Kontaktöffnung durch ein Plasmatrockenätzverfahren
ausgebildet. Bei diesem Verfahren wird die Isolationsschicht durch reaktive Ionen des
Plasmas geätzt, die sich mit hohen Geschwindigkeiten bewegen. Da die Herstellung
einer Halbleitervorrichtung fast immer ein anisotropes Ätzen beinhaltet, ist das Plasma
trockenätzverfahren eines der wesentlichen Verfahren bei der Halbleiterherstellung.
Wenn jedoch das Plasmatrockenätzen ausgeführt wird, erzeugen die reaktiven Io
nen des Plasmas einen Gitterfehler auf der Oberfläche des Wafers oder beschädigen die
Oberfläche, die am Boden der Kontaktöffnung freigelegt wird. Falls dies zugelassen
werden würde, würde ein Fehler oder Schaden die elektrischen Eigenschaften der Vor
richtung nachteilig beeinflussen.
Dementsprechend enthalten herkömmliche Behandlungsverfahren ein Wärmebe
handlungsverfahren des Wafers (Tempern), um zu verhindern, daß seine Oberfläche
während des Plasma-Ätzverfahrens beschädigt wird, und ein
Plug-Implantationsverfahren, nach dem die Kontaktöffnung ausgebildet worden ist, um
jeglichen Schaden auf der Oberfläche, die dem Boden der Kontaktöffnung bestimmt, zu
korrigieren. Ebenso ist ein Verfahren zum Entfernen der beschädigten Schicht an dem
Boden der Kontaktöffnung bekannt.
Fig. 1 zeigt ein herkömmliches Herstellungsverfahren von dem Zeitpunkt einer
Kontaktöffnungsausbildung an bis zu dem Zeitpunkt der Ausbildung einer oberen lei
tenden oder halbleitenden Schicht. Gemäß Fig. 1 wird die Kontaktöffnung durch eine
Plasmatrockenätz-Vorrichtung (S10) ausgebildet. Im Allgemeinen wird die Kontaktöff
nung durch Ausbilden einer Isolationsschicht auf einem Halbleitersubstrat oder einer
anderen bestimmten Unterschicht (oder "Bodenschicht"), Ausbilden eines Photore
sistmusters, auf der Isolationsschicht unter Verwendung eines photolithographischen
Verfahrens und Ätzen der Isolationsschicht unter Verwendung des Photoresistmusters
als eine Ätzmaske ausgebildet.
Als nächstes wird das Halbleitersubstrat zu einer Veraschungsvorrichtung
(Ashing-Vorrichtung) bewegt, worauf das Photoresistmuster verascht und entfernt wird
(S12). Als nächstes wird ein Photoresist-Stripping-Verfahren (Photoresist = PR) durch
geführt (S14). Bei diesem Verfahren wird das Halbleitersubstrat von derVeraschungs
vorrichtung zu einem Naßbad transferiert bzw. transportiert, die mit Schwefelsäure zum
Entfernen von Resten des Photoresistmusters, die durch das Veraschungsverfahren nicht
entfernt worden sind, gefüllt ist.
Ein Rückstandsverarbeitungsverfahren wird zum Entfernen einer beschädigten
Schicht, die durch das Plasmatrockenätzverfahren auf der Oberfläche des Halbleitersub
strats oder auf der Oberfläche einer bestimmten Unterschicht, die den Boden der Kon
taktöffnung bestimmt, durchgeführt (S16). Das Rückstandsverarbeitungsverfahren wird
unter Verwendung einer niedrigen Vorspannungsbedingung (low bias condition) und
CF4 oder Sauerstoffgas durchgeführt. Als nächstes wird ein Vorbehandlungsreinigungs
verfahren in dem Naßbad durchgeführt (S18), um eine andere beschädigte Schicht, die
durch das Rückstandsverarbeitungsverfahren ausgebildet worden ist, zu entfernen und
jegliche Restverunreinigungen, wie beispielsweise Kohlenstoff, aus der Kontaktöffnung
zu entfernen.
Anschließend wird das vorverarbeitete Halbleitersubstrat zu einer Abscheidungs
vorrichtung transportiert, wobei die obere Schicht zum Auffüllen der Kontaktöffnung
ausgebildet wird (S20).
Jedoch sind herkömmliche Herstellungsverfahren Gegenstand folgender Proble
me.
- 1. Zunächst erfordert das Photoresist-Stripping-Verfahren eine beträchtliche Verfah renszeitdauer und erhöht die Wahrscheinlichkeit, daß das Halbleitersubstrat verun reinigt wird, da das PR-Streifenverfahren in einer Naßröhre mit einer Schwefelsäure durchgeführt wird.
- 2. Die Oberfläche, die durch die Kontaktöffnung freigelegt ist, kann durch das Rück standsverarbeitungsverfahren, das mit der Trockenätz-Vorrichtung durchgeführt wird, beschädigt werden. Jedoch variieren die Ätzraten von verschiedenen Schich ten, die die Seitenwände der Kontaktöffnungen bestimmen, in Bezug auf die Reini gungslösung, die bei dem Vorbehandlungsreinigungsverfahren verwendet wird, ob wohl die beschädigte Schicht beim Durchführen eines Vorbehandlungsreinigungs verfahrens in einem Naßbad entfernt werden kann. Somit werden die Seitenwände, die die Kontaktöffnung bestimmen, uneben und das Muster, das auf dem Halbleiter substrat ausgebildet wird, verschlechtert sich aufgrund von Überätzen.
- 3. Auch nachdem das Vorbehandlungsreinigungsverfahren durchgeführt worden ist, bildet sich eine neue natürliche Oxidschicht auf der Oberfläche, die den Boden der Kontaktöffnung bestimmt, während das Halbleitersubstrat zu der Abscheidungsvor richtung transportiert wird. Die natürliche Oxidschicht verhindert, daß sich zwischen der Oberfläche der unteren Schicht, die den Boden der Kontaktöffnung bestimmt, und der unteren Schicht, die durch das Abscheidungsverfahren ausgebildet worden ist, sich ein guter Kontakt bildet.
- 4. Es ist schwierig, die Verfahren zu integrieren, da im allgemeinen die Trockenät zungs- und Abscheidungsverfahren auf einen Wafer zu einem Zeitpunkt durchge führt werden, wo hingegen das Photoresist-Stripping-Verfahren und das Vorbe handlungsreinigungsverfahren, bei der die Verwendung eines Naßbades vorkommt, Batch-Verfahren ("Stapelverarbeitungsverfahren") sind. Dementsprechend müssen die Halbleitersubstrate verschiedene getrennte Verarbeitungsvorrichtungen durch laufen, wobei das Substrat mehr dazu neigt, verunreinigt zu werden. Obgleich eine große Menge an Verarbeitungszeit aufgewendet wird, werden viele der Vorrichtun gen daher minderwertige elektrische Eigenschaften aufweisen.
Eine Aufgabe der vorliegenden Erfindung ist es, die voranstehend beschreibenen
Probleme des Stands der Technik zu lösen.
Insbesondere ist es eine Aufgabe der vorliegenden Erfindung, ein Verfahren zum
Ausbilden eines Kontaktes bei einer Halbleitervorrichtung zu schaffen, bei welcher die
Vorbehandlungsverfahren, die zum Ausbilden eines guten Kontaktes notwendig sind, in
einer relativ kurzen Zeit durchgeführt werden können.
Eine andere Aufgabe der vorliegenden Erfindung ist es, ein Verfahren zum Aus
bilden eines Kontaktes bei einer Halbleitervorrichtung zu schaffen, bei welchem die
Vorbehandlungsverfahren, die zum Ausbilden eines guten Kontaktes notwendig sind,
ausgeführt werden, ohne daß das Halbleitersubstrat in der Zeit zwischen den Prozessen
verunreinigt wird.
Um diese Aufgaben zu lösen, schafft die vorliegende Erfindung ein Verfahren,
bei welchem die Vorbehandlungsverfahren von dem Zeitpunkt an, zu dem die Kon
taktöffnung ausgebildet worden ist, bis zu dem Zeitpunkt, zu dem die Kontaktöffnung
aufgefüllt wird, ohne die Verwendung eines Plasmas durchgeführt werden.
Die Kontaktöffnung wird unter Verwendung eines Photoresistmusters als eine
Ätzmaske ausgebildet, wobei die Oberfläche eines unterliegenden Materials, das Silizi
um aufweist, freigelegt wird. Anschließend wird das Halbleitersubstrat in eine Cluster-
Vorrichtung geladen, die eine oder mehrere Plasmavorbehandlungs- und Abschei
dungsmodule (Deposition) aufweist, die durch eine andere Vorrichtung verbunden ist,
in welcher ein Vakuum aufrecht erhalten werden kann. Bei einem ersten Vorbehand
lungsverfahren wird das Photoresistmuster durch Veraschung entfernt. Bei einem zwei
ten Vorbehandlungsverfahren wird die beschädigte Schicht an der Oberfläche, die durch
die Kontaktöffnung freigelegt ist, entfernt. Bei einem dritten Vorbehandlungsverfahren
wird das Halbleitersubstrat gereinigt. Das Halbleitersubstrat wird anschließend zu dem
Abscheidungsmodul transferiert, wobei das Substrat in einem Vakuum gehalten wird.
Dort wird ein Film bzw. eine Schicht auf dem Substrat ausgebildet, um die Kontaktöff
nung aufzufüllen und dadurch einen elektrischen Kontakt mit der Unterschicht zu bil
den.
Die Unterschicht kann aus einer Silizium-, Polysilizium- oder Silizidschicht be
stehen. Die obere Schicht, die zum Auffüllen der Kontaktöffnungen ausgebildet wird,
ist eine leitende Schicht, beispielsweise eine, die aus einer Polysiliziumschicht und einer
Metallschicht ausgebildet worden ist.
Das Plasmavorbehandlungsmodul ist zum Erzeugen eines Remote-Plasmas (d. h.
räumlich vom Abscheidungsraum getrennten Plasmas) unter Verwendung von Mikro
wellen entworfen worden. In diesem Zusammenhang wird das Verfahren der Vera
schung des Photoresistmusters unter Verwendung von Stickstoffgas und Sauerstoffgas
in einem Plasmazustand durchgeführt, gefolgt von einer Anwendung von UV-Licht.
Das Verfahren zum Entfernen der beschädigten Schicht wird unter Verwendung von
Stickstoffgas und Sauerstoffgas in einem Plasmazustand und einem auf Flour basieren
den Gas in einem Plasmazustand oder in einem normalen Gaszustand durchgeführt.
Zudem weist das Reinigungsverfahren die Verwendung von Wasserstoffgas und einem
auf Flour basierenden Gas in einem Plasmazustand auf, um eine chemische Reaktion
mit einer Oxidschicht auf der Oberfläche der Unterschicht, die an dem Boden der Kon
taktöffnung freigelegt ist, zu bewirken, wodurch eine Reaktionsschicht sich ausbildet
und eine Wärmebehandlung (Tempern) der Reaktionsschicht verdampft diese.
Gemäß der vorliegenden Erfindung kann ein Veraschungsverfahren, ein Rück
standsverarbeitungsverfahren und ein Vorbehandlungsreinigungsverfahren ununterbro
chen in einem Plasmavorbehandlungsmodul einer Cluster-Vorrichtung durchgeführt
werden. Somit kann die Vorbehandlungsverarbeitungszeit minimiert werden. Ebenso
wird die Ansammlung von Verunreinigungen an den Kontaktöffnung im Gegensatz zu
dem Fall verhindert, bei welchem das Halbleitersubstrat zu verschiedenen Verarbei
tungsvorrichtungen des Stands der Technik transferiert wird.
Außerdem kontaktieren das abgeschiedene Material und die Oberfläche, die
durch die Kontaktöffnung freigelegt worden ist, gut miteinander, da ein Vakuum zwi
schen den Plasmavorbehandlungsmodul und dem Abscheidungsmodul aufrecht erhalten
wird, um das Wachstum einer natürlichen Oxidschicht auf der freigelegten Oberfläche
zu verhindern, nach dem das Vorbehandlungsreinigungsverfahren durchgeführt worden
ist.
Die obigen und andere Aufgaben, Merkmale und Vorteile der vorliegenden Er
findung werden durch Bezugnahme auf die vorliegende detaillierte Beschreibung von
bevorzugten Ausführungsformen und unter Bezugnahme auf die beigefügte Zeichnung
besser ersichtlich, in welcher:
Fig. 1 ein Blockdiagramm eines herkömmlichen Verfahrens zum Ausbilden
eines Kontaktes bei einem Verfahren der Halbleiterherstellung zeigt;
Fig. 2 ein Blockdiagramm eines Verfahrens zum Ausbilden eines Kontaktes
einer Halbleitervorrichtung gemäß der vorliegenden Erfindung zeigt;
Fig. 3 eine schematische Draufsicht auf eine Vorrichtung zum Ausbilden eines
Kontaktes bei dem Verfahren der Herstellung einer Halbleitervorrich
tung gemäß der vorliegenden Erfindung zeigt;
Fig. 4 ein schematisches Diagramm einer ersten Ausführungsform eines Plas
mavorbehandlungsmoduls der in Fig. 3 gezeigten Vorrichtung zeigt;
Fig. 5 ein schematisches Diagramm einer anderen Ausführungsform des Plas
mavorbehandlungsmoduls zeigt; und
Fig. 6-10 Schnittansichten eines Substrats zeigen, die ein Verfahren zum Ausbilden
eines Kontaktes gemäß der vorliegenden Erfindung darstellen.
Die vorliegende Erfindung wird mit Bezug auf die beigefügte Zeichnung einge
hender beschrieben. Es ist jedoch zu beachten, das wenn eine Schicht als "auf" einer
anderen Schicht oder Substrat beschrieben wird, eine derartige Beschreibung bedeutet,
daß die fragliche Schicht direkt auf einer anderen Schicht oder Substrat angeordnet sein
kann oder Zwischenschichten dazwischen angeordnet sein können.
Fig. 2 zeigt die grundlegenden Verfahrensschritte, die das Verfahren zum Ausbil
dens des Kontaktes gemäß der vorliegenden Erfindung bilden. Kurz gesagt, nachdem
eine Kontaktöffnung unter Verwendung einer Trockenätz-Vorrichtung ausgebildet wor
den ist (Schritt S40), werden in einem Vorbehandlungsmodul ein Veraschungsverfahren
(Schritt S42), ein Rückstandsverarbeitungsverfahren (Schritt S44) und ein Vorbehand
lungsverfahren (Schritt S46) zusammen in einem Vorbehandlungsmodul durchgeführt.
Anschließend wird eine obere Schicht in einem Abscheidungsmodul ausgebildet (Schritt
S48).
Bevor jedoch das Verfahren zum Ausbilden eines Kontaktes gemäß der vorlie
genden Erfindung detaillierter beschrieben wird, soll die Vorrichtung, in welcher das
Verfahren ausgeführt wird, beschrieben werden. Gemäß Fig. 3 weist die Vorrichtung
einen Cluster aus jeweiligen Verfahrensmodulen auf. Ein Transfermodul 100, in wel
chem ein gleichförmiges Vakuum aufrecht erhalten wird, ist in der Mitte der Vorrich
tung angeordnet. Um das Transfermodul 100 herum sind Lade- und Entlademodule 110
bzw. 120, ein Kühlmodul 130, Vorbehandlungsmodule 140, 150 und 160 und Abschei
demodule 170 und 180 angeordnet.
Eine nicht näher dargestellte Transfervorrichtung ist in der Mitte des Transfermo
duls 100 vorgesehen. Mittels der Transfervorrichtung wird ein Wafer von dem Belade
modul 110 zu einem bestimmten Vorbehandlungsmodul 140, 150 oder 160 transferiert
bzw. transportiert. Nachdem die Vorbehandlungsverfahren durchgeführt worden sind,
wird der Wafer von einem Vorbehandlungsmodul zu einem Abscheidungsmodul 170
oder 180 durch das Transfermodul 100, in welchem ein Vakuum aufrecht erhalten wird,
transportiert. Die obere Schicht, die die Kontaktöffnung auffüllt, wird auf dem Wafer in
einem Abscheidungsmodul ausgebildet. Falls die Temperatur des Wafers nach dem
letzten Vorbehandlunsgverfahren zu hoch ist, kann der Wafer zu dem Kühlmodul 130
transportiert werden, bevor die obere Schicht ausgebildet wird. Das Kühlmodul 130
kann ebenso zum Kühlen des Wafers auf eine geeignete Temperatur nach dem Abschei
dungsprozess aber bevor der Wafer zum Entlademodul 120 transportiert wird, verwen
det werden. Obgleich in Fig. 3 drei Vorbehandlungsmodule und zwei Abscheidungsmo
dule dargestellt sind, kann, falls notwendig, die Vorrichtung eine andere Anzahl an
Vorbehandlungs- und Abscheidungsmodulen aufweisen.
Fig. 4 zeigt eine Ausführungsform eines Vorbehandlungsmoduls, das eine Re
mote-Plasmaerzeugungsvorrichtung aufweist. Eine derartige Re
mote-Plasmaerzeugungsvorrichtung ist in der koreanischen Patentanmeldung Nr. 99-46365
offenbart.
Gemäß Fig. 4 enthält das Vorbehandlungsmodul eine Vakuumkammer 10, einen
Mikrowellenleiter 44, welcher eine Remote-Plasmaerzeugungsvorrichtung zum Erregen
von Reaktionsgas in einen Plasmazustand und Transportieren des Reaktionsgases im
Plasmazustand zu der Vakuumkammer 10 bildet, einen Gasdiffusor, eine Heizvorrich
tung 54 zum Durchführen eines Wärmebehandlungsverfahrens (Tempern) in der glei
chen Kammer und einen Suszeptor 12 zum Positionieren des Wafers in der Vakuum
kammer 10. Genauer gesagt ist der Suszeptor 12, auf welchem der Wafer 14 moniert ist,
in der Mitte der Vakuumkammer 10 angeordnet. Der Suszeptor 12 kann von dem unte
ren Abschnitt der Vakuumkammer 10 zu dem oberen Abschnitt der Vakuumkammer 10
und umgekehrt (wie durch den Pfeil ↕ angedeutet) über die Welle 20 und den Motor 22
bewegt werden. Die Temperatur des Wafers 14 wird durch die Temperatur des Suszep
tors 12 gesteuert. Eine Kühlleitung 16a erstreckt sich durch den Suszeptor 12 zum Zu
führen von Kühlwasser oder Kühlgas, um die Temperatur des Suszeptors 12 zu steuern,
und somit des Wafers 14, um die Reproduzierbarkeit der Verfahren sicherzustellen. Ein
erstes Rohr 16 zum Zuführen des Kühlwassers oder des Kühlgases von einer Kühlwas
ser- oder Kühlgas-Versorgungsvorrichtung 18 ist mit der Kühlleitung 16a verbunden.
Das Reaktionsgas wird der Vakuumkammer 10 durch den Gasdiffusor zugeführt.
Der Gasdiffusor enthält eine Vorbereitungskammer 50 zum Aufnehmen des Reaktions
gases aus zweiten und dritten Rohren 32 und 34, die sich außerhalb der Vakuumkammer
10 erstrecken, und eine perforierte Platte 52, die mit dem Boden der Vorbereitungs
kammer 50 zum gleichmäßigen Verteilen des Gases in der Vakummkammer 10 verbun
den ist. Das zweite Rohr 32 dient zum Zuführen vom Gas in einem Plasmazustand. Eine
Wasserstoffgasversorgungsquelle (mit "H2" bezeichnet) und eine Versorgungsquelle für
das auf Fluor basierende Gas (mit "NF3" bezeichnet) sind einem Ende des zweiten
Rohrs 32 verbunden. Schaltventile 36 und 38 und Massenflußsteuervorrichtungen (mass
flow controller = MFC) 40 und 42 zum Steuern der Gasmenge sind nahe der Versor
gungsquelle für das Wasserstoffgas bzw. das auf Fluor basierende Gas installiert. Gas
aus der Versorgungsquelle für das auf Fluor basierende Gas und des Wasserstoffgases
passiert durch die Schaltventile 36 und 38 bzw. die Masssenflußsteuervorrichtungen 40
und 42 zu dem Mikrowellenleiter 44, wobei das Gas angeregt wird. Das dritte Rohr 34
dient zum Zuführen eines natürlichen auf Fluor basierenden Gases. Eine Versorgungs
quelle für das auf Fluor basierende Gas (mit NF3 bezeichnet) ist mit einem Ende des
dritten Rohrs 34 verbunden. Ein Schaltventil 46 und eine MFC 48 sind in dem dritten
Rohr 34 nahe der Versorgungsquelle für ein auf Fluor basierendes Gas installiert.
Das Quellgas, das bei dem Verfahren der vorliegenden Erfindung verwendet wird,
ist jedoch nicht auf Wasserstoff (H2) oder auf ein auf Fluor basierendes Gas (NF3) be
schränkt. Beispielsweise können Sauerstoff (O2), Stickstoff (N2) und Argon (Ar) als
Quellgase verwendet werden.
Ein Auslaßanschluß 24 ist an dem Boden der Vakuumkammer 10 zum Abführen
von Gas aus der Vakuumkammer 10 vorgesehen, um einen geeigneten Vakuumwert in
der Vakuumkammer 10 aufrecht zu erhalten. Ein viertes Rohr 26 ist mit der Auslaßöff
nung 24 verbunden und ein Schaltventil 28 und eine Vakuumpumpe 30 sind in dem
vierten Rohr 26 installiert.
Der Druck innerhalb der Vakuumkammer wird automatisch durch ein nicht näher
dargestelltes programmierbares Ventil (Smart-Ventil) gesteuert, das in den Boden der
Vakuumkammer 10 installiert ist. Eine Heizvorrichtung 54 zum Tempern (Annealing)
des Wafers 14 ist zwischen der Decke der Vorbereitungskammer 50 und dem Rahmen
bzw. der Decke der Vakuumkammer 10 vorgesehen. Die Heizvorrichtung 54 kann aus
einer Ultraviolettlampe (UV-Lampe) oder einem Laser bestehen.
Fig. 5 zeigt schematisch eine andere Ausführungsform des Vorbehandlungsmo
duls. Das Vorbehandlungsmodul dieser Ausführungsform enthält eine Vakuumkammer
60, einen Mikrowellenleiter 90, welcher eine Remote-Plasmaerzeugungsvorrichtung
zum Anregen des Reaktionsgases in einen Plasmazustand und zum Tranpsortieren des
Reaktionsgases in einem Plasmazustand zu der Vakuumkammer 60 bildet, einen Gasdif
fusor, UV-Lampen 78 zum Durchführen eines Temperverfahrens in der Kammer 60 und
einen Suszeptor 62 zum Unterstützen bzw. Tragen eines Wafers 64.
Die Innenwände der Vakuumkammer 60 sind mit einer anodisierten Schicht bezo
gen, um zu verhindern, daß die Innenwände durch Fluorionen erodiert werden. Die Sei
tenwände der Vakuumkammer 60 sind mit Heizvorrichtungen 96 vorgesehen. Die obere
Wand 76 der Vakuumkammer ist domförmig. Genauer gesagt, weist die obere Wand
eine Lichtfixierungseinheit 76, in welcher die UV-Lampen 78 integriert sind, und ein
transparentes Fenster 74 auf, das aus Saphir hergestellt ist, und sich kurz unterhalb der
UV-Lampen 78 erstreckt.
Der Suszeptor 62, auf welchen ein Wafer 64 montiert ist, ist in der Mitte des unte
ren Abschnitts der Vakuumkammer 60 angeordnet. Ein Hebestift 72 zum Auf- und Ab
bewegen des Wafers 74 erstreckt sich durch den Suszeptor 62. Der Hebelstift 72 ist auf
einem Stifthalter 70 montiert, welcher für eine Bewegung nach oben und unten ange
trieben wird. Die Temperatur des Wafers 64 wird durch die Temperatur des Suszeptors
62 gesteuert. Eine Kühlleitung erstreckt sich durch den Suszeptor 62 zum Zuführen von
Kühlwasser oder Kühlgas, um die Temperatur des Suceptors 62 zu steuern, und somit
auch die des Wafers 64, um die Reproduzierbarkeit der Verfahren sicher zu stellen. Ein
erstes Rohr 66 zum Zuführen des Kühlwassers oder des Kühlgases von einer Kühlwas
ser- oder Kühlgasversorgungsvorrichtung 68 ist mit der Kühlleitung verbunden.
Das Reaktionsgas wird in die Vakuumkammer 60 durch den Gasdiffusor zuge
führt. Der Gasdiffusor enthält eine Vorbereitungskammer 80 zum Aufnehmen eines
Reaktionsgases aus dem zweiten Rohr 98, das sich außerhalb der Vakuumkammer 60
erstreckt, und eine perforierte Platte 82, die mit dem Boden der Vorbereitungskammer
80 zum gleichmäßigen Verteilen des Gases in der Vakuumkammer 60 verbunden ist.
Ein Rohr ist mit einer Versorgungsquelle eines auf Fluor basierenden Gases ("NF3"; im
folgenden NF3-Gas genannt) verbunden, das wiederum mit dem zweiten Rohr 98 zum
Zuführen des auf Fluor basierenden Gases in die Vakuumkammer verbunden ist. Ein
Schaltventil 92a und eine MFC 94a sind in dem Rohr installiert, womit die Zufuhr von
auf Fluor basierenden Gas (NF3) gesteuert wird. Ein Mikrowellenleiter 90 für die Plas
maerzeugung ist bei dem zweiten Rohr 98 installiert. Die Versorgung von Stickstoffgas,
Sauerstoffgas, Wasserstoffgas, Argongas und NF3-Gas durch die Röhre 98 zu dem Mi
krowellenleiter 90 wird durch die MFCs 92b, 92c, 92d und 92f bzw. Schaltventile 94b,
94c, 94d und 94f gesteuert.
Ein Auslaßanschluß ist an dem Boden der Vakuumkammer 60 zum Abführen von
Gas aus der Vakuumkammer 60 angeordnet, um ein Vakuum in der Vakuumkammer 60
sicher zu stellen. Ein drittes Rohr 84 ist mit dem Auslaßanschluß verbunden und ein
Schaltventil 86 und eine Vakuumpumpe 88 sind in dem dritten Rohr 84 installiert.
Im Folgenden wird das Ausbilden eines Kontaktes gemäß der vorliegenden Erfin
dung unter Verwendung einer Vorrichtung, die ein Vorbehandlungsmodul des in Fig. 4
oder 5 gezeigten Typs enthält, beschrieben.
Fig. 6 bis 10 zeigen die Verfahrensschritte zum Ausbilden eines selbst ausgerich
teten Kontaktes (self-aligned contact = SAC) einer Halbleitervorrichtung gemäß der
vorliegenden Erfindung.
Gemäß Fig. 6 wird ein Gateelektrodenmuster auf einem Siliziumsubstrat 200 aus
gebildet. Zunächst werden eine Gate-Isolationsschicht 202, eine Polysiliziumschicht
204, eine Silizidschicht 206 und eine Maskenschicht 208 aus Siliziumnitrid auf den Si
liziumsubstrat 200 sequentiell bzw. aufeinander folgend ausgebildet. Anschließend
werden die vorangehend erwähnten Schichten unter Verwendung von herkömmlicher
Photolithographie zum Ausbilden von Gateelektroden gemustert bzw. maskiert. Spacer
210 werden auf den Seitenwänden der Gateelektroden durch Ausbilden einer Silizium
nitridschicht über die gesamte Struktur und anschließenden Ätzen der Silizidschicht von
der Oberfläche des Siliziumsubstrats 200 ausgebildet.
Gemäß Fig. 7 wird eine Ätz-Stopp-Schicht 212 aus Siliziumnitrid auf der gesam
ten Oberfläche des Siliziumsubstrats 200 durch eine chemische Dampfphasenabschei
dung (CVD) ausgebildet. Die Ätz-Stopp-Schicht 212 dient zum Stoppen des darauffol
genden Ätzverfahrens, das zum Ausbilden des SAC verwendet wird, und verhindert
somit, daß die Oberfläche des Siliziumsubstrats 200 zwischen den Gateelektrodenmu
stern beschädigt wird.
Gemäß Fig. 8 wird eine dielektrische Zwischenschicht 214 aus Siliziumoxid, das
eine Ätzselektivität bezüglich der Ätz-Stopp-Schicht 212 aufweist, bis zu einer vorbe
stimmten Dicke ausgebildet. Als nächstes wird eine Kontaktöffnung in die dielektrische
Zwischenschicht 214 unter Verwendung der Trockenätzvorrichtung ausgebildet (Schritt
S40). Genauer gesagt wird die Kontaktöffnung durch ein SAC-Verfahren ausgebildet.
In diesem Zusammenhang wird ein Photoresistmuster 216 in dem Vorbehand
lungsmodul ausgebildet. Das Photoresistmuster wird zum Ausbilden einer Kontaktöff
nung verwendet, deren Breite dem Raum zwischen den Gateelektrodenmustern ent
spricht. Je kleiner der Raum zwischen den Gateelektrodenmustem ist, desto schwieriger
wird es, das Photoresistmuster unter Verwendung eines herkömmlichen photolithogra
phischen Verfahrens auszubilden, da inhärente Begrenzungen bei der Auflösung der
Verfahren auftreten. Dementsprechend wird das Photoresistmuster derart entworfen, daß
es eine Kontaktöffnung ausbildet, die größer als die Breite des Raums zwischen den
Gateelektrodenmustern ist. Die dielektrische Zwischenschicht 214 wird unter Verwen
dung des Photoresistmusters als eine Ätzmaske anisotrop geätzt, wobei die Kontaktöff
nung durch die Maskenschicht 208 und die Spacer 210 jedes Gateelektrodenmusters
selbstausgerichtet sind. Wenn die dielektrische Zwischenschicht 214 geätzt wird, wird
die Ätz-Stopp-Schicht 212 zwischen den Gateelektrodenmustem freigelegt.
Gemäß Fig. 9 wird das Siliziumsubstrat 200 zwischen Gateelektrodenmustern
durch Ätzen der Ätz-Stopp-Schicht 212 unter Ätzbedingungen freigelegt, die sich von
denen, bei den die dielektrische Zwischenschicht 214 geätzt wird, unterscheiden. Somit
wird eine Kontaktöffnung ausgebildet. Hierbei wird an der Oberfläche des freigelegten
Siliziumsubstrats 200 zwischen den Gateelektrodenmustern eine beschädigte Schicht
218 erzeugt. Außerdem verbleiben verschiedene Verunreinigungen an der Oberfläche
des freigelegten Siliumsubstrats 200 zwischen den Gateelektrodenmustern.
Nachdem das Siliziumsubstrat 200, in welchem die Kontaktöffnung ausgebildet
ist, zu dem Vorbehandlungsmodul, das in Fig. 4 oder 5 gezeigt ist, transportiert worden
ist, wird das Veraschungsverfahren S42, das Rückstandsverarbeitungsverfahren S44 und
das Vorbehandlungsreinigungsverfahren S46 sequentiell ausgeführt. Die Bedingungen,
die in dem Vorbehandlungsmodul während dieser Verfahren vorherrschen, werden im
folgenden im Detail beschrieben.
Zunächst wird das Veraschungsverfahren zum Entfernen des Photoresistmusters
216 durchgeführt. Bei diesem Verfahren emittiert die UV-Lampe UV-Licht mit einer
Wellenlänge von 200-500 nm und wird mit einer Leistung von 300-1000 Watt betrie
ben. Die Leistung des Mikrowellenleiters beträgt 500-2000 Watt. Der Druck in dem
Vorbehandlungsmodul beträgt 0,1-10 Torr. Die Temperatur des Siliziumsubstrats 200
beträgt 25-300°C. Das Veraschungsverfahren wird unter diesen Bedingungen mit Stick
stoff und Sauerstoff, das in das Modul im Zustand eines Plasmas mit einer Geschwin
digkeit bzw. Rate von 10-2000 sccm bzw. 10-2000 sccm zugeführt wird, durchgeführt.
Zu beachten ist, daß das Photoresistmuster durch UV-Licht effektiver entfernt werden
kann, als durch die bei dem herkömmlichen Veraschungsverfahren verwendete Vor
richtung. Somit ist ein zusätzliches Stripping-Verfahren nicht erforderlich.
Als nächstes wird das Rückstandsverarbeitungsverfahren zum Entfernen der be
schädigten Schicht 218 durch Zuführen von Stickstoff und Sauerstoff in das Modul in
einen Zustand eines Plasmas und durch Zuführen eines auf Fluor basierenden Gases in
das Modul durchgeführt. Bei diesem Verfahren beträgt die Leistung des Mikrowellen
leiters 500-2000 Watt. Der Druck in dem Vorbehandlungsmodul beträgt 0,1-10 Torr.
Die Temperatur des Siliziumsubstrats beträgt 5-300°C. Der Stickstoff wird als Reakti
onsgas mit Rate von 10-2000 sccm zugeführt, der Sauerstoff mit einer Rate von 10-
2000 sccm und das auf Fluor basierende Gas (NF3) mit einer Rate von 30-300 sccm.
Die Verwendung eines Plasmas zum Entfernen der beschädigten Schicht erzeugt
relativ geringere Schäden im Vergleich zum Gebrauch von herkömmlichen Rückstand
verarbeitungsverfahren. Überdies wird die freigelegte Oberfläche des Siliziumsubstrats
200 nicht Kohlenstoff verunreinigt, da NF3 im Gegensatz zu einem CF-basierten Gas
verwendet wird.
Schließlich wird das Vorbehandlungsreinigungsverfahren in zwei Schritten
durchgeführt, nämlich einem chemischen Reaktionsschritt und einem Wärmebehand
lungsschritt (Tempern). Der chemische Reaktionsschritt beinhaltet ein Zuführen von
Wasserstoff und auf Fluor basierendem Gas in das Modul und ein chemisches Reagieren
der zwei Gase mit der Oxidschicht, die auf der Oberfläche des Siliziumsubstrats 200
ausgebildet ist, das den Boden der Kontaktöffnung bestimmt, um dadurch eine Reakti
onsschicht auszubilden. Der Wärmebehandlungsschritt entfernt die so ausgebildete Re
aktionsschicht.
Als nächstes werden die bestimmten Bedingungen bei dem Ausbildungsschritt der
Reaktionsschicht beschrieben. Die Leistung des Mikrowellenleiters beträgt 500-2000 Watt.
Der Druck in dem Plasmavorbehandlungsmodul beträgt 1-10 Torr. Die Tempera
tur des Siliziumsubstrats beträgt 0-50°C. Der als Reaktionsgas dienende Stickstoff wird
mit einer Geschwindigkeit von 10-2000 sccm zugeführt, der Wasserstoff wird mit einer
Rate von 5-200 sccm zugeführt und NF3 wird mit einer Rate von 10-300 sccm zuge
führt. Folglich wird die natürliche Oxidschicht in eine Reaktionsschicht aus beispiels
weise (NH4)2SiF6 umgewandelt. Eine derartige Reaktionsschicht kann verdampft und
somit entfernt werden.
Das auf Fluor basierende Gas kann in einem Plasmazustand oder in einem nor
malen gasförmigen Zustand zugeführt werden. NF3, SF6 oder CEF3 können als das auf
Fluor basierende Gas verwendet werden. Bei der bevorzugten Ausführungsform wird
NF3 verwendet. Der Wasserstoff wird in das Modul in einem Plasmazustand zugeführt
bzw. eingebracht. Wenn der Wasserstoff und das auf Fluor basierende Gas im Plasma
zustand zugeführt werden, wird das Verhältnis von NF3-Gas zu Wasserstoffplasma auf
beispielsweise 0,1-100 eingestellt, und das Gemisch reagiert chemisch mit der Oxid
schicht, die aus SiO2 besteht. Ein Nebenprodukt der Reaktion, d. h. (NH4)2SiF6, wird
dort ausgebildet, wo das Gemisch und die Oxidschicht aufeinandertreffen. Wenn die
Reaktionsschicht einmal eine bestimmte Dicke erreicht, dient die Reaktionsschicht als
eine Sperrschicht bezüglich der chemischen Reaktion. Somit stoppt die chemische Re
aktion. Das Wärmebehandlungsverfahren wird, nachdem die chemische Reaktion zwi
schen der Gaszufuhr und der Oxidschicht stoppt, durchgeführt, wobei die Reaktions
schicht verdampft und aus dem Moduls nach außerhalb abgeführt wird. Hierbei wird das
Wärmebehandlungsverfahren vorzugsweise bei einer Temperatur von 100-500°C für
20-600 Sekunden durchgeführt. Nachdem Verunreinigungen wie beispielsweise die
natürliche Oxidschicht und das Oberflächenoxid entfernt worden sind, wird eine Passi
vierungsschicht, die mit Wasserstoffatomen kombiniert ist, auf der freigelegten Oberflä
che ausgebildet. Dementsprechend wird die Oberfläche des Halbleitersubstrats an einer
Reoxidation gehindert.
Falls die zu entfernende Oxidschicht so dünn wie eine natürliche Oxidschicht ist,
kann die Oxidschicht in einem Zyklus des Vorbehandlungreinigungsverfahrens entfernt
werden. Jedoch können die zwei Schritte des Vorbehandlungsreinigungsverfahrens wie
derholt durchgeführt werden, wenn es durch die Dicke der zu entfernenden Oxidschicht
erforderlich ist.
Überdies wird bei dem Vorbehandlungsreinigungsverfahren der chemische Reak
tionsschritt und der Wärmebehandlungsschritt aufeinanderfolgend in dem Vorbehand
lungsmodul ausgeführt. Wenn das in Fig. 4 gezeigte Vorbehandlungsmodul verwendet
wird, kann der chemische Reaktionsschritt ausgeführt werden, während das Halbleiter
substrat in dem unteren Abschnitt der Vakuumkammer 10 positioniert ist, und der
Wärmebehandlungsschritt kann ausgeführt werden, während das Halbleitersubstrat im
oberen Abschnitt der Vakuumkammer 10 positioniert ist, in welchem die UV-Lampe 54
angeordnet ist.
Gemäß Fig. 10 wird das Siliziumsubstrat 200, welches einem Vorbehandlungsrei
nigungsverfahren unterzogen worden ist, von einem Vorbehandlungsmodul 140, 150
oder 160 (Fig. 3) zu einem Abscheidungsmodul 170 oder 180 der Fig. 3 durch das
Transfer- bzw. Transportmodul 100 transportiert werden, während es sich in einem Va
kuum befindet. Die darauffolgende (obere) Schicht 220 wird anschließend ausgebildet.
Als die obere Schicht 220 können verschiedene leitende Metallschichten verwendet
werden.
Wie für den Fachmann ersichtlich verringert die vorliegende Erfindung die Verarbei
tungszeit und die Herstellungskosten im Vergleich mit dem Stand der Technik, da (1)
das Veraschungsverfahren, das Rückstandsverarbeitungsverfahren und das Vorbehand
lungsreinigungsverfahren in einem Vorbehandlungsmodul unter Verwendung von
Plasma aufeinanderfolgend (sequentiell) durchgeführt werden, und (2) ein PR-
Stripping-Verfahren nicht erforderlich ist, da das Photoresist durch das Veraschungsver
fahren, das unter Verwendung von Sauerstoffplasma und UV-Licht durchgeführt wird,
sehr effizient entfernt wird.
Zweitens kann die vorliegende Erfindung kann zum Erzeugen einer Halbleitervor
richtung mit verbesserten elektrischen Eigenschaften verwendet werden, da ein auf Fluor
basierendes Gas und Sauerstoff zum Entfernen der beschädigten Schicht an dem Boden
der Kontaktöffnung verwendet wird, anstelle eines Gases, das Kohlenstoff aufweist.
D. h., das Rückstandsverarbeitungsverfahren der vorliegenden Erfindung minimiert je
den weiteren Schaden auf der Schicht, die an dem Boden der Kontaktöffnung freigelegt
ist, und hinterläßt keine Kohlenstoffverschmutzung darin.
Drittens verschlechtert die Verwendung der vorliegenden Erfindung nicht das Profil der
Kontaktöffnung oder hinterläßt Wasserspritzer, da das Vorbehandlungsverfahren unter
Verwendung eines Plasmatrockenätzens anstelle eines herkömmlichen Naßätzens aus
geführt wird.
Viertens minimiert die vorliegende Erfindung die Verunreinigung des Substrats und
verhindert, daß während der Zeit zwischen den Verfahren eine natürliche Oxidschicht
wieder aufwächst, da das Vorbehandlungsmodul und das Abscheidungsmodul in einer
Clusteranordnung vorgesehen und durch eine Vorrichtung verbunden sind, die ein Va
kuum aufrecht erhalten kann.
Obgleich die vorliegende Erfindung unter Bezugnahme auf die Ausbildung eines
SACs zwischen der Gateelektrodenmustern genau gezeigt und beschrieben worden ist,
ist es für den Fachmann ersichtlich, daß die Erfindung nicht dahingehend beschränkt ist
und verschiedene andere Verfahren zur Herstellung von Halbleitervorrichtungen, wie
beispielsweise die Verfahren zum Ausbilden von Metall- und Bitleitungskontakten, an
wendbar sind. Ebenso kann die vorliegende Erfindung zum Ausbilden einer Kontaktöff
nung in einer Zwischenschicht, die auf einer unterliegenden Schicht, die beispielsweise
aus Silizium besteht, angewendet werden; dazu gehört beispielsweise das Ausbilden
einer Kontaktöffnung, die eine Polysiliziumschicht oder Silizidschicht freilegt. Daher
sind all diese Anwendungen, die innerhalb des Umfangs der beigefügten Ansprüche
fallen, so auszulegen, daß sie in dem Inhalt der vorliegenden Erfindung enthalten sind.
Claims (29)
1. Verfahren zur Herstellung eines Kontaktes bei einer Halbleitervorrichtung, das
folgende Schritte aufweist:
Vorsehen eines Halbleitersubstrats, auf welchem eine Zwischenschicht auf einer Unterschicht, die Silizium aufweist, ausgebildet ist;
Ausbilden einer Kontaktöffnung in der Zwischenschicht unter Verwendung eines Photoresistmusters als eine Ätzmaske, wobei eine Oberfläche der Unterschicht an dem Boden der Kontaktöffnung freigelegt ist;
Laden des Halbleitersubstrats in eine Vorrichtung mit einem Plasmavorbehand lungsmodul und einem Abscheidungsmodul, die miteinander verbunden sind;
Transferieren des Halbleitersubstrats zu dem Plasmavorbehandlungsmodul;
Veraschung des Photoresistmusters in dem Plasmavorbehandlungsmodul, um das Photoresistmuster zu entfernen;
darauffolgendes Entfernen einer beschädigten Schicht an der Oberfläche der Un terschicht, die den Boden der Kontaktöffnung bestimmt, in dem Plasmavorbe handlungsmodul;
darauffolgendes Reinigen des Halbleitersubtrats in dem Plasmavorbehandlungs modul;
darauffolgendes Transferieren des Halbleitersubstrats zu dem Abscheidungsmo dul, während es sich in einem Vakuum befindet; und
Abscheiden von Material auf dem Substrat, das die Kontaktöffnung füllt, in dem Abscheidungsmodul.
Vorsehen eines Halbleitersubstrats, auf welchem eine Zwischenschicht auf einer Unterschicht, die Silizium aufweist, ausgebildet ist;
Ausbilden einer Kontaktöffnung in der Zwischenschicht unter Verwendung eines Photoresistmusters als eine Ätzmaske, wobei eine Oberfläche der Unterschicht an dem Boden der Kontaktöffnung freigelegt ist;
Laden des Halbleitersubstrats in eine Vorrichtung mit einem Plasmavorbehand lungsmodul und einem Abscheidungsmodul, die miteinander verbunden sind;
Transferieren des Halbleitersubstrats zu dem Plasmavorbehandlungsmodul;
Veraschung des Photoresistmusters in dem Plasmavorbehandlungsmodul, um das Photoresistmuster zu entfernen;
darauffolgendes Entfernen einer beschädigten Schicht an der Oberfläche der Un terschicht, die den Boden der Kontaktöffnung bestimmt, in dem Plasmavorbe handlungsmodul;
darauffolgendes Reinigen des Halbleitersubtrats in dem Plasmavorbehandlungs modul;
darauffolgendes Transferieren des Halbleitersubstrats zu dem Abscheidungsmo dul, während es sich in einem Vakuum befindet; und
Abscheiden von Material auf dem Substrat, das die Kontaktöffnung füllt, in dem Abscheidungsmodul.
2. Verfahren nach Anspruch 1, wobei die Veraschung, das Entfernen der beschädig
ten Schicht und die Vorreinigung jeweils ein Anregen von Gas außerhalb des
Vorbehandlungsmoduls unter Verwendung von Mikrowellen aufweist, um einen
Plasmazustand zu induzieren, und Richten des sich im Plasmazustand befindli
chen Gases in Richtung des Substrats innerhalb des Vorbehandlungsmoduls.
3. Verfahren nach Anspruch 2, wobei die Veraschung ein Erwärmen des Substrats in
dem Vorbehandlungsmodul aufweist.
4. Verfahren nach Anspruch 3, wobei das Erwärmen ein Erzeugen von ultraviolettem
Licht (UV-Licht) innerhalb des Vorbehandlungsmoduls aufweist.
5. Verfahren nach Anspruch 4, wobei die Veraschung ein Anregen von Stickstoffgas
und Sauerstoffgas außerhalb des Vorbehandlungsmoduls unter Verwendung von
Mikrowellen, um den Plasmazustand zu induzieren, sowie ein Zuführen des Stick
stoffgases und des Sauerstoffgases in einen Plasmazustand in Richtung des Sub
strats innerhalb des Vorbehandlungsmoduls aufweist.
6. Verfahren nach Anspruch 5. wobei die Veraschung aufweist:
Zuführen des Stickstoffgases mit einer Rate von 10-2000 sccm und des Sauer stoffgases mit einer Rate von 10-2000 sccm als Reaktionsgase,
Anregen des Gases mit einer Mikrowellenleistung von S00-2000 W,
Aufrechterhalten eines Druckes von 0,1-10 Torr innerhalb des Plasmavorbe handlungsmoduls,
Regulieren der Temperatur des Substrats auf 25-300°C und
Betreiben einer UV-Lampe mit einer Leistung von 300-1000 W zur Versorgung mit UV-Licht.
Zuführen des Stickstoffgases mit einer Rate von 10-2000 sccm und des Sauer stoffgases mit einer Rate von 10-2000 sccm als Reaktionsgase,
Anregen des Gases mit einer Mikrowellenleistung von S00-2000 W,
Aufrechterhalten eines Druckes von 0,1-10 Torr innerhalb des Plasmavorbe handlungsmoduls,
Regulieren der Temperatur des Substrats auf 25-300°C und
Betreiben einer UV-Lampe mit einer Leistung von 300-1000 W zur Versorgung mit UV-Licht.
7. Verfahren nach Anspruch 1, wobei das Entfernen der beschädigten Schicht ein
Anregen des Stickstoffgases und des Sauerstoffgases außerhalb des Vorbehand
lungsmoduls unter Verwendung von Mikrowellen zum Induzieren eines Plasma
zustands sowie ein Zuführen des Stickstoffgases und des Sauerstoffgases in dem
Plasmazustand und eines auf Fluor basierenden Gases in Richtung des Substrats
innerhalb des Vorbehandlungsmoduls aufweist.
8. Verfahren nach Anspruch 7, wobei das auf Fluor basierende Gas NF3 ist.
9. Verfahren nach Anspruch 8, wobei das Entfernen der beschädigten Schicht auf
weist:
Zuführen des Stickstoffgases mit einer Rate von 10-2000 sccm, des Sauerstoff gases mit einer Rate von 10-2000 sccm und des NF3-Gases mit einer Rate von 30-300 sccm als Reaktionsgase,
Anregen des Stickstoffgäses und des Sauerstoffgases mit einer Mikrowellenlei stung von 500-2000 W,
Aufrechterhalten eines Druckes von 0,1-10 Torr innerhalb des Plasmasvorbe handlungsmoduls und
Regulieren der Temperatur des Halbleitersubstrats auf 5-300°C.
Zuführen des Stickstoffgases mit einer Rate von 10-2000 sccm, des Sauerstoff gases mit einer Rate von 10-2000 sccm und des NF3-Gases mit einer Rate von 30-300 sccm als Reaktionsgase,
Anregen des Stickstoffgäses und des Sauerstoffgases mit einer Mikrowellenlei stung von 500-2000 W,
Aufrechterhalten eines Druckes von 0,1-10 Torr innerhalb des Plasmasvorbe handlungsmoduls und
Regulieren der Temperatur des Halbleitersubstrats auf 5-300°C.
10. Verfahren nach Anspruch 1, wobei die Reinigung aufweist:
Zuführen von Wasserstoffgas in einem Plasmazustand und einem auf Fluor basie renden Gas auf das Substrat, um eine chemische Reaktion mit einer Oxidschicht auf der siliziumaufweisenden Unterschicht zu bewirken, wodurch eine Reaktions schicht ausgebildet wird, und Tempern der Reaktionsschicht um diese zu ver dampfen.
Zuführen von Wasserstoffgas in einem Plasmazustand und einem auf Fluor basie renden Gas auf das Substrat, um eine chemische Reaktion mit einer Oxidschicht auf der siliziumaufweisenden Unterschicht zu bewirken, wodurch eine Reaktions schicht ausgebildet wird, und Tempern der Reaktionsschicht um diese zu ver dampfen.
11. Verfahren nach Anspruch 10, wobei das auf Fluor basierende Gas in einem gas
förmigen Zustand zugeführt wird.
12. Verfahren nach Anspruch 10, wobei das Zuführen des auf Fluor basierenden Ga
ses aufweist;
Anregen des auf Fluor basierenden Gases außerhalb des Vorbehandlungsmoduls unter Verwendung von Mikrowellen, um einen Plasmazustand zu induzieren, und
Richten des auf Fluor basierenden Gases in dem Plasmazustand auf das Substrat.
Anregen des auf Fluor basierenden Gases außerhalb des Vorbehandlungsmoduls unter Verwendung von Mikrowellen, um einen Plasmazustand zu induzieren, und
Richten des auf Fluor basierenden Gases in dem Plasmazustand auf das Substrat.
13. Verfahren nach Anspruch 10, wobei das auf Fluor basierende Gas aus der Gruppe
bestehend aus NF3, SF6 und CTF3 ausgewählt wird.
14. Verfahren nach Anspruch 10, wobei die Reinigung aufweist:
Zuführen des Sauerstoffgases bei einer Rate von 10-2000 sccm, des Wasser stoffgases mit einer Rate von 5-200 sccm und des auf Fluor basierenden Gases mit einer Rate von 10-300 sccm als Reaktionsgase,
Anregen des Gases mit einer Mikrowellenleistung von 500-2000 W,
Aufrechterhalten eines Druckes von 0,1-10 Torr innerhalb des Plasmavorbe handlungsmoduls und
Regulieren der Temperatur des Halbleitersubstrats auf 0-50°C.
Zuführen des Sauerstoffgases bei einer Rate von 10-2000 sccm, des Wasser stoffgases mit einer Rate von 5-200 sccm und des auf Fluor basierenden Gases mit einer Rate von 10-300 sccm als Reaktionsgase,
Anregen des Gases mit einer Mikrowellenleistung von 500-2000 W,
Aufrechterhalten eines Druckes von 0,1-10 Torr innerhalb des Plasmavorbe handlungsmoduls und
Regulieren der Temperatur des Halbleitersubstrats auf 0-50°C.
15. Verfahren nach Anspruch 10, wobei die Reinigung aufweist:
Positionieren des Halbleitersubstrats in einem unteren Abschnitt des Vorbehand lungsmoduls während das Gas zum Bewirken der chemischen Reaktion zugeführt wird, und
Positionieren des Substrats in einem oberen Abschnitt des Vorbehandlungsmoduls während das Tempern durchgeführt wird.
Positionieren des Halbleitersubstrats in einem unteren Abschnitt des Vorbehand lungsmoduls während das Gas zum Bewirken der chemischen Reaktion zugeführt wird, und
Positionieren des Substrats in einem oberen Abschnitt des Vorbehandlungsmoduls während das Tempern durchgeführt wird.
16. Verfahren nach Anspruch 10, wobei das Tempern ein Erwärmen des Halbleiter
substrats innerhalb eines Bereichs von 100-500°C aufweist.
17. Verfahren zur Herstellung eines Kontaktes bei einer Halbleitervorrichtung, das
folgende Schritte aufweist:
Vorsehen eines Halbleitersubstrats, auf welchem eine Zwischenschicht auf einer Silizium aufweisenden Unterschicht ausgebildet wird;
Ausbilden einer Kontaktöffnung in der Zwischenschicht unter Verwendung eines Photoresistmusters als eine Ätzmaske, wobei eine Oberfläche der Unterschicht an dem Boden der Kontaktöffnung freigelegt wird;
Veraschung des Photoresistmusters unter Verwendung von Plasma und UV-Licht zum Entfernen des Photoresistmusters in einer Plasmavorbehandlungsverarbei tungskammer;
darauffolgendes Entfernen einer beschädigten Schicht an der Oberfläche der Un terschicht, die den Boden der Kontaktöffnung bestimmt, unter Verwendung eines Plasmas und eines auf Fluor basierenden Gases in einer Plasmavorbehandlungs verarbeitungskammer, wobei ein Oxid an der Oberfläche ausgebildet wird; darauffolgendes Reinigen des Halbleitersubstrats zum Entfernen des Oxids in ei ner Plasmavorbehandlungsverarbeitungskammer, wobei die Reinigung ein Rich ten eines Plasmas, das chemisch mit dem Oxid reagiert, auf die Oberfläche um ei ne Reaktionsschicht auszubilden, und ein Tempern des Substrats zum Verdamp fen der Reaktionsschicht aufweist;
darauffolgendes Transferieren des Halbleitersubstrats, während es sich in einem Vakuum befindet, zu einer Äbscheidungsvorrichtung und
Abscheiden von Material auf dem Substrat, das die Kontaktöffnung auffüllt, in der Abscheidungsvorrichtung.
Vorsehen eines Halbleitersubstrats, auf welchem eine Zwischenschicht auf einer Silizium aufweisenden Unterschicht ausgebildet wird;
Ausbilden einer Kontaktöffnung in der Zwischenschicht unter Verwendung eines Photoresistmusters als eine Ätzmaske, wobei eine Oberfläche der Unterschicht an dem Boden der Kontaktöffnung freigelegt wird;
Veraschung des Photoresistmusters unter Verwendung von Plasma und UV-Licht zum Entfernen des Photoresistmusters in einer Plasmavorbehandlungsverarbei tungskammer;
darauffolgendes Entfernen einer beschädigten Schicht an der Oberfläche der Un terschicht, die den Boden der Kontaktöffnung bestimmt, unter Verwendung eines Plasmas und eines auf Fluor basierenden Gases in einer Plasmavorbehandlungs verarbeitungskammer, wobei ein Oxid an der Oberfläche ausgebildet wird; darauffolgendes Reinigen des Halbleitersubstrats zum Entfernen des Oxids in ei ner Plasmavorbehandlungsverarbeitungskammer, wobei die Reinigung ein Rich ten eines Plasmas, das chemisch mit dem Oxid reagiert, auf die Oberfläche um ei ne Reaktionsschicht auszubilden, und ein Tempern des Substrats zum Verdamp fen der Reaktionsschicht aufweist;
darauffolgendes Transferieren des Halbleitersubstrats, während es sich in einem Vakuum befindet, zu einer Äbscheidungsvorrichtung und
Abscheiden von Material auf dem Substrat, das die Kontaktöffnung auffüllt, in der Abscheidungsvorrichtung.
18. Verfahren nach Anspruch 17, wobei die Veraschung, das Entfernen der beschä
digten Schicht und das Reinigen jeweils ein Anregen von Gas unter Verwendung
von Mikrowellen aufweist.
19. Verfahren nach Anspruch 17, wobei die Veraschung ein Anregen von Stickstoff
gas und Sauerstoffgas unter Verwendung von Mikrowellen zum Hervorrufen ei
nes Plasmazustands aufweist.
20. Verfahren nach Anspruch 19, wobei die Veraschung aufweist:
Zuführen des Stickstoffgases mit einer Rate von 10-2000 sccm und des Sauer stoffgases mit einer Rate von 10-2000 sccm als Reaktionsgase,
Anregen des Gases mit einer Mikrowellenleistung von 500-2000 W,
Aufrechthalten eines Druckes von 0,1-10 Torr innerhalb der Plasmavorbehand lungsverarbeitungskammer, in welcher der Veraschungsprozeß ausgeführt wird,
Regulieren der Temperatur des Substrats auf 25-300°C und
Betreiben einer UV-Lampe mit 300-1000 W Leistung zum Versorgen mit UV- Licht aufweist.
Zuführen des Stickstoffgases mit einer Rate von 10-2000 sccm und des Sauer stoffgases mit einer Rate von 10-2000 sccm als Reaktionsgase,
Anregen des Gases mit einer Mikrowellenleistung von 500-2000 W,
Aufrechthalten eines Druckes von 0,1-10 Torr innerhalb der Plasmavorbehand lungsverarbeitungskammer, in welcher der Veraschungsprozeß ausgeführt wird,
Regulieren der Temperatur des Substrats auf 25-300°C und
Betreiben einer UV-Lampe mit 300-1000 W Leistung zum Versorgen mit UV- Licht aufweist.
21. Verfahren nach Anspruch 17, wobei das auf Fluor basierende Gas NF3 ist.
22. Verfahren nach Anspruch 21, wobei das Entfernen der beschädigten Schicht auf
weist:
Zuführen des Stickstoffgases mit einer Rate von 10-2000 sccm und des Sauer stoffgases mit einer Rate von 10-2000 sccm und des NF3-Gases mit einer Rate von 30-300 sccm als Reaktionsgase,
Anregen des Stickstoffgases und des Sauerstoffgases mit einer Mikrowellenlei stung von 500-2000 W,
Aufrechterhalten eines Drucks von 0,1-10 Torr innerhalb der Vorbehandlungs verarbeitungskammer, in welcher das Entfernen der beschädigten Schicht ausge führt wird, und
Regulieren der Temperatur des Halbleitersubstrats auf 500-300°C.
Zuführen des Stickstoffgases mit einer Rate von 10-2000 sccm und des Sauer stoffgases mit einer Rate von 10-2000 sccm und des NF3-Gases mit einer Rate von 30-300 sccm als Reaktionsgase,
Anregen des Stickstoffgases und des Sauerstoffgases mit einer Mikrowellenlei stung von 500-2000 W,
Aufrechterhalten eines Drucks von 0,1-10 Torr innerhalb der Vorbehandlungs verarbeitungskammer, in welcher das Entfernen der beschädigten Schicht ausge führt wird, und
Regulieren der Temperatur des Halbleitersubstrats auf 500-300°C.
23. Verfahren nach Anspruch 17, wobei die Reinigung aufweist:
Zuführen von Wasserstoffgas und Stickstoffgas in einen Plasmazustand und ei nem auf Fluor basierenden Gas auf das Substrat.
Zuführen von Wasserstoffgas und Stickstoffgas in einen Plasmazustand und ei nem auf Fluor basierenden Gas auf das Substrat.
24. Verfahren nach Anspruch 23, wobei das auf Fluor basierende Gas in einem gas
förmigen Zustand zugeführt wird.
25. Verfahren nach Anspruch 24, wobei das Zuführen des auf Fluor basierenden Ga
ses eine Anregen des auf Fluor basierenden Gases unter Verwendung von Mikro
wellen zum Induzieren eines Plasmazustands aufweist.
26. Verfahren nach Anspruch 25, wobei das auf Fluor basierende Gas aus der Gruppe
bestehend aus NF3, SF6 und CIF3 ausgewählt wird.
27. Verfahren nach Anspruch 23, wobei die Reinigung aufweist:
Zuführen des Stickstoffgases mit einer Rate von 10-2000 sccm, des Wasser stoffgases mit einer Rate von 5-200 sccm und des auf Fluor basierenden Gases mit einer Rate von 10-300 sccm als Reaktionsgase,
Anregen der Gase mit einer Mikrowellenleistung von 500-2000 W,
Aufrechterhalten eines Drucks von 0,1-10 Torr innerhalb der Vorbehandlungs verarbeitungskammer, in welcher das Reinigen durchgeführt wird, und
Regulieren der Temperatur des Halbleitersubstrats von 0-50°C.
Zuführen des Stickstoffgases mit einer Rate von 10-2000 sccm, des Wasser stoffgases mit einer Rate von 5-200 sccm und des auf Fluor basierenden Gases mit einer Rate von 10-300 sccm als Reaktionsgase,
Anregen der Gase mit einer Mikrowellenleistung von 500-2000 W,
Aufrechterhalten eines Drucks von 0,1-10 Torr innerhalb der Vorbehandlungs verarbeitungskammer, in welcher das Reinigen durchgeführt wird, und
Regulieren der Temperatur des Halbleitersubstrats von 0-50°C.
28. Verfahren nach Anspruch 17, wobei das Reinigen aufweist:
Positionieren des Halbleitersubstrats in einem unteren Abschnitt der Vorbehand- lungsverarbeitungskammer, während die chemische Reaktion bewirkt wird, und Positionieren des Substrats in einem oberen Abschnitt der Vorbehandlungsverar beitungskammer, während das Tempern durchgeführt wird.
Positionieren des Halbleitersubstrats in einem unteren Abschnitt der Vorbehand- lungsverarbeitungskammer, während die chemische Reaktion bewirkt wird, und Positionieren des Substrats in einem oberen Abschnitt der Vorbehandlungsverar beitungskammer, während das Tempern durchgeführt wird.
29. Verfahren nach Anspruch 17, wobei das Tempern ein Erwärmen des Halbleiter
substrats innerhalb eines Bereichs von 100-500°C aufweist.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR10-2000-0070491A KR100382725B1 (ko) | 2000-11-24 | 2000-11-24 | 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법 |
KR00-70491 | 2000-11-24 |
Publications (2)
Publication Number | Publication Date |
---|---|
DE10157223A1 true DE10157223A1 (de) | 2002-06-27 |
DE10157223B4 DE10157223B4 (de) | 2006-11-16 |
Family
ID=19701322
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
DE10157223A Expired - Lifetime DE10157223B4 (de) | 2000-11-24 | 2001-11-22 | Verfahren zur Herstellung eines Kontaktes bei einer Halbleitervorrichtung unter Verwendung einer Cluster-Vorrichtung mit zumindest einem Plasmavorbehandlungsmodul |
Country Status (6)
Country | Link |
---|---|
US (1) | US6767834B2 (de) |
JP (1) | JP2002222861A (de) |
KR (1) | KR100382725B1 (de) |
CN (1) | CN1187796C (de) |
DE (1) | DE10157223B4 (de) |
TW (1) | TW520553B (de) |
Families Citing this family (194)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2002129334A (ja) * | 2000-10-26 | 2002-05-09 | Applied Materials Inc | 気相堆積装置のクリーニング方法及び気相堆積装置 |
KR100451034B1 (ko) * | 2002-08-27 | 2004-10-02 | 주식회사 테라텍 | 반도체 소자 제조방법 |
KR100475122B1 (ko) * | 2002-12-20 | 2005-03-10 | 삼성전자주식회사 | 실리콘 접촉저항을 개선할 수 있는 반도체 소자 형성방법 |
US20040129385A1 (en) * | 2003-01-02 | 2004-07-08 | International Business Machines Corporation | Pre-loaded plasma reactor apparatus and application thereof |
KR100524197B1 (ko) | 2003-04-29 | 2005-10-27 | 삼성전자주식회사 | 매엽식 반도체 소자 제조장치 및 이를 이용한 게이트 전극및 콘택 전극의 연속 형성방법 |
KR100680944B1 (ko) * | 2003-05-27 | 2007-02-08 | 주식회사 하이닉스반도체 | 반도체 소자의 제조방법 |
KR100968567B1 (ko) * | 2003-07-22 | 2010-07-08 | 삼성전자주식회사 | 다층 박막의 제조 방법 및 이를 이용한 박막 트랜지스터표시판의 제조 방법 |
US7371637B2 (en) * | 2003-09-26 | 2008-05-13 | Cypress Semiconductor Corporation | Oxide-nitride stack gate dielectric |
KR101050943B1 (ko) * | 2004-01-09 | 2011-07-20 | 매그나칩 반도체 유한회사 | 반도체 장치의 금속배선 형성 방법 |
US20050230350A1 (en) * | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US7351663B1 (en) * | 2004-06-25 | 2008-04-01 | Cypress Semiconductor Corporation | Removing whisker defects |
JP4032058B2 (ja) * | 2004-07-06 | 2008-01-16 | 富士通株式会社 | 半導体装置および半導体装置の製造方法 |
US20060105561A1 (en) * | 2004-11-15 | 2006-05-18 | Yunjun Huh | Method of manufacturing a self-aligned contact structure |
US7162317B2 (en) * | 2005-02-25 | 2007-01-09 | Lam Research Corporation | Methods and apparatus for configuring plasma cluster tools |
KR100780045B1 (ko) * | 2006-03-06 | 2007-11-27 | (주) 디오브이 | 유기전계 발광소자 플라즈마 전처리 챔버 |
US8252640B1 (en) | 2006-11-02 | 2012-08-28 | Kapre Ravindra M | Polycrystalline silicon activation RTA |
CN101004559B (zh) * | 2006-12-21 | 2012-01-11 | 上海集成电路研发中心有限公司 | 一种增强光刻工艺中图形黏附力的方法 |
CN101504917B (zh) * | 2008-05-27 | 2011-08-31 | 深圳深爱半导体有限公司 | 防止vdmos管二次击穿的方法 |
CN102005372A (zh) * | 2009-08-31 | 2011-04-06 | 中芯国际集成电路制造(上海)有限公司 | 制作半导体器件的方法 |
CN102024681B (zh) * | 2009-09-11 | 2012-03-07 | 中芯国际集成电路制造(上海)有限公司 | 用于制造半导体器件的方法 |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
JP5374462B2 (ja) * | 2010-08-23 | 2013-12-25 | 東京エレクトロン株式会社 | 剥離システム、剥離方法、プログラム及びコンピュータ記憶媒体 |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
WO2013134127A1 (en) * | 2012-03-05 | 2013-09-12 | First Solar, Inc. | Method and apparatus for forming a transparent conductive oxide using hydrogen |
CN103456676A (zh) * | 2012-05-31 | 2013-12-18 | 无锡华润上华科技有限公司 | 接触孔硅凹槽蚀刻方法 |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US20140042152A1 (en) * | 2012-08-08 | 2014-02-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Variable frequency microwave device and method for rectifying wafer warpage |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US9865501B2 (en) * | 2013-03-06 | 2018-01-09 | Lam Research Corporation | Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
JP6165518B2 (ja) * | 2013-06-25 | 2017-07-19 | 株式会社日立ハイテクノロジーズ | プラズマ処理方法および真空処理装置 |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
JP6163442B2 (ja) * | 2014-03-05 | 2017-07-12 | 株式会社東芝 | 半導体製造装置及び半導体装置の製造方法 |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299557B2 (en) | 2014-03-19 | 2016-03-29 | Asm Ip Holding B.V. | Plasma pre-clean module and process |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
JP6235981B2 (ja) * | 2014-07-01 | 2017-11-22 | 東京エレクトロン株式会社 | 被処理体を処理する方法 |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9831183B2 (en) | 2014-08-07 | 2017-11-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure and method of forming |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9474163B2 (en) | 2014-12-30 | 2016-10-18 | Asm Ip Holding B.V. | Germanium oxide pre-clean module and process |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10373850B2 (en) | 2015-03-11 | 2019-08-06 | Asm Ip Holding B.V. | Pre-clean chamber and process with substrate tray for changing substrate temperature |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
KR102570269B1 (ko) * | 2016-07-22 | 2023-08-25 | 삼성전자주식회사 | 전세정 장치 및 기판 처리 시스템 |
KR101868478B1 (ko) | 2016-08-17 | 2018-07-23 | 주식회사 아이씨케이 | 비접촉식 카드 기능을 갖는 메탈 카드 |
KR102005042B1 (ko) | 2016-08-17 | 2019-07-29 | 주식회사 아이씨케이 | 강화된 강도를 갖는 비접촉식 카드 기능을 갖는 메탈 카드 및 그에 사용되는 금속판 어셈블리, 그리고 그들의 제조 방법 |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US20180261464A1 (en) * | 2017-03-08 | 2018-09-13 | Tokyo Electron Limited | Oxide film removing method, oxide film removing apparatus, contact forming method, and contact forming system |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10443146B2 (en) | 2017-03-30 | 2019-10-15 | Lam Research Corporation | Monitoring surface oxide on seed layers during electroplating |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
CN109979806B (zh) * | 2017-12-26 | 2021-04-30 | 中国科学院苏州纳米技术与纳米仿生研究所 | 兼容真空环境的图形转移的方法及系统 |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
JP7169866B2 (ja) * | 2018-12-14 | 2022-11-11 | 東京エレクトロン株式会社 | 基板処理方法 |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
KR20200144186A (ko) | 2019-06-17 | 2020-12-29 | 유인종 | 페라이트를 이용한 비접촉식 메탈 카드용 인레이 제조방법 및 카드 제조 방법 |
KR20200145883A (ko) | 2019-06-19 | 2020-12-31 | 유인종 | 양면 이용 가능한 메탈카드용 미니인레이 및 이를 이용한 메탈카드 |
KR102308861B1 (ko) | 2019-11-28 | 2021-10-06 | 유인종 | 비접촉식 메탈카드 및 그 제조방법 |
CN113053744B (zh) * | 2019-12-27 | 2024-03-22 | 株式会社日立高新技术 | 半导体装置的制造方法 |
KR102669097B1 (ko) | 2021-06-17 | 2024-05-23 | 아이씨케이 인터내셔널 잉크 | 비접촉식 카드 기능을 갖는 메탈 카드 및 그 제조방법 |
US20230268223A1 (en) * | 2022-02-24 | 2023-08-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices and methods of manufacture |
KR102613064B1 (ko) | 2023-08-14 | 2023-12-12 | 주식회사 티아이씨피 | 듀얼 인터페이스 기능을 갖는 메탈 카드 및 그 제조방법 |
Family Cites Families (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2814021B2 (ja) | 1990-07-09 | 1998-10-22 | 三菱電機株式会社 | 半導体基板表面の処理方法 |
KR920007124A (ko) * | 1990-09-04 | 1992-04-28 | 김광호 | 폴리 에미터 바이폴라 트랜지스터의 제조방법 |
JP3084497B2 (ja) * | 1992-03-25 | 2000-09-04 | 東京エレクトロン株式会社 | SiO2膜のエッチング方法 |
US5350480A (en) * | 1993-07-23 | 1994-09-27 | Aspect International, Inc. | Surface cleaning and conditioning using hot neutral gas beam array |
JPH0799178A (ja) * | 1993-09-28 | 1995-04-11 | Fujitsu Ltd | 半導体装置の製造方法 |
JP3529849B2 (ja) * | 1994-05-23 | 2004-05-24 | 富士通株式会社 | 半導体装置の製造方法 |
JP2978748B2 (ja) * | 1995-11-22 | 1999-11-15 | 日本電気株式会社 | 半導体装置の製造方法 |
KR19980077122A (ko) * | 1997-04-16 | 1998-11-16 | 김영환 | 반도체 장치의 콘택홀 형성 방법 |
GB9715013D0 (en) * | 1997-07-17 | 1997-09-24 | Cambridge Consultants | Liquid feed bottle |
US6107192A (en) | 1997-12-30 | 2000-08-22 | Applied Materials, Inc. | Reactive preclean prior to metallization for sub-quarter micron application |
US6110836A (en) | 1999-04-22 | 2000-08-29 | Applied Materials, Inc. | Reactive plasma etch cleaning of high aspect ratio openings |
US6346489B1 (en) * | 1999-09-02 | 2002-02-12 | Applied Materials, Inc. | Precleaning process for metal plug that minimizes damage to low-κ dielectric |
US6228563B1 (en) * | 1999-09-17 | 2001-05-08 | Gasonics International Corporation | Method and apparatus for removing post-etch residues and other adherent matrices |
US6492272B1 (en) * | 2001-02-15 | 2002-12-10 | Advanced Micro Devices, Inc. | Carrier gas modification for use in plasma ashing of photoresist |
-
2000
- 2000-11-24 KR KR10-2000-0070491A patent/KR100382725B1/ko active IP Right Grant
-
2001
- 2001-10-25 US US09/983,790 patent/US6767834B2/en not_active Expired - Lifetime
- 2001-11-08 TW TW090127753A patent/TW520553B/zh not_active IP Right Cessation
- 2001-11-15 JP JP2001349915A patent/JP2002222861A/ja active Pending
- 2001-11-22 DE DE10157223A patent/DE10157223B4/de not_active Expired - Lifetime
- 2001-11-23 CN CNB011396091A patent/CN1187796C/zh not_active Expired - Lifetime
Also Published As
Publication number | Publication date |
---|---|
US20020064944A1 (en) | 2002-05-30 |
JP2002222861A (ja) | 2002-08-09 |
KR20020040445A (ko) | 2002-05-30 |
CN1187796C (zh) | 2005-02-02 |
DE10157223B4 (de) | 2006-11-16 |
US6767834B2 (en) | 2004-07-27 |
KR100382725B1 (ko) | 2003-05-09 |
TW520553B (en) | 2003-02-11 |
CN1356719A (zh) | 2002-07-03 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
DE10157223B4 (de) | Verfahren zur Herstellung eines Kontaktes bei einer Halbleitervorrichtung unter Verwendung einer Cluster-Vorrichtung mit zumindest einem Plasmavorbehandlungsmodul | |
DE69124672T2 (de) | Verfahren zur Substratbearbeitung | |
DE68928402T2 (de) | Verfahren zur Entfernung einer Oxidschicht auf einem Substrat | |
DE69034092T2 (de) | Vorrichtung zur Behandlung von Proben | |
DE3873847T2 (de) | Behandlungsapparat und -verfahren. | |
US6638855B1 (en) | Method of filling contact hole of semiconductor device | |
DE69522195T2 (de) | Herstellungsverfahren für Halbleiteranordnungen | |
TWI587390B (zh) | 用以蝕刻有機硬遮罩之方法 | |
DE10255688A1 (de) | Verfahren und Vorrichtung zum Durchführen von sequentiellen Verfahren, die verschiedene Zeitdauern erfordern, bei der Herstellung von Halbleitervorrichtung | |
DE102014119164A1 (de) | Method for Metal Gate Surface Clean | |
DE19801558A1 (de) | Verfahren und Vorrichtung zum Herstellen einer Halbleitereinrichtung | |
DE102014019369B4 (de) | Halbleiterbauelement und herstellungsverfahren | |
DE102017117798A1 (de) | Halbleiter-bauelement und verfahren zu dessen herstellung | |
DE102016103691A1 (de) | Bearbeitungssystem und -verfahren | |
DE69835765T2 (de) | Plasma-Verfahren | |
DE10065224A1 (de) | Verfahren zur Herstellung von Kondensatoren für Halbleitereinrichtungen | |
US5639679A (en) | Method of manufacturing a semiconductor device comprising a non-volatile memory cell having a multi-layered floating gate | |
US7094637B2 (en) | Method for minimizing the vapor deposition of tungsten oxide during the selective side wall oxidation of tungsten-silicon gates | |
US6569782B2 (en) | Insulating layer, semiconductor device and methods for fabricating the same | |
EP0211318B1 (de) | Verfahren zum selektiven Auffüllen von in Isolationsschichten geätzten Kontaktlöchern mit metallisch leitenden Materialien bei der Herstellung von höchstintegrierten Halbleiterschaltungen sowie eine Vorrichtung zur Durchführung des Verfahrens | |
US6472329B1 (en) | Etching aluminum over refractory metal with successive plasmas | |
DE3874638T2 (de) | Behandlungsapparat und -verfahren. | |
DE10297788B4 (de) | Vorrichtung für die Herstellung einer Halbleitervorrichtung mit zwei Kammern und Verfahren für die Herstellung einer Halbleitervorrichtung unter Verwendung dieser Vorrichtung | |
DE3714144C2 (de) | Verfahren zum chemischen Trockenätzen | |
DE10219108A1 (de) | Hocheffizienter Fernreinigungsprozess für Prozesskammern in Abscheideanlagen |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
OP8 | Request for examination as to paragraph 44 patent law | ||
8364 | No opposition during term of opposition | ||
R071 | Expiry of right |