DE10157223B4 - Verfahren zur Herstellung eines Kontaktes bei einer Halbleitervorrichtung unter Verwendung einer Cluster-Vorrichtung mit zumindest einem Plasmavorbehandlungsmodul - Google Patents

Verfahren zur Herstellung eines Kontaktes bei einer Halbleitervorrichtung unter Verwendung einer Cluster-Vorrichtung mit zumindest einem Plasmavorbehandlungsmodul Download PDF

Info

Publication number
DE10157223B4
DE10157223B4 DE10157223A DE10157223A DE10157223B4 DE 10157223 B4 DE10157223 B4 DE 10157223B4 DE 10157223 A DE10157223 A DE 10157223A DE 10157223 A DE10157223 A DE 10157223A DE 10157223 B4 DE10157223 B4 DE 10157223B4
Authority
DE
Germany
Prior art keywords
gas
plasma
layer
fluorine
semiconductor substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE10157223A
Other languages
English (en)
Other versions
DE10157223A1 (de
Inventor
Seung-Pil Chung
Kyeong-Koo Chi
Ji-Soo Kim
Chang-woong Suwon Chu
Sang-Hun Seo
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of DE10157223A1 publication Critical patent/DE10157223A1/de
Application granted granted Critical
Publication of DE10157223B4 publication Critical patent/DE10157223B4/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Verfahren zur Herstellung eines Kontaktes bei einer Halbleitervorrichtung, das folgende Schritte aufweist:
Vorsehen eines Halbleitersubstrats, auf welchem eine Zwischenschicht auf einer Unterschicht, die Silizium aufweist, ausgebildet ist;
Ausbilden einer Kontaktöffnung in der Zwischenschicht unter Verwendung eines Photoresistmusters als eine Ätzmaske, wobei eine Oberfläche der Unterschicht an dem Boden der Kontaktöffnung freigelegt ist;
Laden des Halbleitersubstrats in eine Vorrichtung mit einem Plasmavorbehandlungsmodul und einem Abscheidungsmodul, die miteinander verbunden sind, wobei der Plasmavorbehandlungsmodul eine Vakuumkammer und ein Plasmaerzeugungsgerät aufweist, welches dazu dient die Vakuumkammer mit Plasma zu versehen;
Transferieren des Halbleitersubstrats in die Vakuumkammer des Plasmavorbehandlungsmoduls;
Veraschung des Photoresistmusters in der Vakuumkammer des Plasmavorbehandlungsmoduls, um das Photoresistmuster zu entfernen;
darauffolgendes Entfernen einer beschädigten Schicht an der Oberfläche der Unterschicht, die den Boden der Kontaktöffnung bestimmt, in der Vakuumkammer des Plasmavorbehandlungsmoduls;
darauffolgendes Reinigen des Halbleitersubtrats in der Vakuumkammer des Plasmavorbehandlungsmoduls;
darauffolgendes Transferieren des Halbleitersubstrats aus...

Description

  • HINTERGRUND DER ERFINDUNG
  • Gebiet der Erfindung
  • Die vorliegende Erfindung betrifft ein Verfahren zur Herstellung eines Kontaktes bei einer Halbleitervorrichtung nach dem Anspruch 1 und nach dem Anspruch 17.
  • Aus der US 5,306,671 ist ein Verfahren zum Reinigen von Halbleiterwafern und von Kontaktlöchern in Isolierfilmen bekannt, wobei das Verfahren zum Reinigen von Kontaktlöchern die folgenden Schritte umfaßt: Entfernen einer beschädigten Oberflächenschicht mittels NF3 soft tech, wobei eine SiFx-Schicht gebildet wird und Entfernen der SiFx Schicht in Wasserstoffatmosphäre und durch UV-Einstrahlung bei Temperaturen des Substrats von etwa 250°C unter Bilden von SiFx+H→HF.
  • Aus der Litteraturstelle PEIGNON, M.C.; CLENET, F.; TURBAN, G.: Contact Etching Process Characterization by Using Angular X-Ray Photoelectron Spectroscopy Technique. In: J. Electrochem. Soc., 1996, Vol. 143, No. 4, Seite 1347-1354, ist es bekannt, eine Kontaktlochreinigung als "post etch" mit SF6/O2-Plasma und anschließendem "Stripping" mittels O2-Plasma und Naßreinigen in H2SO4/H2O2 durchzuführen.
  • Aus der US 6,110,836 ist ein einstufiges Reinigen eines Kontaktloches mit natürlichem Oxid durch Einwirken von Fluorplasma bekannt.
  • Eine hochintegrierte Halbleitervorrichtung wird durch Aufeinanderstapeln von zahlreichen leitenden oder halbleitenden Schichten mit jeweils dazwischen angeordneten Isolationsschichten und einem Verbinden der leitenden oder halbleitenden Schichten miteinander hergestellt. Typischerweise wird eine Kontaktöffnung zum elektrischen Verbinden der leitenden Schichten oder halbleitenden Schichten verwendet. Ein Verfahren zum Ausbilden einer derartigen hochintegrierten Halbleitervorrichtung enthält die Schritte eines Ausbildens einer unteren (leitenden oder halbleitenden) Schicht, Ausbilden einer Isolationsschicht darauf, Ausbilden einer Kontaktöffnung durch die Isolationsschicht hindurch, wobei die Kontaktöffnung die untere Schicht freilegt, und Ausbil den einer oberen (leitenden oder halbleitenden) Schicht auf der Isolationsschicht, die die Kontaktöffnung mit einem leitenden Material auffüllt.
  • Im Allgemeinen wird die Kontaktöffnung durch ein Plasmatrockenätzverfahren ausgebildet. Bei diesem Verfahren wird die Isolationsschicht durch reaktive Ionen des Plasmas geätzt, die sich mit hohen Geschwindigkeiten bewegen. Da die Herstellung einer Halbleitervorrichtung fast immer ein anisotropes Ätzen beinhaltet, ist das Plasmatrockenätzverfahren eines der wesentlichen Verfahren bei der Halbleiterherstellung.
  • Wenn jedoch das Plasmatrockenätzen ausgeführt wird, erzeugen die reaktiven Ionen des Plasmas einen Gitterfehler auf der Oberfläche des Wafers oder beschädigen die Oberfläche, die am Boden der Kontaktöffnung freigelegt wird. Falls dies zugelassen werden würde, würde ein Fehler oder Schaden die elektrischen Eigenschaften der Vorrichtung nachteilig beeinflussen.
  • Dementsprechend enthalten herkömmliche Behandlungsverfahren ein Wärmebehandlungsverfahren des Wafers (Tempern), um zu verhindern, daß seine Oberfläche während des Plasma-Ätzverfahrens beschädigt wird, und ein Plug-Implantationsverfahren, nach dem die Kontaktöffnung ausgebildet worden ist, um jeglichen Schaden auf der Oberfläche, die den Boden der Kontaktöffnung bestimmt, zu korrigieren. Ebenso ist ein Verfahren zum Entfernen der beschädigten Schicht an dem Boden der Kontaktöffnung bekannt.
  • 1 zeigt ein herkömmliches Herstellungsverfahren von dem Zeitpunkt einer Kontaktöffnungsausbildung an bis zu dem Zeitpunkt der Ausbildung einer oberen leitenden oder halbleitenden Schicht. Gemäß 1 wird die Kontaktöffnung durch eine Plasmatrockenätz-Vorrichtung (S10) ausgebildet. Im Allgemeinen wird die Kontaktöffnung durch Ausbilden einer Isolationsschicht auf einem Halbleitersubstrat oder einer anderen bestimmten Unterschicht (oder "Bodenschicht"), Ausbilden eines Photoresistmusters, auf der Isolationsschicht unter Verwendung eines photolithographischen Verfahrens und Ätzen der Isolationsschicht unter Verwendung des Photoresistmusters als eine Ätzmaske ausgebildet.
  • Als nächstes wird das Halbleitersubstrat zu einer Veraschungsvorrichtung (Ashing-Vorrichtung) bewegt, worauf das Photoresistmuster verascht und entfernt wird (S12). Als nächstes wird ein Photoresist-Stripping-Verfahren (Photoresist = PR) durchgeführt (S14). Bei diesem Verfahren wird das Halbleitersubstrat von der Veraschungsvorrichtung zu einem Naßbad transferiert bzw. transportiert, die mit Schwefelsäure zum Entfernen von Resten des Photoresistmusters, die durch das Veraschungsverfahren nicht entfernt worden sind, gefüllt ist
  • Ein Rückstandsverarbeitungsverfahren wird zum Entfernen einer beschädigten Schicht, die durch das Plasmatrockenätzverfahren auf der Oberfläche des Halbleitersubstrats oder auf der Oberfläche einer bestimmten Unterschicht, die den Boden der Kontaktöffnung bestimmt, durchgeführt (S16). Das Rückstandsverarbeitungsverfahren wird unter Verwendung einer niedrigen Vorspannungsbedingung (low bias condition) und CF4 oder Sauerstoffgas durchgeführt. Als nächstes wird ein Vorbehandlungsreinigungsverfahren in dem Naßbad durchgeführt (S18), um eine andere beschädigte Schicht, die durch das Rückstandsverarbeitungsverfahren ausgebildet worden ist, zu entfernen und jegliche Restverunreinigungen, wie beispielsweise Kohlenstoff, aus der Kontaktöffnung zu entfernen.
  • Anschließend wird das vorverarbeitete Halbleitersubstrat zu einer Abscheidungsvorrichtung transportiert, wobei die obere Schicht zum Auffüllen der Kontaktöffnung ausgebildet wird (S20).
  • Jedoch sind herkömmliche Herstellungsverfahren Gegenstand folgender Probleme.
    • 1. Zunächst erfordert das Photoresist-Stripping-Verfahren eine beträchtliche Verfahrenszeitdauer und erhöht die Wahrscheinlichkeit, daß das Halbleitersubstrat verun reinigt wird, da das PR-Stripping-Verfahren in einer Naßröhre mit einer Schwefelsäure durchgeführt wird.
    • 2. Die Oberfläche, die durch die Kontaktöffnung freigelegt ist, kann durch das Rückstandsverarbeitungsverfahren, das mit der Trockenätz-Vorrichtung durchgeführt wird, beschädigt werden. Jedoch variieren die Ätzraten von verschiedenen Schichten, die die Seitenwände der Kontaktöffnungen bestimmen, in Bezug auf die Reinigungslösung, die bei dem Vorbehandlungsreinigungsverfahren verwendet wird, obwohl die beschädigte Schicht beim Durchführen eines Vorbehandlungsreinigungsverfahrens in einem Naßbad entfernt werden kann. Somit werden die Seitenwände, die die Kontaktöffnung bestimmen, uneben und das Muster, das auf dem Halbleitersubstrat ausgebildet wird, verschlechtert sich aufgrund von Überätzen.
    • 3. Auch nachdem das Vorbehandlungsreinigungsverfahren durchgeführt worden ist, bildet sich eine neue natürliche Oxidschicht auf der Oberfläche, die den Boden der Kontaktöffnung bestimmt, während das Halbleitersubstrat zu der Abscheidungsvorrichtung transportiert wird. Die natürliche Oxidschicht verhindert, daß sich zwischen der Oberfläche der unteren Schicht, die den Boden der Kontaktöffnung bestimmt, und der unteren Schicht, die durch das Abscheidungsverfahren ausgebildet worden ist, sich ein guter Kontakt bildet.
    • 4. Es ist schwierig, die Verfahren zu integrieren, da im allgemeinen die Trockenätzungs- und Abscheidungsverfahren auf einen Wafer zu einem Zeitpunkt durchgeführt werden, wo hingegen das Photoresist-Stripping-Verfahren und das Vorbehandlungsreinigungsverfahren, bei der die Verwendung eines Naßbades vorkommt, Batch-Verfahren ("Stapelverarbeitungsverfahren") sind. Dementsprechend müssen die Halbleitersubstrate verschiedene getrennte Verarbeitungsvorrichtungen durchlaufen, wobei das Substrat mehr dazu neigt, verunreinigt zu werden. Obgleich eine große Menge an Verarbeitungszeit aufgewendet wird, werden viele der Vorrichtungen daher minderwertige elektrische Eigenschaften aufweisen.
  • KURZFASSUNG DER ERFINDUNG
  • Die der Erfindung zu Grunde liegende Aufgabe besteht darin, ein Verfahren zum Ausbilden eines Kontaktes bei einer Halbleitervorrichtung zu schaffen, mit dessen Hilfe das Halbleitersubstrat während der gesamten Prozessschritte vor einer Verunreinigung sicher geschützt wird.
  • Gemäß einem ersten Lösungsvorschlag wird diese genannte Aufgabe erfindungsgemäß durch die im Anspruch 1 aufgeführten Merkmale gelöst.
  • Vorteilhafte Ausgestaltungen und Weiterbildungen des erfindungsgemäßen Verfahrens ergeben sich aus den Unteransprüchen 2 bis 16.
  • Gemäß einem zweiten Lösungsvorschlag wird die oben genannte Aufgabe durch die im Anspruch 17 aufgeführten Merkmale gelöst, wobei vorteilhafte Ausgestaltungen und Weiterbildungen dieser zweiten Lösung aus den Unteransprüchen 18 bis 29 hervorgehen.
  • Die Kontaktöffnung wird unter Verwendung eines Photoresistmusters als eine Ätzmaske ausgebildet, wobei die Oberfläche eines unterliegenden Materials, das Silizium aufweist, freigelegt wird. Anschließend wird das Halbleitersubstrat in eine Cluster-Vorrichtung geladen, die eine oder mehrere Plasmavorbehandlungs- und Abscheidungsmodule (Deposition) aufweist, die durch eine andere Vorrichtung verbunden ist, in welcher ein Vakuum aufrecht erhalten werden kann. Bei einem ersten Vorbehandlungsverfahren wird das Photoresistmuster durch Veraschung entfernt. Bei einem zweiten Vorbehandlungsverfahren wird die beschädigte Schicht an der Oberfläche, die durch die Kontaktöffnung freigelegt ist, entfernt. Bei einem dritten Vorbehandlungsverfahren wird das Halbleitersubstrat gereinigt. Das Halbleitersubstrat wird anschließend zu dem Abscheidungsmodul transferiert, wobei das Substrat in einem Vakuum gehalten wird. Dort wird ein Film bzw. eine Schicht auf dem Substrat ausgebildet, um die Kontaktöffnung aufzufüllen und dadurch einen elektrischen Kontakt mit der Unterschicht zu bilden.
  • Die Unterschicht kann aus einer Silizium-, Polysilizium- oder Silizidschicht bestehen. Die obere Schicht, die zum Auffüllen der Kontaktöffnungen ausgebildet wird, ist eine leitende Schicht, beispielsweise eine, die aus einer Polysiliziumschicht und einer Metallschicht ausgebildet worden ist.
  • Das Plasmavorbehandlungsmodul ist zum Erzeugen eines Remote-Plasmas (d.h. räumlich vom Abscheidungsraum getrennten Plasmas) unter Verwendung von Mikrowellen entworfen worden. In diesem Zusammenhang wird das Verfahren der Veraschung des Photoresistmusters unter Verwendung von Stickstoffgas und Sauerstoffgas in einem Plasmazustand durchgeführt, gefolgt von einer Anwendung von UV-Licht. Das Verfahren zum Entfernen der beschädigten Schicht wird unter Verwendung von Stickstoffgas und Sauerstoffgas in einem Plasmazustand und einem auf Flour basierenden Gas in einem Plasmazustand oder in einem normalen Gaszustand durchgeführt. Zudem weist das Reinigungsverfahren die Verwendung von Wasserstoffgas und einem auf Flour basierenden Gas in einem Plasmazustand auf, um eine chemische Reaktion mit einer Oxidschicht auf der Oberfläche der Unterschicht, die an dem Boden der Kontaktöffnung freigelegt ist, zu bewirken, wodurch eine Reaktionsschicht sich ausbildet und eine Wärmebehandlung (Tempern) der Reaktionsschicht verdampft diese.
  • Gemäß der vorliegenden Erfindung kann ein Veraschungsverfahren, ein Rückstandsverarbeitungsverfahren und ein Vorbehandlungsreinigungsverfahren ununterbrochen in einem Plasmavorbehandlungsmodul einer Cluster-Vorrichtung durchgeführt werden. Somit kann die Vorbehandlungsverarbeitungszeit minimiert werden. Ebenso wird die Ansammlung von Verunreinigungen an den Kontaktöffnung im Gegensatz zu dem Fall verhindert, bei welchem das Halbleitersubstrat zu verschiedenen Verarbeitungsvorrichtungen des Stands der Technik transferiert wird.
  • Außerdem kontaktieren das abgeschiedene Material und die Oberfläche, die durch die Kontaktöffnung freigelegt worden ist, gut miteinander, da ein Vakuum zwischen den Plasmavorbehandlungsmodul und dem Abscheidungsmodul aufrecht erhalten wird, um das Wachstum einer natürlichen Oxidschicht auf der freigelegten Oberfläche zu verhindern, nach dem das Vorbehandlungsreinigungsverfahren durchgeführt worden ist.
  • KURZE BESCHREIBUNG DER ZEICHNUNG
  • Die obigen und andere Aufgaben, Merkmale und Vorteile der vorliegenden Erfindung werden durch Bezugnahme auf die vorliegende detaillierte Beschreibung von bevorzugten Ausführungsformen und unter Bezugnahme auf die beigefügte Zeichnung besser ersichtlich, in welcher:
  • 1 ein Blockdiagramm eines herkömmlichen Verfahrens zum Ausbilden eines Kontaktes bei einem Verfahren der Halbleiterherstellung zeigt;
  • 2 ein Blockdiagramm eines Verfahrens zum Ausbilden eines Kontaktes einer Halbleitervorrichtung gemäß der vorliegenden Erfindung zeigt;
  • 3 eine schematische Draufsicht auf eine Vorrichtung zum Ausbilden eines Kontaktes bei dem Verfahren der Herstellung einer Halbleitervorrrichtung gemäß der vorliegenden Erfindung zeigt;
  • 4 ein schematisches Diagramm einer ersten Ausführungsform eines Plasmavorbehandlungsmoduls der in 3 gezeigten Vorrichtung zeigt;
  • 5 ein schematisches Diagramm einer anderen Ausführungsform des Plasmavorbehandlungsmoduls zeigt; und
  • 610 Schnittansichten eines Substrats zeigen, die ein Verfahren zum Ausbilden eines Kontaktes gemäß der vorliegenden Erfindung darstellen.
  • DETAILLIERTE BESCHREIBUNG BEVORZUGTER AUSFÜHRUNGSFORMEN
  • Die vorliegende Erfindung wird mit Bezug auf die beigefügte Zeichnung eingehender beschrieben. Es ist jedoch zu beachten, das wenn eine Schicht als "auf" einer anderen Schicht oder Substrat beschrieben wird, eine derartige Beschreibung bedeutet, daß die fragliche Schicht direkt auf einer anderen Schicht oder Substrat angeordnet sein kann oder Zwischenschichten dazwischen angeordnet sein können.
  • 2 zeigt die grundlegenden Verfahrensschritte, die das Verfahren zum Ausbildens des Kontaktes gemäß der vorliegenden Erfindung bilden. Kurz gesagt, nachdem eine Kontaktöffnung unter Verwendung einer Trockenätz-Vorrichtung ausgebildet worden ist (Schritt S40), werden in einem Vorbehandlungsmodul ein Veraschungsverfahren (Schritt S42), ein Rückstandsverarbeitungsverfahren (Schritt S44) und ein Vorbehandlungsverfahren (Schritt S46) zusammen in einem Vorbehandlungsmodul durchgeführt. Anschließend wird eine obere Schicht in einem Abscheidungsmodul ausgebildet (Schritt S48).
  • Bevor jedoch das Verfahren zum Ausbilden eines Kontaktes gemäß der vorliegenden Erfindung detaillierter beschrieben wird, soll die Vorrichtung, in welcher das Verfahren ausgeführt wird, beschrieben werden. Gemäß 3 weist die Vorrichtung einen Cluster aus jeweiligen Verfahrensmodulen auf. Ein Transfermodul 100, in welchem ein gleichförmiges Vakuum aufrecht erhalten wird, ist in der Mitte der Vorrichtung angeordnet. Um das Transfermodul 100 herum sind Lade- und Entlademodule 110 bzw. 120, ein Kühlmodul 130, Vorbehandlungsmodule 140, 150 und 160 und Abscheidemodule 170 und 180 angeordnet.
  • Eine nicht näher dargestellte Transfervorrichtung ist in der Mitte des Transfermoduls 100 vorgesehen. Mittels der Transfervorrichtung wird ein Wafer von dem Belademodul 110 zu einem bestimmten Vorbehandlungsmodul 140, 150 oder 160 transferiert bzw. transportiert. Nachdem die Vorbehandlungsverfahren durchgeführt worden sind, wird der Wafer von einem Vorbehandlungsmodul zu einem Abscheidungsmodul 170 oder 180 durch das Transfermodul 100, in welchem ein Vakuum aufrecht erhalten wird, transportiert. Die obere Schicht, die die Kontaktöffnung auffüllt, wird auf dem Wafer in einem Abscheidungsmodul ausgebildet. Falls die Temperatur des Wafers nach dem letzten Vorbehandlunsgverfahren zu hoch ist, kann der Wafer zu dem Kühlmodul 130 transportiert werden, bevor die obere Schicht ausgebildet wird. Das Kühlmodul 130 kann ebenso zum Kühlen des Wafers auf eine geeignete Temperatur nach dem Abscheidungsprozess aber bevor der Wafer zum Entlademodul 120 transportiert wird, verwendet werden. Obgleich in 3 drei Vorbehandlunsgmodule und zwei Abscheidungsmodule dargestellt sind, kann, falls notwendig, die Vorrichtung eine andere Anzahl an Vorbehandlungs- und Abscheidungsmodulen aufweisen.
  • 4 zeigt eine Ausführungsform eines Vorbehandlungsmoduls, das eine Remote-Plasmaerzeugungsvorrichtung aufweist. Eine derartige Remote-Plasmaerzeugungsvorrichtung ist in der koreanischen Patentanmeldung Nr. 99-46365 offenbart (Patent Abtract KR 1020010038404 A und US 2005/087893 A1).
  • Gemäß 4 enthält das Vorbehandlungsmodul eine Vakuumkammer 10, einen Mikrowellenleiter 44, welcher eine Remote-Plasmaerzeugungsvorrichtung zum Erregen von Reaktionsgas in einen Plasmazustand und Transportieren des Reaktionsgases im Plasmazustand zu der Vakuumkammer 10 bildet, einen Gasdiffusor, eine Heizvorrichtung 54 zum Durchführen eines Wärmbehandlungsverfahrens (Tempern) in der gleichen Kammer und einen Suszeptor 12 zum Positionieren des Wafers in der Vakuumkammer 10. Genauer gesagt ist der Suszeptor 12, auf welchem der Wafer 14 moniert ist, in der Mitte der Vakuumkammer 10 angeordnet. Der Suszeptor 12 kann von dem unteren Abschnitt der Vakuumkammer 10 zu dem oberen Abschnitt der Vakuumkammer 10 und umgekehrt (wie durch den Pfeil ↕ angedeutet) über die Welle 20 und den Motor 22 bewegt werden. Die Temperatur des Wafers 14 wird durch die Temperatur des Suszeptors 12 gesteuert. Eine Kühlleitung 16a erstreckt sich durch den Suszeptor 12 zum Zuführen von Kühlwasser oder Kühlgas, um die Temperatur des Suszeptors 12 zu steuern, und somit des Wafers 14, um die Reproduzierbarkeit der Verfahren sicherzustellen. Ein erstes Rohr 16 zum Zuführen des Kühlwassers oder des Kühlgases von einer Kühlwasser- oder Kühlgas-Versorgungsvorrichtung 18 ist mit der Kühlleitung 16a verbunden.
  • Das Reaktionsgas wird der Vakuumkammer 10 durch den Gasdiffusor zugeführt. Der Gasdiffusor enthält eine Vorbereitungskammer 50 zum Aufnehmen des Reaktionsgases aus zweiten und dritten Rohren 32 und 34, die sich außerhalb der Vakuumkammer 10 erstrecken, und eine perforierte Platte 52, die mit dem Boden der Vorbereitungskammer 50 zum gleichmäßigen Verteilen des Gases in der Vakummkammer 10 verbunden ist. Das zweite Rohr 32 dient zum Zuführen vom Gas in einem Plasmazustand. Eine Wasserstoffgasversorgungsquelle (mit "H2" bezeichnet) und eine Versorgungsquelle für das auf Fluor basierende Gas (mit "NF3" bezeichnet) sind einem Ende des zweiten Rohrs 32 verbunden. Schaltventile 36 und 38 und Massenflußsteuervorrichtungen (mass flow controller = MFC) 40 und 42 zum Steuern der Gasmenge sind nahe der Versorgungsquelle für das Wasserstoffgas bzw. das auf Fluor basierende Gas installiert. Gas aus der Versorgungsquelle für das auf Fluor basierende Gas und des Wasserstoffgases passiert durch die Schaltventile 36 und 38 bzw. die Masssenflußsteuervorrichtungen 40 und 42 zu dem Mikrowellenleiter 44, wobei das Gas angeregt wird. Das dritte Rohr 34 dient zum Zuführen eines natürlichen auf Fluor basierenden Gases. Eine Versorgungsquelle für das auf Fluor basierende Gas (mit NF3 bezeichnet) ist mit einem Ende des dritten Rohrs 34 verbunden. Ein Schaltventil 46 und eine MFC 48 sind in dem dritten Rohr 34 nahe der Versorgungsquelle für ein auf Fluor basierendes Gas installiert.
  • Das Quellgas, das bei dem Verfahren der vorliegenden Erfindung verwendet wird, ist jedoch nicht auf Wasserstoff (H2) oder auf ein auf Fluor basierendes Gas (NF3) be schränkt. Beispielsweise können Sauerstoff (O2), Stickstoff (N2) und Argon (Ar) als Quellgase verwendet werden.
  • Ein Auslaßanschluß 24 ist an dem Boden der Vakuumkammer 10 zum Abführen von Gas aus der Vakuumkammer 10 vorgesehen, um einen geeigneten Vakuumwert in der Vakuumkammer 10 aufrecht zu erhalten. Ein viertes Rohr 26 ist mit der Auslaßöffnung 24 verbunden und ein Schaltventil 28 und eine Vakuumpumpe 30 sind in dem vierten Rohr 26 installiert.
  • Der Druck innerhalb der Vakuumkammer wird automatisch durch ein nicht näher dargestelltes programmierbares Ventil (Smart-Ventil) gesteuert, das in den Boden der Vakuumkammer 10 installiert ist. Eine Heizvorrichtung 54 zum Tempern (Annealing) des Wafers 14 ist zwischen der Decke der Vorbereitungskammer 50 und dem Rahmen bzw. der Decke der Vakuumkammer 10 vorgesehen. Die Heizvorrichtung 54 kann aus einer Ultraviolettlampe (UV-Lampe) oder einem Laser bestehen.
  • 5 zeigt schematisch eine andere Ausführungsform des Vorbehandlungsmoduls. Das Vorbehandlungsmodul dieser Ausführungsform enthält eine Vakuumkammer 60, einen Mikrowellenleiter 90, welcher eine Remote-Plasmaerzeugungsvorrichtung zum Anregen des Reaktionsgases in einen Plasmazustand und zum Tranpsortieren des Reaktionsgases in einem Plasmazustand zu der Vakuumkammer 60 bildet, einen Gasdiffusor, UV-Lampen 78 zum Durchführen eines Temperverfahrens in der Kammer 60 und einen Suszeptor 62 zum Unterstützen bzw. Tragen eines Wafers 64.
  • Die Innenwände der Vakuumkammer 60 sind mit einer anodisierten Schicht bezogen, um zu verhindern, daß die Innenwände durch Fluorionen erodiert werden. Die Seitenwände der Vakuumkammer 60 sind mit Heizvorrichtungen 96 vorgesehen. Die obere Wand 76 der Vakuumkammer ist domförmig. Genauer gesagt, weist die obere Wand eine Lichtfixierungseinheit 76, in welcher die UV-Lampen 78 integriert sind, und ein transparentes Fenster 74 auf, das aus Saphir hergestellt ist, und sich kurz unterhalb der UV-Lampen 78 erstreckt.
  • Der Suszeptor 62, auf welchen ein Wafer 64 montiert ist, ist in der Mitte des unteren Abschnitts der Vakuumkammer 60 angeordnet. Ein Hebestift 72 zum Auf- und Abbewegen des Wafers 74 erstreckt sich durch den Suszeptor 62. Der Hebestift 72 ist auf einem Stifthalter 70 montiert, welcher für eine Bewegung nach oben und unten angetrieben wird. Die Temperatur des Wafers 64 wird durch die Temperatur des Suszeptors 62 gesteuert. Eine Kühlleitung erstreckt sich durch den Suszeptor 62 zum Zuführen von Kühlwasser oder Kühlgas, um die Temperatur des Suceptors 62 zu steuern, und somit auch die des Wafers 64, um die Reproduzierbarkeit der Verfahren sicher zu stellen. Ein erstes Rohr 66 zum Zuführen des Kühlwassers oder des Kühlgases von einer Kühlwasser- oder Kühlgasversorgungsvorrichtung 68 ist mit der Kühlleitung verbunden.
  • Das Reaktionsgas wird in die Vakuumkammer 60 durch den Gasdiffusor zugeführt. Der Gasdiffusor enthält eine Vorbereitungskammer 80 zum Aufnehmen eines Reaktionsgases aus dem zweiten Rohr 98, das sich außerhalb der Vakuumkammer 60 erstreckt, und eine perforierte Platte 82, die mit dem Boden der Vorbereitungskammer 80 zum gleichmäßigen Verteilen des Gases in der Vakuumkammer 60 verbunden ist. Ein Rohr ist mit einer Versorgungsquelle eines auf Fluor basierenden Gases ("NF3"; im folgenden NF3-Gas genannt) verbunden, das wiederum mit dem zweiten Rohr 98 zum Zuführen des auf Fluor basierenden Gases in die Vakuumkammer verbunden ist. Ein Schaltventil 92a und eine MFC 94a sind in dem Rohr installiert, womit die Zufuhr von auf Fluor basierenden Gas (NF3) gesteuert wird. Ein Mikrowellenleiter 90 für die Plasmaerzeugung ist bei dem zweiten Rohr 98 installiert. Die Versorgung von Stickstoffgas, Sauerstoffgas, Wasserstoffgas, Argongas und NF3-Gas durch die Röhre 98 zu dem Mikrowellenleiter 90 wird durch die MFCs 92b, 92c, 92d und 92f bzw. Schaltventile 94b, 94c, 94d und 94f gesteuert.
  • Ein Auslaßanschluß ist an dem Boden der Vakuumkammer 60 zum Abführen von Gas aus der Vakuumkammer 60 angeordnet, um ein Vakuum in der Vakuumkammer 60 sicher zu stellen. Ein drittes Rohr 84 ist mit dem Auslaßanschluß verbunden und ein Schaltventil 86 und eine Vakuumpumpe 88 sind in dem dritten Rohr 84 installiert.
  • Im Folgenden wird das Ausbilden eines Kontaktes gemäß der vorliegenden Erfindung unter Verwendung einer Vorrichtung, die ein Vorbehandlungsmodul des in 4 oder 5 gezeigten Typs enthält, beschrieben.
  • 6 bis 10 zeigen die Verfahrensschritte zum Ausbilden eines selbst ausgerichteten Kontaktes (self-aligned contact = SAC) einer Halbleitervorrichtung gemäß der vorliegenden Erfindung.
  • Gemäß 6 wird ein Gateelektrodenmuster auf einem Siliziumsubstrat 200 ausgebildet. Zunächst werden eine Gate-Isolationsschicht 202, eine Polysiliziumschicht 204, eine Silizidschicht 206 und eine Maskenschicht 208 aus Siliziumnitrid auf den Siliziumsubstrat 200 sequentiell bzw. aufeinander folgend ausgebildet. Anschließend werden die vorangehend erwähnten Schichten unter Verwendung von herkömmlicher Photolithographie zum Ausbilden von Gateelektroden gemustert bzw. maskiert. Spacer 210 werden auf den Seitenwänden der Gateelektroden durch Ausbilden einer Siliziumnitridschicht über die gesamte Struktur und anschließenden Ätzen der Silizidschicht von der Oberfläche des Siliziumsubstrats 200 ausgebildet.
  • Gemäß 7 wird eine Ätz-Stopp-Schicht 212 aus Siliziumnitrid auf der gesamten Oberfläche des Siliziumsubstrats 200 durch eine chemische Dampfphasenabscheidung (CVD) ausgebildet. Die Ätz-Stopp-Schicht 212 dient zum Stoppen des darauffolgenden Ätzverfahrens, das zum Ausbilden des SAC verwendet wird, und verhindert somit, daß die Oberfläche des Siliziumsubstrats 200 zwischen den Gateelektrodenmustern beschädigt wird.
  • Gemäß 8 wird eine dielektrische Zwischenschicht 214 aus Siliziumoxid, das eine Ätzselektivität bezüglich der Ätz-Stopp-Schicht 212 aufweist, bis zu einer vorbestimmten Dicke ausgebildet. Als nächstes wird eine Kontaktöffnung in die dielektrische Zwischenschicht 214 unter Verwendung der Trockenätzvorrichtung ausgebildet (Schritt S40). Genauer gesagt wird die Kontaktöffnung durch ein SAC-Verfahren ausgebildet.
  • In diesem Zusammenhang wird ein Photoresistmuster 216 in dem Vorbehandlungsmodul ausgebildet. Das Photoresistmuster wird zum Ausbilden einer Kontaktöffnung verwendet, deren Breite dem Raum zwischen den Gateelektrodenmustern entspricht. Je kleiner der Raum zwischen den Gateelektrodenmustern ist, desto schwieriger wird es, das Photoresistmuster unter Verwendung eines herkömmlichen photolithographischen Verfahrens auszubilden, da inhärente Begrenzungen bei der Auflösung der Verfahren auftreten. Dementsprechend wird das Photoresistmuster derart entworfen, daß es eine Kontaktöffnung ausbildet, die größer als die Breite des Raums zwischen den Gateelektrodenmustern ist. Die dielektrische Zwischenschicht 214 wird unter Verwendung des Photoresistmusters als eine Ätzmaske anisotrop geätzt, wobei die Kontaktöffnung durch die Maskenschicht 208 und die Spacer 210 jedes Gateelektrodenmusters selbstausgerichtet sind. Wenn die dielektrische Zwischenschicht 214 geätzt wird, wird die Ätz-Stopp-Schicht 212 zwischen den Gateelektrodenmustern freigelegt.
  • Gemäß 9 wird das Siliziumsubstrat 200 zwischen Gateelektrodenmustern durch Ätzen der Ätz-Stopp-Schicht 212 unter Ätzbedingungen freigelegt, die sich von denen, bei den die dielektrische Zwischenschicht 214 geätzt wird, unterscheiden. Somit wird eine Kontaktöffnung ausgebildet. Hierbei wird an der Oberfläche des freigelegten Siliziumsubstrats 200 zwischen den Gateelektrodenmustern eine beschädigte Schicht 218 erzeugt. Außerdem verbleiben verschiedene Verunreinigungen an der Oberfläche des freigelegten Siliumsubstrats 200 zwischen den Gateelektrodenmustern.
  • Nachdem das Siliziumsubstrat 200, in welchem die Kontaktöffnung ausgebildet ist, zu dem Vorbehandlungsmodul, das in 4 oder 5 gezeigt ist, transportiert worden ist, wird das Veraschungsverfahren S42, das Rückstandsverarbeitungsverfahren S44 und das Vorbehandlungsreinigungsverfahren S46 sequentiell ausgeführt. Die Bedingungen, die in dem Vorbehandlungsmodul während dieser Verfahren vorherrschen, werden im folgenden im Detail beschrieben.
  • Zunächst wird das Veraschungsverfahren zum Entfernen des Photoresistmusters 216 durchgeführt. Bei diesem Verfahren emittiert die UV-Lampe UV-Licht mit einer Wellenlänge von 200–500 nm und wird mit einer Leistung von 300–1000 Watt betrieben. Die Leistung des Mikrowellenleiters beträgt 500–2000 Watt. Der Druck in dem Vorbehandlungsmodul beträgt 0,1–10 Torr. Die Temperatur des Siliziumsubstrats 200 beträgt 25–300°C. Das Veraschungsverfahren wird unter diesen Bedingungen mit Stickstoff und Sauerstoff, das in das Modul im Zustand eines Plasmas mit einer Geschwindigkeit bzw. Rate von 10–2000 sccm bzw. 10–2000 sccm zugeführt wird, durchgeführt. Zu beachten ist, daß das Photoresistmuster durch UV-Licht effektiver entfernt werden kann, als durch die bei dem herkömmlichen Veraschungsverfahren verwendete Vorrichtung. Somit ist ein zusätzliches Stripping-Verfahren nicht erforderlich.
  • Als nächstes wird das Rückstandsverarbeitungsverfahren zum Entfernen der beschädigten Schicht 218 durch Zuführen von Stickstoff und Sauerstoff in das Modul in einen Zustand eines Plasmas und durch Zuführen eines auf Fluor basierenden Gases in das Modul durchgeführt. Bei diesem Verfahren beträgt die Leistung des Mikrowellenleiters 500–2000 Watt. Der Druck in dem Vorbehandlungsmodul beträgt 0,1–10 Torr. Die Temperatur des Siliziumsubstrats beträgt 5–300°C. Der Stickstoff wird als Reaktionsgas mit Rate von 10–2000 sccm zugeführt, der Sauerstoff mit einer Rate von 10–2000 sccm und das auf Fluor basierende Gas (NF3) mit einer Rate von 30–300 sccm.
  • Die Verwendung eines Plasmas zum Entfernen der beschädigten Schicht erzeugt relativ geringere Schäden im Vergleich zum Gebrauch von herkömmlichen Rückstandverarbeitungsverfahren. Überdies wird die freigelegte Oberfläche des Siliziumsubstrats 200 nicht Kohlenstoff verunreinigt, da NF3 im Gegensatz zu einem CF-basierten Gas verwendet wird.
  • Schließlich wird das Vorbehandlungsreinigungsverfahren in zwei Schritten durchgeführt, nämlich einem chemischen Reaktionsschritt und einem Wärmebehandlungsschritt (Tempern). Der chemische Reaktionsschritt beinhaltet ein Zuführen von Wasserstoff und auf Fluor basierendem Gas in das Modul und ein chemisches Reagieren der zwei Gase mit der Oxidschicht, die auf der Oberfläche des Siliziumsubstrats 200 ausgebildet ist, das den Boden der Kontaktöffnung bestimmt, um dadurch eine Reaktionsschicht auszubilden. Der Wärmebehandlungsschritt entfernt die so ausgebildete Reaktionsschicht.
  • Als nächstes werden die bestimmten Bedingungen bei dem Ausbildungsschritt der Reaktionsschicht beschrieben. Die Leistung des Mikrowellenleiters beträgt 500–2000 Watt. Der Druck in dem Plasmavorbehandlungsmodul beträgt 1–10 Torr. Die Temperatur des Siliziumsubstrats beträgt 0–50°C. Der als Reaktionsgas dienende Stickstoff wird mit einer Geschwindigkeit von 10–2000 sccm zugeführt, der Wasserstoff wird mit einer Rate von 5–200 sccm zugeführt und NF3 wird mit einer Rate von 10–300 sccm zugeführt. Folglich wird die natürliche Oxidschicht in eine Reaktionsschicht aus beispielsweise (NH4)2SiF6 umgewandelt. Eine derartige Reaktionsschicht kann verdampft und somit entfernt werden.
  • Das auf Fluor basierende Gas kann in einem Plasmazustand oder in einem normalen gasförmigen Zustand zugeführt werden. NF3, SF6 oder CIF3 können als das auf Fluor basierende Gas verwendet werden. Bei der bevorzugten Ausführungsform wird NF3 verwendet. Der Wasserstoff wird in das Modul in einem Plasmazustand zugeführt bzw. eingebracht. Wenn der Wasserstoff und das auf Fluor basierende Gas im Plasmazustand zugeführt werden, wird das Verhältnis von NF3-Gas zu Wasserstoffplasma auf beispielsweise 0,1–100 eingestellt, und das Gemisch reagiert chemisch mit der Oxidschicht, die aus SiO2 besteht. Ein Nebenprodukt der Reaktion, d.h. (NH4)2SiF6, wird dort ausgebildet, wo das Gemisch und die Oxidschicht aufeinandertreffen. Wenn die Reaktionsschicht einmal eine bestimmte Dicke erreicht, dient die Reaktionsschicht als eine Sperrschicht bezüglich der chemischen Reaktion. Somit stoppt die chemische Reaktion. Das Wärmebehandlungsverfahren wird, nachdem die chemische Reaktion zwischen der Gaszufuhr und der Oxidschicht stoppt, durchgeführt, wobei die Reaktionsschicht verdampft und aus dem Moduls nach außerhalb abgeführt wird. Hierbei wird das Wärmebehandlungsverfahren vorzugsweise bei einer Temperatur von 100–500°C für 20–600 Sekunden durchgeführt. Nachdem Verunreinigungen wie beispielsweise die natürliche Oxidschicht und das Oberflächenoxid entfernt worden sind, wird eine Passivierungsschicht, die mit Wasserstoffatomen kombiniert ist, auf der freigelegten Oberfläche ausgebildet. Dementsprechend wird die Oberfläche des Halbleitersubstrats an einer Reoxidation gehindert.
  • Falls die zu entfernende Oxidschicht so dünn wie eine natürliche Oxidschicht ist, kann die Oxidschicht in einem Zyklus des Vorbehandlungreinigungsverfahrens entfernt werden. Jedoch können die zwei Schritte des Vorbehandlungsreinigungsverfahrens wiederholt durchgeführt werden, wenn es durch die Dicke der zu entfernenden Oxidschicht erforderlich ist.
  • Überdies wird bei dem Vorbehandlungsreinigungsverfahren der chemische Reaktionsschritt und der Wärmebehandlungsschritt aufeinanderfolgend in dem Vorbehandlungsmodul ausgeführt. Wenn das in 4 gezeigte Vorbehandlungsmodul verwendet wird, kann der chemische Reaktionsschritt ausgeführt werden, während das Halbleitersubstrat in dem unteren Abschnitt der Vakuumkammer 10 positioniert ist, und der Wärmebehandlungsschritt kann ausgeführt werden, während das Halbleitersubstrat im oberen Abschnitt der Vakuumkammer 10 positioniert ist, in welchem die UV-Lampe 54 angeordnet ist.
  • Gemäß 10 wird das Siliziumsubstrat 200, welches einem Vorbehandlungsreinigungsverfahren unterzogen worden ist, von einem Vorbehandlungsmodul 140, 150 oder 160 (3) zu einem Abscheidungsmodul 170 oder 180 der 3 durch das Transfer- bzw. Transportmodul 100 transportiert werden, während es sich in einem Vakuum befindet. Die darauffolgende (obere) Schicht 220 wird anschließend ausgebildet. Als die obere Schicht 220 können verschiedene leitende Metallschichten verwendet werden.
  • Wie für den Fachmann ersichtlich verringert die vorliegende Erfindung die Verarbeitungszeit und die Herstellungskosten im Vergleich mit dem Stand der Technik, da (1) das Veraschungsverfahren, das Rückstandsverarbeitungsverfahren und das Vorbehand lungsreinigungsverfahren in einem Vorbehandlungsmodul unter Verwendung von Plasma aufeinanderfolgend (sequentiell) durchgeführt werden, und (2) ein PR-Stripping-Verfahren nicht erforderlich ist, da das Photoresist durch das Veraschungsverfahren, das unter Verwendung von Sauerstoffplasma und UV-Licht durchgeführt wird, sehr effizient entfernt wird.
  • Zweitens kann die vorliegende Erfindung zum Erzeugen einer Halbleitervorrichung mit verbesserten elektrischen Eigenschaften verwendet werden, da ein auf Fluor basierendes Gas und Sauerstoff zum Entfernen der beschädigten Schicht an dem Boden der Kontaktöffnung verwendet wird, anstelle eines Gases, das Kohlenstoff aufweist. D.h., das Rückstandsverarbeitungsverfahren der vorliegenden Erfindung minimiert jeden weiteren Schaden auf der Schicht, die an dem Boden der Kontaktöffnung freigelegt ist, und hinterläßt keine Kohlenstoffverschmutzung darin.
  • Drittens verschlechtert die Verwendung der vorliegenden Erfindung nicht das Profil der Kontaktöffnung oder hinterläßt Wasserspritzer, da das Vorbehandlungsverfahren unter Verwendung eines Plasmatrockenätzens anstelle eines herkömmlichen Naßätzens ausgeführt wird.
  • Viertens minimiert die vorliegende Erfindung die Verunreinigung des Substrats und verhindert, daß während der Zeit zwischen den Verfahren eine natürliche Oxidschicht wieder aufwächst, da das Vorbehandlungsmodul und das Abscheidungsmodul in einer Clusteranordnung vorgesehen und durch eine Vorrichtung verbunden sind, die ein Vakuum aufrecht erhalten kann.
  • Obgleich die vorliegende Erfindung unter Bezugnahme auf die Ausbildung eines SACs zwischen der Gateelektrodenmustern genau gezeigt und beschrieben worden ist, ist es für den Fachmann ersichtlich, daß die Erfindung nicht dahingehend beschränkt ist und verschiedene andere Verfahren zur Herstellung von Halbleitervorrichtungen, wie beispielsweise die Verfahren zum Ausbilden von Metall- und Bitleitungskontakten, anwendbar sind. Ebenso kann die vorliegende Erfindung zum Ausbilden einer Kontaktöff nung in einer Zwischenschicht, die auf einer unterliegenden Schicht, die beispielsweise aus Silizium besteht, angewendet werden; dazu gehört beispielsweise das Ausbilden einer Kontaktöffnung, die eine Polysiliziumschicht oder Silizidschicht freilegt. Daher sind all diese Anwendungen, die innerhalb des Umfangs der beigefügten Ansprüche fallen, so auszulegen, daß sie in dem Inhalt der vorliegenden Erfindung enthalten sind.

Claims (29)

  1. Verfahren zur Herstellung eines Kontaktes bei einer Halbleitervorrichtung, das folgende Schritte aufweist: Vorsehen eines Halbleitersubstrats, auf welchem eine Zwischenschicht auf einer Unterschicht, die Silizium aufweist, ausgebildet ist; Ausbilden einer Kontaktöffnung in der Zwischenschicht unter Verwendung eines Photoresistmusters als eine Ätzmaske, wobei eine Oberfläche der Unterschicht an dem Boden der Kontaktöffnung freigelegt ist; Laden des Halbleitersubstrats in eine Vorrichtung mit einem Plasmavorbehandlungsmodul und einem Abscheidungsmodul, die miteinander verbunden sind, wobei der Plasmavorbehandlungsmodul eine Vakuumkammer und ein Plasmaerzeugungsgerät aufweist, welches dazu dient die Vakuumkammer mit Plasma zu versehen; Transferieren des Halbleitersubstrats in die Vakuumkammer des Plasmavorbehandlungsmoduls; Veraschung des Photoresistmusters in der Vakuumkammer des Plasmavorbehandlungsmoduls, um das Photoresistmuster zu entfernen; darauffolgendes Entfernen einer beschädigten Schicht an der Oberfläche der Unterschicht, die den Boden der Kontaktöffnung bestimmt, in der Vakuumkammer des Plasmavorbehandlungsmoduls; darauffolgendes Reinigen des Halbleitersubtrats in der Vakuumkammer des Plasmavorbehandlungsmoduls; darauffolgendes Transferieren des Halbleitersubstrats aus der Vakuumkammer des Plasmavorbehandlungsmoduls zu dem Abscheidungsmodul, während es sich in einem Vakuum befindet; und Abscheiden von Material auf dem Substrat, das die Kontaktöffnung füllt, in dem Abscheidungsmodul.
  2. Verfahren nach Anspruch 1, wobei die Veraschung, das Entfernen der beschädigten Schicht und die Vorreinigung jeweils ein Anregen von Gas außerhalb des Vorbehandlungsmoduls unter Verwendung von Mikrowellen aufweist, um einen Plasmazustand zu induzieren, und Richten des sich im Plasmazustand befindlichen Gases in Richtung des Substrats innerhalb des Vorbehandlungsmoduls.
  3. Verfahren nach Anspruch 2, wobei die Veraschung ein Erwärmen des Substrats in dem Vorbehandlungsmodul aufweist.
  4. Verfahren nach Anspruch 3, wobei das Erwärmen ein Erzeugen von ultraviolettem Licht (UV-Licht) innerhalb des Vorbehandlungsmoduls aufweist.
  5. Verfahren nach Anspruch 4, wobei die Veraschung ein Anregen von Stickstoffgas und Sauerstoffgas außerhalb des Vorbehandlungsmoduls unter Verwendung von Mikrowellen, um den Plasmazustand zu induzieren, sowie ein Zuführen des Stickstoffgases und des Sauerstoffgases in einen Plasmazustand in Richtung des Substrats innerhalb des Vorbehandlungsmoduls aufweist.
  6. Verfahren nach Anspruch 5, wobei die Veraschung aufweist: Zuführen des Stickstoffgases mit einer Rate von 10–2000 sccm und des Sauerstoffgases mit einer Rate von 10–2000 sccm als Reaktionsgase, Anregen des Gases mit einer Mikrowellenleistung von 500–2000 W, Aufrechterhalten eines Druckes von 0,1–10 Torr innerhalb des Plasmavorbehandlungsmoduls, Regulieren der Temperatur des Substrats auf 25–300°C und Betreiben einer UV-Lampe mit einer Leistung von 300–1000 W zur Versorgung mit UV-Licht.
  7. Verfahren nach Anspruch 1, wobei das Entfernen der beschädigten Schicht ein Anregen des Stickstoffgases und des Sauerstoffgases außerhalb des Vorbehandlungsmoduls unter Verwendung von Mikrowellen zum Induzieren eines Plasmazustands sowie ein Zuführen des Stickstoffgases und des Sauerstoffgases in dem Plasmazustand und eines auf Fluor basierenden Gases in Richtung des Substrats innerhalb des Vorbehandlungsmoduls aufweist.
  8. Verfahren nach Anspruch 7, wobei das auf Fluor basierende Gas NF3 ist.
  9. Verfahren nach Anspruch 8, wobei das Entfernen der beschädigten Schicht aufweist: Zuführen des Stickstoffgases mit einer Rate von 10–2000 sccm, des Sauerstoffgases mit einer Rate von 10–2000 sccm und des NF3-Gases mit einer Rate von 30–300 sccm als Reaktionsgase, Anregen des Stickstoffgases und des Sauerstoffgases mit einer Mikrowellenleistung von 500–2000 W, Aufrechterhalten eines Druckes von 0,1–10 Torr innerhalb des Plasmasvorbehandlungsmoduls, und Regulieren der Temperatur des Halbleitersubstrats auf 5–300 °C.
  10. Verfahren nach Anspruch 1, wobei die Reinigung aufweist: Zuführen von Wasserstoffgas in einem Plasmazustand und einem auf Fluor basierenden Gas auf das Substrat, um eine chemische Reaktion mit einer Oxidschicht auf der Silizium aufweisenden Unterschicht zu bewirken, wodurch eine Reaktionsschicht ausgebildet wird, und Tempern der Reaktionsschicht, um diese zu verdampfen.
  11. Verfahren nach Anspruch 10, wobei das auf Fluor basierende Gas in einem gasförmigen Zustand zugeführt wird.
  12. Verfahren nach Anspruch 10, wobei das Zuführen des auf Fluor basierenden Gases aufweist: Anregen des auf Fluor basierenden Gases außerhalb des Vorbehandlungsmoduls unter Verwendung von Mikrowellen, um einen Plasmazustand zu induzieren, und Richten des auf Fluor basierenden Gases in dem Plasmazustand auf das Substrat.
  13. Verfahren nach Anspruch 10, wobei das auf Fluor basierende Gas aus der Gruppe bestehend aus NF3, SF6 und CIF3 ausgewählt wird.
  14. Verfahren nach Anspruch 10, wobei die Reinigung aufweist: Zuführen des Sauerstoffgases bei einer Rate von 10–2000 sccm, des Wasserstoffgases mit einer Rate von 5–200 sccm und des auf Fluor basierenden Gases mit einer Rate von 10–300 sccm als Reaktionsgase, Anregen des Gases mit einer Mikrowellenleistung von 500–2000 W, Aufrechterhalten eines Druckes von 0,1–10 Torr innerhalb des Plasmavorbehandlungsmoduls, und Regulieren der Temperatur des Halbleitersubstrats auf 0–50°C.
  15. Verfahren nach Anspruch 10, wobei die Reinigung aufweist: Positionieren des Halbleitersubstrats in einem unteren Abschnitt des Vorbehandlungsmoduls während das Gas zum Bewirken der chemischen Reaktion zugeführt wird, und Positionieren des Substrats in einem oberen Abschnitt des Vorbehandlungsmoduls während das Tempern durchgeführt wird.
  16. Verfahren nach Anspruch 10, wobei das Tempern ein Erwärmen des Halbleitersubstrats innerhalb eines Bereichs von 100–500°C aufweist.
  17. Verfahren zur Herstellung eines Kontaktes bei einer Halbleitervorrichtung, das folgende Schritte aufweist: Vorsehen eines Halbleitersubstrats, auf welchem eine Zwischenschicht auf einer Silizium aufweisenden Unterschicht ausgebildet wird; Ausbilden einer Kontaktöffnung in der Zwischenschicht unter Verwendung eines Photoresistmusters als eine Ätzmaske, wobei eine Oberfläche der Unterschicht an dem Boden der Kontaktöffnung freigelegt wird; Veraschung des Photoresistmusters unter Verwendung von Plasma und UV-Licht zum Entfernen des Photoresistmusters in einer Plasmavorbehandlungsverarbeitungskammer; darauf folgendes Entfernen einer beschädigten Schicht an der Oberfläche der Unterschicht, die den Boden der Kontaktöffnung bestimmt, unter Verwendung eines Plasmas und eines auf Fluor basierenden Gases in einer Plasmavorbehandlungsverarbeitungskammer, wobei ein Oxid an der Oberfläche ausgebildet wird; darauf folgendes Reinigen des Halbleitersubstrats zum Entfernen des Oxids in einer Plasmavorbehandlungsverarbeitungskammer, wobei die Reinigung ein Richten eines Plasmas, das chemisch mit dem Oxid reagiert, auf die Oberfläche um eine Reaktionsschicht auszubilden. und ein Tempern des Substrats zum Verdampfen der Reaktionsschicht aufweist; darauffolgendes Transferieren des Halbleitersubstrats, während es sich in einem Vakuum befindet, zu einer Abscheidungsvorrichtung; und Abscheiden von Material auf dem Substrat, das die Kontaktöffnung auffüllt, in der Abscheidungsvorrichtung.
  18. Verfahren nach Anspruch 17, wobei die Veraschung, das Entfernen der beschädigten Schicht und das Reinigen jeweils ein Anregen von Gas unter Verwendung von Mikrowellen aufweist.
  19. Verfahren nach Anspruch 17, wobei die Veraschung ein Anregen von Stickstoffgas und Sauerstoffgas unter Verwendung von Mikrowellen zum Hervorrufen eines Plasmazustands aufweist.
  20. Verfahren nach Anspruch 19, wobei die Veraschung aufweist: Zuführen des Stickstoffgases mit einer Rate von 10–2000 sccm und des Sauerstoffgases mit einer Rate von 10–2000 sccm als Reaktionsgase, Anregen des Gases mit einer Mikrowellenleistung von 500–2000 W, Aufrechthalten eines Druckes von 0,1–10 Torr innerhalb der Plasmavorbehandlungsverarbeitungskammer, in welcher der Veraschungsprozeß ausgeführt wird, Regulieren der Temperatur des Substrats auf 25–300°C, und Betreiben einer UV-Lampe mit 300–1000 W Leistung zum Versorgen mit UV-Licht aufweist.
  21. Verfahren nach Anspruch 17, wobei das auf Fluor basierende Gas NF3 ist.
  22. Verfahren nach Anspruch 21, wobei das Entfernen der beschädigten Schicht aufweist: Zuführen des Stickstoffgases mit einer Rate von 10–2000 sccm und des Sauerstoffgases mit einer Rate von 10–2000 sccm und des NF3-Gases mit einer Rate von 30–300 sccm als Reaktionsgase, Anregen des Stickstoffgases und des Sauerstoffgases mit einer Mikrowellenleistung von 500–2000 W, Aufrechterhalten eines Drucks von 0,1–10 Torr innerhalb der Vorbehandlungsverarbeitungskammer, in welcher das Entfernen der beschädigten Schicht ausgeführt wird, und Regulieren der Temperatur des Halbleitersubstrats auf 500–300°C.
  23. Verfahren nach Anspruch 17, wobei die Reinigung aufweist: Zuführen von Wasserstoffgas und Stickstoffgas in einen Plasmazustand und einem auf Fluor basierenden Gas auf das Substrat.
  24. Verfahren nach Anspruch 23, wobei das auf Fluor basierende Gas in einem gasförmigen Zustand zugeführt wird.
  25. Verfahren nach Anspruch 24, wobei das Zuführen des auf Fluor basierenden Gases ein Anregen des auf Fluor basierenden Gases unter Verwendung von Mikrowellen zum Induzieren eines Plasmazustands aufweist.
  26. Verfahren nach Anspruch 25, wobei das auf Fluor basierende Gas aus der Gruppe bestehend aus NF3, SF6 und CIF3 ausgewählt wird.
  27. Verfahren nach Anspruch 23, wobei die Reinigung aufweist: Zuführen des Stickstoffgases mit einer Rate von 10–2000 sccm, des Wasserstoffgases mit einer Rate von 5–200 sccm und des auf Fluor basierenden Gases mit einer Rate von 10–300 sccm als Reaktionsgase, Anregen der Gase mit einer Mikrowellenleistung von 500–2000 W, Aufrechterhalten eines Drucks von 0,1–10 Torr innerhalb der Vorbehandlungsverarbeitungskammer, in welcher das Reinigen durchgeführt wird, und Regulieren der Temperatur des Halbleitersubstrats von 0–50 C.
  28. Verfahren nach Anspruch 17, wobei das Reinigen aufweist: Positionieren des Halbleitersubstrats in einem unteren Abschnitt der Vorbehandlungsverarbeitungskammer, während die chemische Reaktion bewirkt wird, und Positionieren des Substrats in einem oberen Abschnitt der Vorbehandlungsverarbeitungskammer, während das Tempern durchgeführt wird.
  29. Verfahren nach Anspruch 17, wobei das Tempern ein Erwärmen des Halbleitersubstrats innerhalb eines Bereichs von 100–500 C aufweist.
DE10157223A 2000-11-24 2001-11-22 Verfahren zur Herstellung eines Kontaktes bei einer Halbleitervorrichtung unter Verwendung einer Cluster-Vorrichtung mit zumindest einem Plasmavorbehandlungsmodul Expired - Lifetime DE10157223B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR00-70491 2000-11-24
KR10-2000-0070491A KR100382725B1 (ko) 2000-11-24 2000-11-24 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법

Publications (2)

Publication Number Publication Date
DE10157223A1 DE10157223A1 (de) 2002-06-27
DE10157223B4 true DE10157223B4 (de) 2006-11-16

Family

ID=19701322

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10157223A Expired - Lifetime DE10157223B4 (de) 2000-11-24 2001-11-22 Verfahren zur Herstellung eines Kontaktes bei einer Halbleitervorrichtung unter Verwendung einer Cluster-Vorrichtung mit zumindest einem Plasmavorbehandlungsmodul

Country Status (6)

Country Link
US (1) US6767834B2 (de)
JP (1) JP2002222861A (de)
KR (1) KR100382725B1 (de)
CN (1) CN1187796C (de)
DE (1) DE10157223B4 (de)
TW (1) TW520553B (de)

Families Citing this family (192)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002129334A (ja) * 2000-10-26 2002-05-09 Applied Materials Inc 気相堆積装置のクリーニング方法及び気相堆積装置
KR100451034B1 (ko) * 2002-08-27 2004-10-02 주식회사 테라텍 반도체 소자 제조방법
KR100475122B1 (ko) * 2002-12-20 2005-03-10 삼성전자주식회사 실리콘 접촉저항을 개선할 수 있는 반도체 소자 형성방법
US20040129385A1 (en) * 2003-01-02 2004-07-08 International Business Machines Corporation Pre-loaded plasma reactor apparatus and application thereof
KR100524197B1 (ko) 2003-04-29 2005-10-27 삼성전자주식회사 매엽식 반도체 소자 제조장치 및 이를 이용한 게이트 전극및 콘택 전극의 연속 형성방법
KR100680944B1 (ko) * 2003-05-27 2007-02-08 주식회사 하이닉스반도체 반도체 소자의 제조방법
KR100968567B1 (ko) * 2003-07-22 2010-07-08 삼성전자주식회사 다층 박막의 제조 방법 및 이를 이용한 박막 트랜지스터표시판의 제조 방법
US7371637B2 (en) * 2003-09-26 2008-05-13 Cypress Semiconductor Corporation Oxide-nitride stack gate dielectric
KR101050943B1 (ko) * 2004-01-09 2011-07-20 매그나칩 반도체 유한회사 반도체 장치의 금속배선 형성 방법
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7351663B1 (en) * 2004-06-25 2008-04-01 Cypress Semiconductor Corporation Removing whisker defects
JP4032058B2 (ja) * 2004-07-06 2008-01-16 富士通株式会社 半導体装置および半導体装置の製造方法
US20060105561A1 (en) * 2004-11-15 2006-05-18 Yunjun Huh Method of manufacturing a self-aligned contact structure
US7162317B2 (en) * 2005-02-25 2007-01-09 Lam Research Corporation Methods and apparatus for configuring plasma cluster tools
KR100780045B1 (ko) * 2006-03-06 2007-11-27 (주) 디오브이 유기전계 발광소자 플라즈마 전처리 챔버
US8252640B1 (en) 2006-11-02 2012-08-28 Kapre Ravindra M Polycrystalline silicon activation RTA
CN101004559B (zh) * 2006-12-21 2012-01-11 上海集成电路研发中心有限公司 一种增强光刻工艺中图形黏附力的方法
CN101504917B (zh) * 2008-05-27 2011-08-31 深圳深爱半导体有限公司 防止vdmos管二次击穿的方法
CN102005372A (zh) * 2009-08-31 2011-04-06 中芯国际集成电路制造(上海)有限公司 制作半导体器件的方法
CN102024681B (zh) * 2009-09-11 2012-03-07 中芯国际集成电路制造(上海)有限公司 用于制造半导体器件的方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5374462B2 (ja) * 2010-08-23 2013-12-25 東京エレクトロン株式会社 剥離システム、剥離方法、プログラム及びコンピュータ記憶媒体
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
EP2823081A1 (de) * 2012-03-05 2015-01-14 First Solar, Inc Verfahren und vorrichtung zur bildung eines transparenten leitfähigen oxids unter verwendung von wasserstoff
CN103456676A (zh) * 2012-05-31 2013-12-18 无锡华润上华科技有限公司 接触孔硅凹槽蚀刻方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US20140042152A1 (en) * 2012-08-08 2014-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Variable frequency microwave device and method for rectifying wafer warpage
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9865501B2 (en) * 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
JP6165518B2 (ja) * 2013-06-25 2017-07-19 株式会社日立ハイテクノロジーズ プラズマ処理方法および真空処理装置
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
JP6163442B2 (ja) * 2014-03-05 2017-07-12 株式会社東芝 半導体製造装置及び半導体装置の製造方法
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
JP6235981B2 (ja) * 2014-07-01 2017-11-22 東京エレクトロン株式会社 被処理体を処理する方法
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
KR102570269B1 (ko) * 2016-07-22 2023-08-25 삼성전자주식회사 전세정 장치 및 기판 처리 시스템
KR102005042B1 (ko) 2016-08-17 2019-07-29 주식회사 아이씨케이 강화된 강도를 갖는 비접촉식 카드 기능을 갖는 메탈 카드 및 그에 사용되는 금속판 어셈블리, 그리고 그들의 제조 방법
KR101868478B1 (ko) 2016-08-17 2018-07-23 주식회사 아이씨케이 비접촉식 카드 기능을 갖는 메탈 카드
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US20180261464A1 (en) * 2017-03-08 2018-09-13 Tokyo Electron Limited Oxide film removing method, oxide film removing apparatus, contact forming method, and contact forming system
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN109979806B (zh) * 2017-12-26 2021-04-30 中国科学院苏州纳米技术与纳米仿生研究所 兼容真空环境的图形转移的方法及系统
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP7169866B2 (ja) * 2018-12-14 2022-11-11 東京エレクトロン株式会社 基板処理方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20200144186A (ko) 2019-06-17 2020-12-29 유인종 페라이트를 이용한 비접촉식 메탈 카드용 인레이 제조방법 및 카드 제조 방법
KR20200145883A (ko) 2019-06-19 2020-12-31 유인종 양면 이용 가능한 메탈카드용 미니인레이 및 이를 이용한 메탈카드
KR102308861B1 (ko) 2019-11-28 2021-10-06 유인종 비접촉식 메탈카드 및 그 제조방법
CN113053744B (zh) * 2019-12-27 2024-03-22 株式会社日立高新技术 半导体装置的制造方法
KR102613064B1 (ko) 2023-08-14 2023-12-12 주식회사 티아이씨피 듀얼 인터페이스 기능을 갖는 메탈 카드 및 그 제조방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5306671A (en) * 1990-07-09 1994-04-26 Mitsubishi Denki Kabushiki Kaisha Method of treating semiconductor substrate surface and method of manufacturing semiconductor device including such treating method
WO1999003442A1 (en) * 1997-07-17 1999-01-28 Cambridge Consultants Limited Liquid feed bottle
US6110836A (en) * 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR920007124A (ko) * 1990-09-04 1992-04-28 김광호 폴리 에미터 바이폴라 트랜지스터의 제조방법
JP3084497B2 (ja) * 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
US5350480A (en) * 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
JPH0799178A (ja) * 1993-09-28 1995-04-11 Fujitsu Ltd 半導体装置の製造方法
JP3529849B2 (ja) * 1994-05-23 2004-05-24 富士通株式会社 半導体装置の製造方法
JP2978748B2 (ja) * 1995-11-22 1999-11-15 日本電気株式会社 半導体装置の製造方法
KR19980077122A (ko) * 1997-04-16 1998-11-16 김영환 반도체 장치의 콘택홀 형성 방법
US6107192A (en) 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6346489B1 (en) * 1999-09-02 2002-02-12 Applied Materials, Inc. Precleaning process for metal plug that minimizes damage to low-κ dielectric
US6228563B1 (en) * 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6492272B1 (en) * 2001-02-15 2002-12-10 Advanced Micro Devices, Inc. Carrier gas modification for use in plasma ashing of photoresist

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5306671A (en) * 1990-07-09 1994-04-26 Mitsubishi Denki Kabushiki Kaisha Method of treating semiconductor substrate surface and method of manufacturing semiconductor device including such treating method
WO1999003442A1 (en) * 1997-07-17 1999-01-28 Cambridge Consultants Limited Liquid feed bottle
US6110836A (en) * 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
AOKI, H., TERAOKA, Y., IKAWA, E., KIKKAWA, T., NISHIYAMA, I.: Direct analysis of contamination in submicron contact holes by thermal desorption spectroscopy, in: J. Vac. Sci. Technol. A, ISSN 0734-2102, 1995, Vol. 13, No. 1, S. 42-46 *
PEIGNON, M.C., CLENET, F., TURBAN, G.: Contact Etching Process Characterization by Using Angular X-Ray Photoelectron Spectroscopy Technique, in: J. Electrochem. Soc., 1996, Vol. 143, No. 4, S. 1347-1354 *

Also Published As

Publication number Publication date
US20020064944A1 (en) 2002-05-30
KR100382725B1 (ko) 2003-05-09
CN1356719A (zh) 2002-07-03
US6767834B2 (en) 2004-07-27
KR20020040445A (ko) 2002-05-30
DE10157223A1 (de) 2002-06-27
JP2002222861A (ja) 2002-08-09
CN1187796C (zh) 2005-02-02
TW520553B (en) 2003-02-11

Similar Documents

Publication Publication Date Title
DE10157223B4 (de) Verfahren zur Herstellung eines Kontaktes bei einer Halbleitervorrichtung unter Verwendung einer Cluster-Vorrichtung mit zumindest einem Plasmavorbehandlungsmodul
DE69034092T2 (de) Vorrichtung zur Behandlung von Proben
EP2311066B1 (de) Vorrichtung und Verfahren zur Erzeugung dielektrischer Schichten im Mikrowellenplasma
DE10046021B4 (de) Verfahren zur Herstellung von Kondensatoren auf Halbleitersubstraten in einer Einrichtung zur Bildung von Dünnfilmen
DE19627017A1 (de) Verfahren zur selektiven Ablagerung eines Metallfilms
DE19654737A1 (de) Halbleitervorrichtung und Verfahren zu ihrer Herstellung
DE10255688A1 (de) Verfahren und Vorrichtung zum Durchführen von sequentiellen Verfahren, die verschiedene Zeitdauern erfordern, bei der Herstellung von Halbleitervorrichtung
TWI587390B (zh) 用以蝕刻有機硬遮罩之方法
DE102005015829A1 (de) Verfahren zum Reinigen des Inneren einer Fern-Plasmaerzeugungsröhre sowie Vorrichtung und Verfahren zum Verarbeiten eines Substrats unter Verwendung derselben
DE10244409A1 (de) Verfahren zum Verarbeiten eines Wafers und Vorrichtung zur Durchführung desselben
DE19801558A1 (de) Verfahren und Vorrichtung zum Herstellen einer Halbleitereinrichtung
DE10064067B4 (de) Verfahren zur Herstellung eines Kondensators einer Halbleitereinrichtung
DE102014019369B4 (de) Halbleiterbauelement und herstellungsverfahren
DE3925070C2 (de) Verfahren zum Erhalt einer sauberen Siliziumoberfläche
DE10065224B4 (de) Verfahren zur Herstellung von Kondensatoren für Halbleitereinrichtungen
DE102017117798A1 (de) Halbleiter-bauelement und verfahren zu dessen herstellung
DE69835765T2 (de) Plasma-Verfahren
EP2481080A1 (de) Verfahren und vorrichtung zum rückätzen einer halbleiterschicht
DE69837730T2 (de) Verfahren zur Entfernung von einem Oxyd-Film und Einrichtung dafür
EP0211318B1 (de) Verfahren zum selektiven Auffüllen von in Isolationsschichten geätzten Kontaktlöchern mit metallisch leitenden Materialien bei der Herstellung von höchstintegrierten Halbleiterschaltungen sowie eine Vorrichtung zur Durchführung des Verfahrens
US6979633B2 (en) Method of manufacturing semiconductor device
DE10120523A1 (de) Verfahren zur Minimierung der Wolframoxidausdampfung bei der selektiven Seitenwandoxidation von Wolfram-Silizium-Gates
US20020000644A1 (en) Insulating layer, semiconductor device and methods for fabricating the same
DE60016423T2 (de) Ätzmethode und plasmabehandlungsmethode
DE10297788B4 (de) Vorrichtung für die Herstellung einer Halbleitervorrichtung mit zwei Kammern und Verfahren für die Herstellung einer Halbleitervorrichtung unter Verwendung dieser Vorrichtung

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
R071 Expiry of right