CN1977362A - 蚀刻方法和系统 - Google Patents

蚀刻方法和系统 Download PDF

Info

Publication number
CN1977362A
CN1977362A CNA200580021792XA CN200580021792A CN1977362A CN 1977362 A CN1977362 A CN 1977362A CN A200580021792X A CNA200580021792X A CN A200580021792XA CN 200580021792 A CN200580021792 A CN 200580021792A CN 1977362 A CN1977362 A CN 1977362A
Authority
CN
China
Prior art keywords
substrate
etching
etch
gas
solid material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA200580021792XA
Other languages
English (en)
Other versions
CN100517595C (zh
Inventor
森川泰宏
林俊雄
邹红罡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ulvac Inc
Original Assignee
Ulvac Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ulvac Inc filed Critical Ulvac Inc
Publication of CN1977362A publication Critical patent/CN1977362A/zh
Application granted granted Critical
Publication of CN100517595C publication Critical patent/CN100517595C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00555Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
    • B81C1/00595Control etch selectivity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0128Processes for removing material
    • B81C2201/013Etching
    • B81C2201/0135Controlling etch progression
    • B81C2201/014Controlling etch progression by depositing an etch stop layer, e.g. silicon nitride, silicon oxide, metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Geometry (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

一种适用于产生对于掩膜的高选择性、出色的各向异性外形和大的蚀刻深度的蚀刻方法和蚀刻系统。依据本发明的蚀刻系统包括:设置成对着真空室内的基片电极并且在电势方面保持浮动状态的浮动电极,设置在该浮动电极面对着基片电极的一侧上的用来形成抗蚀刻膜的材料,以及用于间歇地对该浮动电极施加高频功率的控制单元。依据本发明的蚀刻方法使用设置在浮动电极对着基片电极一侧上的用来形成做为靶的抗蚀刻膜的材料,并且只把稀有气体作为主气体,该方法适用于按预定顺序重复地进行借助于对该浮动电极施加高频功率通过溅射在基片上形成膜的步骤,以及接着随后的通过暂停对该浮动电极施加高频功率并把蚀刻气体引入到真空室中而蚀刻基片的步骤。

Description

蚀刻方法和系统
技术领域
本发明涉及一种可以应用于形成微机电系统和制造微电子设备的领域的蚀刻方法和蚀刻系统。
背景技术
氟原子(团)在室温下和硅自发反应,从而当在硅基片中沿着垂直方向形成深孔(其中侧壁相对于该基片保持垂直)并且抑制该孔横向延伸时,除非把该基片冷却到一-140℃,否则不可能产生各向异性蚀刻外形。因而,当利用氟气蚀刻硅时,为了在硅上产生期望的各向异性蚀刻外形,必须通过在侧壁上形成抗蚀刻或保护膜来抑制各向同性蚀刻。
在侧壁上形成抗蚀刻膜以在硅上产生各向异性蚀刻外形的各向异性蚀刻方法是已知的(参见专利文献1和2)。
利用专利文献1中说明的各向异性蚀刻方法,轮流地并且连续地进行聚合步骤和蚀刻步骤,以在每个聚合步骤中在紧前面的蚀刻步骤所暴露的表面上形成聚合物层,从而在随后的蚀刻步骤中保护侧表面不被蚀刻。
利用专利文献2中说明的各向异性蚀刻方法,轮流地并且重复地进行各向异性蚀刻步骤和在等离子体中产生不饱和单体的步骤,其中各向异性蚀刻步骤是通过把含有蚀刻气体(SF6)和钝化气体(CHF3,C4Fn等)的混合气体引入处理室、通过电磁辐射激励该混合气体并且同时对基片施加高的偏压来进行的,而产生不饱和单体的步骤是通过利用电磁辐射激励该混合气体、以及同时通过对基片施加低的偏压而在具有要受到蚀刻的暴露表面的侧壁上形成保护性聚合物涂层来进行的。
专利文献1:美国第5,501,893号专利
专利文献2:日本专利申请公开第2000-323454号
但是,利用专利文献1中说明的现有方法,每个蚀刻步骤和紧随着的聚合步骤的时间比取决于要引入的气体混合物的速度并且随时间改变,从而负面地影响蚀刻的均匀性,这是因为在各蚀刻步骤和各聚合步骤中反复地使用不同的气体混合物。另外,由于必须使用电磁阀以在二种气体混合物之间进行切换,所以实现该方法需要复杂的设备。再者,由于在聚合步骤中引入气体混合物,还出现产生颗粒的问题。
利用专利文献2中说明的现有方法,必须把施加到基片的偏压从适于蚀刻步骤的电平改变到适于聚合步骤的电平,并且反之亦然,从而需要具有复杂配置的控制系统,这相应地提高实现该方法的设备的成本。另外,由于在聚合步骤中引入气体混合物,出现产生颗粒的问题。再者,为了形成聚合物膜还必须施加高能RF功率,这提高了能量成本。
此外,对于利用钝化气体形成抗蚀刻膜的方法,引入的钝化气体中只有百分之几到略多于10%的气体参与膜形成活动。不参与膜形成活动的钝化气体从真空室中排出。由于钝化气体呈现高的大气温室系数并对环境保护构成负面因素,所以需要收集和处理排出的钝化气体。处理钝化气体的操作涉及高成本,从而不希望使用钝化气体。
还已知用来得到各向异性蚀刻外形的使用HBr的高偏压蚀刻方法。但是,尽管它适用于更加微观的图案,但是不能利用该方法对硅进行深蚀刻,因为它具有包括不能对掩膜期望高的蚀刻选择性的问题以及该方法不适用于形成微机电系统和制造微电子设备的问题。
发明内容
鉴于现有技术的上述问题,本发明的目的是提供一种适用于产生对于掩膜的高蚀刻选择性、出色的各向异性外形以及大的蚀刻深度的蚀刻方法和蚀刻系统。
在本发明的第一个方面,提供一种蚀刻系统,其包括用于在真空空内产生等离子体的等离子体产生装置、设置在该真空室内的基片电极、以及对该基片电极施加高频偏置功率的并且蚀刻安装在该基片电极上的基片的高频(RF)偏置电源,该系统还包括:
设置成对着该基片电极的浮动电极,
用来对该浮动电极施加高频功率的高频电源,
设置在浮动电极面对着基片电极一侧上的固体材料,从而该固体材料被溅射以在该基片上溅射形成抗蚀刻膜,以及
用于控制施加到该浮动电极上的高频功率从而间歇地溅射该固体材料的控制单元。
在依据本发明的该蚀刻系统中,还设置用于引入蚀刻气体的蚀刻气体引入装置,并且该控制单元用来按预定顺序控制向该浮动电极施加高频功率、对该基片电极施加高频偏置功率以及对该真空室引入蚀刻气体。
该控制单元可以用来操作成当不溅射该固体材料时把蚀刻气体引入到该真空室中。
该控制单元可以用来操作成当不溅射该固体材料时或者当不对该基片电极施加高频偏置功率时把蚀刻气体引入到该真空室中。
该控制单元可以用来操作成在溅射该固体材料后对该基片电极施加高频偏置功率。
在依据本发明的该蚀刻系统的一实施例中,该高频电源通过开关或者可变电容器与该浮动电极连接,并且还与该等离子体产生装置连接,从而还用于产生等离子体,该控制单元可以用来控制该开关或该可变电容器(不论哪个,如果合适的话),从而当溅射该固体材料时对该浮动电极施加高频功率。
该控制单元可以用来控制该高频电源,从而使得在溅射该固体材料时和在蚀刻该基片时使该电源的输出不同。
该用来形成抗蚀刻膜的固体材料可以从氟树脂、硅、碳、碳化硅、二氧化硅和氮化硅中选择。该固体材料可以是硅,并且可以连续地引入蚀刻气体和氧化物。
该基片可以是硅基片。替代地,该基片可以是石英基片。
依据本发明的第二方面,提供一种通过产生等离子体而蚀刻设置在真空室中的基片的蚀刻方法,该方法重复地进行:
通过把蚀刻气体引入到该真空室中来蚀刻该基片的基片蚀刻步骤;
通过溅射对着该基片设置的固体材料而在该基片上形成抗蚀刻膜的抗蚀刻膜形成步骤;以及
对设置有基片的基片电极施加高频偏置功率并且蚀刻部分抗蚀刻膜的抗蚀刻膜除去步骤。
在该蚀刻膜形成步骤中稀有气体可用作溅射气体。
在基片蚀刻步骤中可以使用稀有气体和蚀刻气体的混合物。
在抗蚀刻膜除去步骤中可以使用稀有气体或者稀有气体和蚀刻气体的混合物。
在基片蚀刻步骤、抗蚀刻膜形成步骤和抗蚀刻膜除去步骤中的每个步骤中,可以把预定的稀有气体引到该真空室中,可以对稀有气体添加蚀刻气体,以形成供要被用在基片蚀刻步骤中或者用在在基片蚀刻步骤和抗蚀刻膜除去步骤中的混合气体。
稀有气体可以从Ar、Xe、Kr和N2中选择。
蚀刻气体可以从SF6、NF3、F2、SiF4和XeF2中选择。
在依据本发明的蚀刻方法中,可以在不对基片电极施加高频偏置功率的情况下进行基片蚀刻步骤。
在依据本发明的蚀刻方法中,该固体材料可以从氟树脂、硅、碳和碳化硅中选择。
在依据本发明的蚀刻方法中,当把硅用作为该固体材料时,在抗蚀刻膜形成步骤中连续地引入蚀刻气体和氧。
在依据本发明的蚀刻系统中,浮动电极设置成对着真空室内的基片电极并且在电势方面保持浮动状态,而且在浮动电极面对着基片电极的一侧上放置用于形成抗蚀刻膜的材料,同时还提供控制单元从而间歇地对该浮动电极施加高频功率。利用这种方案,不再需要电磁阀把一种气体切换到另一种气体。另外,可以减少开关阀的数量,并且不需要设置用来排除在聚合步骤中所需的但在蚀刻步骤中成障碍的CF型气体的大的真空泵,从而可以简化整个系统并且减小尺寸以减小成本。而且,如果与其中在聚合步骤中引入CF型气体的系统相比,依据本发明的蚀刻系统还大大减小颗粒的产生程度,这方便了系统的维护,并且能以稳定方式操作该系统。
依据本发明的蚀刻方法,反复地进行通过把蚀刻气体引入到真空室中而蚀刻基片的基片蚀刻步骤、通过溅射设置成对着基片的固体材料而在基片上形成抗蚀刻膜的抗蚀刻膜形成步骤、以及对设置有基片的基片电极施加高频偏置功率并且蚀刻部分抗蚀刻膜的抗蚀刻膜除去步骤,由此减少不同加工步骤的数量,并使得可对掩膜采取高的蚀刻选择性。这样,就能实现高各向异性的和深的蚀刻。此外,如果与其中在聚合步骤中引入CF型气体的系统相比,利用依据本发明的蚀刻系统大大减小颗粒产生的程度,从而能加工基片并实现高产量。
附图说明
现在通过参照示出本发明的优选实施例的各附图更详细地说明本发明。
图1是示出依据本发明的蚀刻系统的一个实施例的示意电路图;
图2是示出图1系统的控制单元的示意方块图;
图3是作为例子说明对图2的控制单元定义的溅射调制序列,以供图1的蚀刻系统实施例的操作使用;
图4(a)到4(d)示意说明图1系统的操作;
图5是示出通过图1系统得到的蚀刻产品的示意剖面图;
图6的曲线说明聚合膜形成率和施加到图1的系统的高频天线线圈上的高频功率之间的关系;以及
图7的曲线说明聚合膜形成率和可供图1的系统使用的气体之间的关系。
具体实施方式
图1说明硅蚀刻系统的一个实施例的示意电路图,该系统是NLD(磁中性线放电)系统。参照说明该蚀刻系统的实施例的图1,附图标记1代表真空室,它具有位于其上部的等离子体产生部分1a和基片处理部分1b。排气口1c设置在基片处理部分1b上并与适当的排气系统连接。
等离子体产生部分1a带有柱形介质侧壁2,并且在介质侧壁2的外面设置三个磁场线圈3、4、5,以作为用于在真空室1的内部产生磁中性线的磁场产生装置而工作。这些线圈在安装在真空室1上部的等离子体产生部分1a的内部产生磁中性线。基片电极6设置在真空室1的下部,二者之间设置有绝缘件。基片电极6通过阻塞电容器7与用来施加RF偏置的高频电源8连接,并且在基片电极6上安装要受到蚀刻加工的硅基片9。
三个高频线圈10设置成用于在三个磁场线圈3、4、5与介质侧壁2的外侧之间产生等离子体。这些高频线圈10与高频电源11连接并且适用于沿在等离子体产生部分1a(该部分设置在真空室1的上部)的内部形成的磁中性线施加交变电场,以产生放电等离子体。
尽管对于本发明的用途最好使用NDL(磁中性线放电)系统,因为它可以控制等离子体的直径和尺寸,从而能比采用普通系统更好地控制蚀刻操作和溅射操作,只要所采用的系统可以产生等离子体,本发明决不受使用NDL系统的限制。
通过一个绝缘件(未示出)以气密密封方式,安装在真空室1的上部的等离子体产生部分1a的顶板12刚性地装配到介质侧壁2的顶端部分上。顶板12设置成在电势方面保持浮动状态,并且作为浮动电极工作。通过利用可从氟树脂、硅、碳、碳化硅、二氧化硅和氮化硅中任意选择的固体材料13作为靶工作来形成顶板12。通过可变电容器14把高频天线线圈10的来自等离子体产生高频电源11的高频功率施加到浮动电极12,其中该可变电容器14是用来间歇地向浮动电极12施加高频功率的控制单元,该控制单元设置在从等离子体产生高频电源11到高频天线线圈10的功率馈送路径的支路上,从而使浮动电极12产生自动偏压或自给偏压。可以用开关代替可变电容器14。高频电源11可以是二个高频电源,一个用于浮动电极12,另一个用于高频天线线圈10。
设置在真空室1上部的等离子体产生部分1a带有用于把主气体或稀有气体以及蚀刻气体引入到真空室1中的气体引入部分15,该气体引入部分15与气体混合部分16连接。气体混合部分16转而通过稀有气体供给路径17与稀有气体供给源(未示出)连接,并且还通过蚀刻气体供给路径以及用来控制蚀刻气体的供给和流速的蚀刻气体供给和流速控制单元19与蚀刻气体供给源(未示出)连接。该稀有气体供给源适用于把Ar、Xe、Kr或N2中至少任一种提供作为稀有气体。蚀刻气体供给源适用于把SF6、NF3、F2、SiF4或XeF2中的至少任一种提供作为蚀刻气体。
图2是供图1的系统使用的控制单元的示意方块图,其示出对其连接的操作合作部件。参照图2,附图标记21代表用于控制图1的系统的每个构件的操作的控制单元。控制单元21包括算数运算部分22、存储器部分23、时钟/计时器24、输入部分25和显示部分26。
控制单元21与蚀刻气体流速控制单元19、可变电容器14、高频电源11以及高频偏置电源8连接。
算术运算部分22适用于利用存储器部分23中存储的顺序以及从输入部分25对它输入的预定值产生用于各构件的控制信号,并且适用于通过参照时钟/计时器输出这些控制信号。
操作员可以定义:固体材料13的溅射时间、基片9的蚀刻时间、基片电极6的偏压施加时间、为了溅射对浮动电极12施加功率的速率、对基片电极施加偏置功率的速率以及通过输入部分25引入蚀刻气体的速率。显示部分26显示输入值和受控状态。
下面参照图3中作为例子示出的溅射调制顺序说明具有上述配置的示例蚀刻系统的操作。
图3示出三个周期的计时,每个周期涉及一个基片蚀刻步骤、一个抗蚀刻膜形成步骤以及一个抗蚀刻膜除去步骤。参照图3,信号A是触发信号,信号B是指示蚀刻气体供给和流速控制单元19的控制计时的信号,信号C是指示可变电容器14的控制计时的信号,信号D和信号E分别是指示高频电源11和高频偏置电源8的控制计时的信号。
首先,在周期1中,蚀刻气体供给和流速控制单元19为基片蚀刻步骤(用t1指示)操作以便引入蚀刻气体。气体混合部分16把来自稀有气体供给路径17的Ar气和蚀刻气体SF6混合,所得到的混合气体被引入到真空室中。可变电容器14和高频偏置电源8保持断开,从而不对浮动电极12和基片电极6提供高频功率。对天线10施加来自高频电源11的功率以便产生等离子体,从而基片9经受蚀刻处理。
接着,暂停蚀刻气体供给和流速控制单元19的操作以便进行随后的抗蚀刻膜形成步骤,其通过t2指示,并且还暂停蚀刻气体或SF6的供给,从而只把Ar气引入到真空室中。由于接通可变电容器14以提高高频电源11的输出,从而高频功率施加到顶板或浮动电极12。在此条件下,溅射浮动电极12内部的靶,并在硅基片9上沉积作为抗蚀刻膜的氟树脂膜。
然后,暂停对浮动电极12施加高频功率并对基片电极6施加高频功率,以便进行随后的抗蚀刻膜除去步骤,如t3所指示。在此条件下,除掉和硅基片9的表面平行的氟树膜膜的表面沉积,尽管在硅基片9上沉积所产生的图案在侧壁上的氟树脂膜不被除掉并且留在那里。此刻,蚀刻气体供给和流速控制单元19可以被接通以引入蚀刻气体,或者它也可以不被接通。
在周期2中,操作返回到基片蚀刻步骤并且操作蚀刻气体供给和流速控制单元19。气体混合部分16混合来自稀有气体供给路径17的Ar气和蚀刻气体SF6,并把得到的混合气体引到真空室中。为了进行蚀刻加工,对浮动电极12和基片电极6的RF功率供应保持断开。
然后,重复抗蚀刻膜形成步骤、抗蚀刻膜除去步骤和基片蚀刻步骤以继续蚀刻加工,直至达到期望的蚀刻深度。
可选地,可把操作安排成这样:当硅基片9上沉积氟树脂膜之后,对基片电极6施加高频偏压以便去掉在与硅基片9的表面相平行的平面上沉积的氟树脂膜,但留下沉积所产生的图案在侧壁上的氟树脂膜,并且同时蚀刻气体和主气体混合并被引入到真空室1中,从而操作可以移动到蚀刻步骤。
可选地,还可在所有的处理步骤中使主气体和蚀刻气体的混合气体流动。
例子
现在,参照图4,通过一个基于图3中所示出的溅射改进顺序的例子进一步说明本发明。在基片9的表面上形成SiO2掩膜30,并且根据基片9要蚀刻成的图案部分地去掉掩膜以与图案相符。
首先,在图4的(a)中示出的基片蚀刻步骤操作蚀刻气体供给和流速控制单元19,并且气体混合部分16混合来自稀有气体供给路径17的速率为50sccm的Ar气和速率为50sccm的蚀刻气体SF6。把该混合气体引入到真空室1中,并且断开对浮动电极12和基片电极6的高频功率的供应。在此条件下,进行7秒钟的蚀刻处理。结果,在已去掉掩膜30的区域中按预定深度蚀刻基片9。
接着,在图4的(b)中示出的抗蚀刻膜形成步骤,暂停蚀刻气体供给和流速控制单元19的操作,由此暂停蚀刻气体SF6的供给,并在50sccm的速率下只把Ar气引入到真空室中,同时对顶板或浮动电极12施加高频功率。在此条件下,溅射浮动电极12内部中的靶90秒以在硅基片9和掩膜30的整个表面上沉积氟树脂作为抗蚀刻膜31。该抗蚀刻膜31包括沉积在与硅基片9的表面平行的平面上的膜31-1、31-3,以及沉积在各个垂直图案侧壁上的膜31-2。
然后,在图4的(c)中示出的抗蚀刻膜除去步骤,暂停对浮动电极12施加高频功率,并对基片电极6施加200瓦的高频功率12秒。在此条件下,尽管不去掉已经通过在硅基片9上的沉积所产生的该图案在各侧壁上的氟树脂膜31-2并将其保留在那里,但除掉沉积在与硅基片9的表面平行的平面上的氟树脂膜31-1、31-3。
接着,在图4的(d)中示出的基片蚀刻步骤,再次混合来自稀有气体供给路径17的速率为50sccm的Ar气和速率为50sccm的蚀刻气体SF6。把混合气体引入到真空室1中并切断对浮动电极12和基片电极6的高频功率供应。在此条件下,进行7秒钟的蚀刻处理。结果,蚀刻在前的基片蚀刻步骤形成的各孔的底部,尽管不去掉每个孔的垂直平面上的抗蚀刻膜31-2并将其留在那里。这样,可在垂直方向实现各向异性蚀刻。
接着,重复图4的各处理步骤(b)~(d)三十次。图5是蚀刻产品的示意剖面图。
下面列出用于本发明的优选处理条件。
蚀刻步骤:
Pa/Pb=2,000/0w
Ar/SF6=50/500sccm
真空室中的压力=50mTorr
聚合物沉积步骤:
Pa/Pb=1,000/0w
Ar=50sccm
真空室中的压力=20mTorr
顶板:200pF
聚合物除去步骤:
Pa/Pb=2,000/25w
Ar/SF6=50/500sccm
真空室中的压力=50mTorr
图6是说明聚合物膜形成速度和施加到图1的系统的高频天线线圈10的高频功率之间的关系的曲线。在图6的曲线中,横轴代表波长的倒数(cm-1),而纵轴代表吸收系数(任意选定单位)。
图7是说明聚合物膜形成速度和可以对图1的系统使用的气体之间的关系的曲线。在图7的曲线中,横轴代表气体而纵轴代表聚合物膜形成速度。如从图7看出那样,聚合物膜形成速度在引入Ar和SF6的混合气体时下降到只引入Ar气时达到的形成速度的一半,而在暂停Ar的供给以只引入SF6气体时形成速度实质上下降到零。从而,当通过暂停蚀刻气体的供给而在基片上沉积氟树脂膜时可达到高的膜形成速度。
尽管在该示出的实施例中,把氟树脂、硅、碳、碳化硅、二氧化硅或氮化硅用作为顶板12的内壁材料,但是,于本发明的目的,可以替代地使用上面列出物质中的任何物质的化合物或复合物。
当把硅用作为固体材料时,存在着在溅射固体材料的抗蚀刻膜形成步骤中连续引入蚀刻气体和氧气的机会。由于溅射固体材料硅,在气体层中硅和蚀刻气体/氧气彼此作用以产生硅化合物(硫化物,氧化物,硫化物/氧化物,等)。通过在基片9上沉积这种硅化合物形成抗蚀刻膜。
尽管所说明的实施例是NLD蚀刻系统,应理解,本发明同样可以在IPC蚀刻系统中实现。
依据本发明的蚀刻系统不使用钝化气体,由此对呈现高的温室加热系数例如碳氟化合物气体的消耗率和排出率是低的。于是,本发明可减少环境负担并且抑制处理废气的成本。另外,可以用F2替代SF6
此外,依据本发明,不仅能蚀刻硅基片还能蚀刻石英(SiO2,硅硼酸盐玻璃,派热克斯玻璃(Pyrex),钠玻璃)。更具体地,可以借助于对基片电极施加高频功率,通过预定的固体材料(CxFx),并且同时还对浮动电极施加高频功率溅射CxFx,从而蚀刻石英(SiO2,硅棚酸盐玻璃,Pyrex,钠玻璃)。
由于依据本发明的蚀刻方法和蚀刻系统适用于产生对于掩膜的高蚀刻选择性、出色的各向异性外形和大的蚀刻深度,所以它们可以有利地应用于形成微机电系统和制造微电子设备的领域。

Claims (22)

1.一种蚀刻系统,包括用于在真空室内产生等离子体的等离子体产生装置、设置在该真空室内的基片电极、以及对该基片电极施加高频偏置功率的并且蚀刻安装在该基片电极上的基片的高频偏置电源,其中该系统包括:
设置成对着该基片电极的浮动电极;
用来对该浮动电极施加高频功率的高频电源;
设置在该浮动电极面对着该基片电极一侧上的固体材料,从而该固体材料被溅射以在该基片上形成抗蚀刻膜;以及
用于控制施加到该浮动电极上的高频功率从而间歇地溅射该固体材料的控制单元。
2.依据权利要求1的蚀刻系统,其中还包括用于引入蚀刻气体的蚀刻气体引入装置,并且该控制单元适用于按预定顺序控制对该浮动电极施加高频功率、对该基片电极施加高频偏置功率以及对该真空屋引入蚀刻气体。
3.依据权利要求2的蚀刻系统,其中该控制单元适用于操作成当不溅射该固体材料时把蚀刻气体引入到该真空室中。
4.依据权利要求2的蚀刻系统,其中该控制单元适用于操作成当不溅射该固体材料时或者当不对该基片电极施加高频偏置功率时把蚀刻气体引入到该真空室中。
5.依据权利要求2的蚀刻系统,其中该控制单元适用于操作成在溅射该固体材料后对该基片电极施加高频偏置功率。
6.依据权利要求1的蚀刻系统,其中该高频电源通过开关或者可变电容器与该浮动电极连接,并且还与该等离子体产生装置连接,从而还用于产生等离子体,以及
该控制单元适用于控制该开关或该可变电容器中合适的一个,从而当溅射该固体材料时对该浮动电极施加高频功率。
7.依据权利要求6的蚀刻系统,其中该控制单元适用于控制该高频电源,从而使得在溅射该固体材料时和在蚀刻该基片时该电源的输出不同。
8.依据权利要求1的蚀刻系统,其中该用来形成抗蚀刻膜的固体材料是从氟树脂、硅、碳、碳化硅、二氧化硅和氮化硅中选择的。
9.依据权利要求1的蚀刻系统,其中该固体材料是硅,并且连续引入蚀刻气体和氧化物。
10.依据权利要求1到9中任一权利要求的蚀刻系统,其中该基片是硅基片。
11.依据权利要求1的蚀刻系统,其中该基片是石英基片。
12.一种通过产生等离子体蚀刻设置在真空室中的基片的蚀刻方法,其中该方法包括重复地进行:
通过把蚀刻气体引入到该真空室中蚀刻该基片的基片蚀刻步骤;
通过溅射对着该基片设置的固体材料而在该基片上形成抗蚀刻膜的抗蚀刻膜形成步骤;以及
对设置有基片的基片电极施加高频偏置功率并且蚀刻部分抗蚀刻膜的抗蚀刻膜除去步骤。
13.依据权利要求12的蚀刻方法,其中在抗蚀刻膜形成步骤中稀有气体被用作溅射气体。
14.依据权利要求12的蚀刻方法,其中在基片蚀刻步骤中使用稀有气体和蚀刻气体的混合物。
15.依据权利要求12的蚀刻方法,其中在抗蚀刻膜除去步骤中使用稀有气体或者稀有气体和蚀刻气体的混合物。
16.依据权利要求12的蚀刻方法,其中在基片蚀刻步骤、抗蚀刻膜形成步骤和抗蚀刻膜除去步骤的每个步骤中把预定的稀有气体引到该真空室中,对稀有气体添加蚀刻气体,以形成要被用在基片蚀刻步骤中或者用在基片蚀刻步骤和抗蚀刻膜除去步骤中的混合气体。
17.依据权利要求13到16中任一权利要求的蚀刻方法,其中稀有气体是从Ar、Xe、Kr和N2中选择的。
18.依据权利要求12到17中任一权利要求的蚀刻方法,其中蚀刻气体是从SF6、NF3、F2、SiF4和XeF2中选择的。
19.依据权利要求12到18中任一权利要求的蚀刻方法,其中在不对基片电极施加高频偏置功率的情况下进行基片蚀刻步骤。
20.依据权利要求12到19中任一权利要求的蚀刻方法,其中该固体材料是从氟树脂、硅、碳和碳化硅中选择的。
21.依据权利要求12的蚀刻方法,其中该固体材料是硅,并且在抗蚀刻膜形成步骤中连续引入蚀刻气体和氧。
22.依据权利要求12到21中任一权利要求的蚀刻方法,其中该基片是硅。
CNB200580021792XA 2004-07-02 2005-06-23 蚀刻方法和系统 Expired - Fee Related CN100517595C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2004196593 2004-07-02
JP196593/2004 2004-07-02

Publications (2)

Publication Number Publication Date
CN1977362A true CN1977362A (zh) 2007-06-06
CN100517595C CN100517595C (zh) 2009-07-22

Family

ID=35782770

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB200580021792XA Expired - Fee Related CN100517595C (zh) 2004-07-02 2005-06-23 蚀刻方法和系统

Country Status (8)

Country Link
US (2) US7728252B2 (zh)
EP (1) EP1793418B1 (zh)
JP (2) JP4796965B2 (zh)
KR (1) KR100804858B1 (zh)
CN (1) CN100517595C (zh)
RU (1) RU2332749C1 (zh)
TW (1) TWI401342B (zh)
WO (1) WO2006003962A1 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102398887A (zh) * 2010-09-14 2012-04-04 中微半导体设备(上海)有限公司 一种深孔硅刻蚀方法
CN102473633A (zh) * 2009-08-14 2012-05-23 株式会社爱发科 蚀刻方法
CN101960569B (zh) * 2008-03-07 2012-11-28 株式会社爱发科 等离子处理方法
CN112863992A (zh) * 2021-01-12 2021-05-28 广东中图半导体科技股份有限公司 一种等离子刻蚀装置
CN113265640A (zh) * 2020-01-29 2021-08-17 佳能特机株式会社 成膜装置以及电子器件的制造装置

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5065726B2 (ja) * 2007-03-26 2012-11-07 株式会社アルバック ドライエッチング方法
JP5065725B2 (ja) * 2007-03-26 2012-11-07 株式会社アルバック プラズマ処理装置
JP5268112B2 (ja) * 2007-04-11 2013-08-21 株式会社アルバック ドライエッチング方法
WO2009020129A1 (ja) 2007-08-08 2009-02-12 Ulvac, Inc. プラズマ処理方法及びプラズマ処理装置
JP5060869B2 (ja) * 2007-08-21 2012-10-31 株式会社アルバック プラズマ処理装置
JP5297048B2 (ja) * 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP5284679B2 (ja) * 2008-04-28 2013-09-11 株式会社アルバック プラズマエッチング方法
US8303827B2 (en) 2008-11-13 2012-11-06 Pixart Imaging Incorporation Method for making micro-electro-mechanical system device
WO2010067540A1 (ja) 2008-12-10 2010-06-17 株式会社アルバック シール機構及び処理装置
JP2010283095A (ja) * 2009-06-04 2010-12-16 Hitachi Ltd 半導体装置の製造方法
JP5361600B2 (ja) * 2009-07-31 2013-12-04 株式会社アルバック ドライエッチング装置及びドライエッチング方法
KR101273021B1 (ko) 2009-08-12 2013-06-10 가부시키가이샤 아루박 스퍼터링 타겟의 제조 방법 및 스퍼터링 타겟
US20110229687A1 (en) * 2010-03-19 2011-09-22 Qualcomm Incorporated Through Glass Via Manufacturing Process
US8574447B2 (en) * 2010-03-31 2013-11-05 Lam Research Corporation Inorganic rapid alternating process for silicon etch
KR101198039B1 (ko) * 2010-09-20 2012-11-06 에스엔유 프리시젼 주식회사 모노머 증착장치 및 모노머 증착장치의 배기방법
RU2456702C1 (ru) * 2011-03-16 2012-07-20 Общество с ограниченной ответственностью "ЭСТО-Вакуум" Способ плазмохимического травления материалов микроэлектроники
US8802571B2 (en) * 2011-07-28 2014-08-12 Lam Research Corporation Method of hard mask CD control by Ar sputtering
JP2013105543A (ja) * 2011-11-10 2013-05-30 Tokyo Electron Ltd 基板処理装置
US9087687B2 (en) 2011-12-23 2015-07-21 International Business Machines Corporation Thin heterostructure channel device
JP5916105B2 (ja) * 2012-03-27 2016-05-11 国立大学法人九州工業大学 半導体装置の製造方法
JP5961794B2 (ja) * 2012-08-29 2016-08-02 サムコ株式会社 高アスペクト比の凹凸構造を有するシリコン基板の製造方法
JP6141855B2 (ja) * 2012-09-18 2017-06-07 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
JP2013138269A (ja) * 2013-04-12 2013-07-11 Hitachi Ltd 半導体装置の製造方法
JP6207947B2 (ja) * 2013-09-24 2017-10-04 東京エレクトロン株式会社 被処理体をプラズマ処理する方法
US9478408B2 (en) 2014-06-06 2016-10-25 Lam Research Corporation Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging
US10047438B2 (en) 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
US10081869B2 (en) 2014-06-10 2018-09-25 Lam Research Corporation Defect control in RF plasma substrate processing systems using DC bias voltage during movement of substrates
JP6552849B2 (ja) * 2015-03-13 2019-07-31 芝浦メカトロニクス株式会社 プラズマ処理装置およびプラズマ処理方法
JP6557588B2 (ja) * 2015-12-04 2019-08-07 株式会社日立ハイテクノロジーズ ドライエッチング方法
KR101895931B1 (ko) * 2016-05-26 2018-09-10 세메스 주식회사 기판 처리 장치 및 방법

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4795299A (en) * 1987-04-15 1989-01-03 Genus, Inc. Dial deposition and processing apparatus
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
US5573597A (en) * 1995-06-07 1996-11-12 Sony Corporation Plasma processing system with reduced particle contamination
US5565074A (en) * 1995-07-27 1996-10-15 Applied Materials, Inc. Plasma reactor with a segmented balanced electrode for sputtering process materials from a target surface
KR100230981B1 (ko) * 1996-05-08 1999-11-15 김광호 반도체장치 제조공정의 플라즈마 식각 방법
JP3126698B2 (ja) * 1998-06-02 2001-01-22 富士通株式会社 スパッタ成膜方法、スパッタ成膜装置及び半導体装置の製造方法
JP4077939B2 (ja) * 1998-07-16 2008-04-23 株式会社アルバック 反応性イオンエッチング方法及び装置
JP4221859B2 (ja) * 1999-02-12 2009-02-12 株式会社デンソー 半導体装置の製造方法
US6383938B2 (en) 1999-04-21 2002-05-07 Alcatel Method of anisotropic etching of substrates
JP2001052894A (ja) * 1999-08-04 2001-02-23 Ulvac Japan Ltd 誘導結合高周波プラズマ源
TW490719B (en) * 1999-08-04 2002-06-11 Ulvac Corp Inductively coupled RF plasma source and vacuum treatment system using the same
TW584905B (en) * 2000-02-25 2004-04-21 Tokyo Electron Ltd Method and apparatus for depositing films
JP2002167670A (ja) * 2000-11-29 2002-06-11 Matsushita Electric Ind Co Ltd スパッタリング方法及び装置
US20020170678A1 (en) * 2001-05-18 2002-11-21 Toshio Hayashi Plasma processing apparatus
JP3736795B2 (ja) * 2001-10-01 2006-01-18 株式会社アルバック エッチング装置
US6777045B2 (en) * 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
AU2002366943A1 (en) * 2001-12-20 2003-07-09 Tokyo Electron Limited Method and apparatus comprising a magnetic filter for plasma processing a workpiece
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101960569B (zh) * 2008-03-07 2012-11-28 株式会社爱发科 等离子处理方法
CN102473633A (zh) * 2009-08-14 2012-05-23 株式会社爱发科 蚀刻方法
CN102398887A (zh) * 2010-09-14 2012-04-04 中微半导体设备(上海)有限公司 一种深孔硅刻蚀方法
CN102398887B (zh) * 2010-09-14 2015-02-18 中微半导体设备(上海)有限公司 一种深孔硅刻蚀方法
CN113265640A (zh) * 2020-01-29 2021-08-17 佳能特机株式会社 成膜装置以及电子器件的制造装置
CN112863992A (zh) * 2021-01-12 2021-05-28 广东中图半导体科技股份有限公司 一种等离子刻蚀装置
CN112863992B (zh) * 2021-01-12 2023-01-17 广东中图半导体科技股份有限公司 一种等离子刻蚀装置

Also Published As

Publication number Publication date
EP1793418A4 (en) 2010-07-14
KR20070032965A (ko) 2007-03-23
EP1793418A1 (en) 2007-06-06
KR100804858B1 (ko) 2008-02-20
US20100203737A1 (en) 2010-08-12
EP1793418B1 (en) 2013-06-12
JP2011238935A (ja) 2011-11-24
JPWO2006003962A1 (ja) 2008-04-17
WO2006003962A1 (ja) 2006-01-12
JP4796965B2 (ja) 2011-10-19
RU2332749C1 (ru) 2008-08-27
TWI401342B (zh) 2013-07-11
TW200615404A (en) 2006-05-16
CN100517595C (zh) 2009-07-22
US20070166844A1 (en) 2007-07-19
US8153926B2 (en) 2012-04-10
US7728252B2 (en) 2010-06-01

Similar Documents

Publication Publication Date Title
CN1977362A (zh) 蚀刻方法和系统
KR101360876B1 (ko) 식각을 위한 방법 및 장치
KR102180406B1 (ko) 에칭 방법
US7976673B2 (en) RF pulsing of a narrow gap capacitively coupled reactor
JP5296380B2 (ja) プラズマ加工ステップセットの調整方法
CN104900511B (zh) 等离子体蚀刻方法和等离子体蚀刻装置
JPH06349784A (ja) 基板を異方性プラズマエッチングする方法および装置、および電子部品またはセンサー素子
CN1992164A (zh) 等离子体蚀刻方法和计算机可读取的存储介质
CN1802730A (zh) 用于利用气体化学剂周期调制的等离子体蚀刻的方法
CN1444257A (zh) 射频等离子体处理方法和射频等离子体处理系统
JP2018517263A5 (ja) イオン注入システム及びその場(in situ)プラズマクリーニング方法
CN101064244A (zh) 形成用于高孔径比应用的各向异性特征图形的蚀刻方法
WO2012087921A1 (en) Methods for etching a substrate
JP2007035929A (ja) エッチング方法及びエッチング装置
CN101667609A (zh) 二氧化硅组合物的选择性蚀刻
TWI446439B (zh) 電漿處理方法
JP2006302924A5 (zh)
JP2010199364A (ja) 反応性イオンエッチング装置と基板のエッチング方法
JP2011035262A (ja) 結晶系太陽電池の製造における処理方法及び処理装置
CN1968819A (zh) 用于对打印头的墨供应通道表面形状进行修正的方法
CN101052536A (zh) 处理具有最小扇贝纹路的衬底的方法
TW202217912A (zh) 使用短持續時間之偏壓脈衝的離子層化
US20190244827A1 (en) Apparatus and method for anisotropic drie etching with fluorine gas mixture
US9023227B2 (en) Increased deposition efficiency and higher chamber conductance with source power increase in an inductively coupled plasma (ICP) chamber
CN105047514B (zh) 在玻璃表面等离子体刻蚀形成纹理结构的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090722

Termination date: 20210623

CF01 Termination of patent right due to non-payment of annual fee