CN1910516A - 用于检测标线设计数据中的缺陷的计算机实现方法 - Google Patents

用于检测标线设计数据中的缺陷的计算机实现方法 Download PDF

Info

Publication number
CN1910516A
CN1910516A CNA2005800030275A CN200580003027A CN1910516A CN 1910516 A CN1910516 A CN 1910516A CN A2005800030275 A CNA2005800030275 A CN A2005800030275A CN 200580003027 A CN200580003027 A CN 200580003027A CN 1910516 A CN1910516 A CN 1910516A
Authority
CN
China
Prior art keywords
wafer
graticule
design data
analog image
reticle design
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005800030275A
Other languages
English (en)
Other versions
CN1910516B (zh
Inventor
Z·K·塞丁
Y·熊
L·格拉瑟
C·黑斯
M·E·普里尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Tencor Technologies Corp
Original Assignee
KLA Tencor Technologies Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Technologies Corp filed Critical KLA Tencor Technologies Corp
Publication of CN1910516A publication Critical patent/CN1910516A/zh
Application granted granted Critical
Publication of CN1910516B publication Critical patent/CN1910516B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70533Controlling abnormal operating mode, e.g. taking account of waiting time, decision to rework or rework flow
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70653Metrology techniques
    • G03F7/70666Aerial image, i.e. measuring the image of the patterned exposure light at the image plane of the projection system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/07Responding to the occurrence of a fault, e.g. fault tolerance
    • G06F11/08Error detection or correction by redundancy in data representation, e.g. by using checking codes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06QINFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES; SYSTEMS OR METHODS SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES, NOT OTHERWISE PROVIDED FOR
    • G06Q30/00Commerce
    • G06Q30/02Marketing; Price estimation or determination; Fundraising
    • G06Q30/0201Market modelling; Market analysis; Collecting market data
    • G06Q30/0206Price or cost determination based on market factors

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Business, Economics & Management (AREA)
  • Theoretical Computer Science (AREA)
  • Development Economics (AREA)
  • Finance (AREA)
  • Accounting & Taxation (AREA)
  • Strategic Management (AREA)
  • General Engineering & Computer Science (AREA)
  • Entrepreneurship & Innovation (AREA)
  • Quality & Reliability (AREA)
  • Data Mining & Analysis (AREA)
  • Game Theory and Decision Science (AREA)
  • Economics (AREA)
  • Marketing (AREA)
  • General Business, Economics & Management (AREA)
  • Computer Hardware Design (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

提供了用于检测标线设计数据中的缺陷的计算机实现的方法。一种方法包括生成第一模拟图像,它示出了如何用标线制造过程将标线设计数据印制于标线上。该方法还包括用所述第一模拟图像生成第二模拟图像。该第二模拟图像示出了如何以晶片印制过程的一个或多个参数的不同值将标线印制于晶片上。该方法还包括使用所述第二模拟图像检测所述标线设计数据中的缺陷。另一方法包括上述生成步骤,以及按照不同值确定第二模拟图像的特征的变化率。该方法还包括基于变化率检测标线设计数据中的缺陷。

Description

用于检测标线设计数据中的缺陷的计算机实现方法
技术领域
本发明一般涉及用于检测标线设计数据中的缺陷的计算机实现的方法。某些实施例涉及计算机实现的方法,它包括使用示出在晶片印制过程的一个或多个参数的不同值下标线将如何印制于晶片上的模拟图像检测标线设计数据中的缺陷。
背景技术
以下描述和示例不由于它们包含于该部分内而被承认为现有技术。
制造诸如逻辑和存储器器件的半导体器件通常包括使用系列半导体制造工艺来处理基片,诸如半导体晶片,以形成各种部件和半导体器件的若干层。例如,光刻是一种半导体制造工艺,它包括将图案从标线转移到半导体晶片上排列的抗蚀剂。半导体制造工艺的其它示例包括,但不限于,化学机械抛光、蚀刻、沉积和离子植入。可以在半导体晶片上的一种排列上制造多种半导体器件,随后将其分成个别的半导体器件。
光刻通常是集成电路制造过程中的最重要的过程之一,因为这是在晶片上图案化部件的过程。随后,通过光刻在抗蚀剂中印制的图案用作掩模层,以在后续处理步骤中将该图案转移到晶片上的另外的层。因此,光刻期间形成于晶片上的图案直接影响晶片上形成的集成电路的部件。结果,对于集成电路制造过程来说,光刻期间在晶片上形成的缺陷可能特别成问题。在光刻期间在形成图案的晶片上形成缺陷的许多方式之一是将标线上存在的缺陷转移到晶片上。因此,相当严格地进行诸如不需要的微粒或其它物质的标线上缺陷的检测和校正,以防止在光刻期间将标线上的这些缺陷转移到晶片上。
然而,随着集成电路的尺寸减小以及从标线转移到晶片上的图案变得更加复杂,标线上形成的部件中的缺陷或容限(marginalities)变得越发重要。特别是,如果图案未精确形成于标线上,当图案的尺寸减小且图案的复杂度增加时,这种偏差更甚地产生晶片上的缺陷。此外,标线设计中的容限会使得该设计不正确地印制于晶片上。因此,已致力于可用于检测将引起晶片上的问题的标线上的图案中或设计中的问题的方法和系统。这些努力相对复杂和困难,这至少部分地是因为并非标线上形成的图案中的所有偏差或容限(与理想图案相比)将引起会负面影响集成电路的晶片上的误差。换言之,标线上形成的图案中的某些误差将根本不会产生晶片上的缺陷,或者会产生不降低集成电路的性能特征的基片上的缺陷。因此,开发用于使标线图案合格的合适方法和系统中的诸多挑战之一是区分“要紧”的图案缺陷或容限和不要紧的那些。
在制造标线前检查标线图案的一种方法是设计规则检查(DRC)。但是,常规的DRC仅以标称处理条件进行操作,或至多以有限数量的处理条件和/或以器件内的有限数量的点的情况下操作。已提出了其它用于在制造标线前检测设计图案缺陷的基于软件的方法,且Weed的美国专利申请出版物No.2003/0119216A1中描述了这样一种方法,其完整地结合在此作为参考。然而,该方法被设计成仅确定最佳聚焦和曝光设定而不探测可用于每个设计的处理窗口条件的全范围。Bula等人的美国专利No.6373975中描述了另一方法,它完整地结合在此作为参考,该方法仅进行模拟以测试特定的设计规则违反而不将完整的芯片模拟图像和基准进行比较以检测任意缺陷。
因此,这种软件方法具有若干缺点。特别是,这些软件方法不暴露处理窗口条件的全范围,从而不能检测处理窗口容限并错过潜在的缺陷。此外,这些方法不确定出现缺陷的准确聚焦和曝光条件,从而阻碍了设计的完全优化。缺乏完整的处理窗口信息还限制了实现用于器件上的所有关键部件的关键尺寸控制的高级处理控制技术的能力。
由此,期望开发能在制造标线前在整个芯片内并在处理条件范围(诸如聚焦和曝光)上检测标线设计缺陷或容限的方法和系统,以降低制造适合于在集成电路制造中使用的标线的成本并减少制造通过集成电路制造限制的标线的有关时间。
发明内容
用于检测标线设计数据中的缺陷的计算机实现的方法的各种实施例的以下描述不按任何方式构成为限制所附权利要求书的主题。这里所述的方法一般称作虚拟处理窗口限定条件(vPWQ)方法。
本发明的一个实施例涉及一种用于检测标线设计数据中的缺陷的计算机实现的方法。该方法包括生成第一模拟图像,它示出了如何用标线制造过程将标线设计数据印制于标线上。该方法还包括用所述第一模拟图像生成第二模拟图像。该第二模拟图像示出了如何以晶片印制过程的一个或多个参数的不同值将标线印制于晶片上。因此,该方法包括在两个步骤中进行模拟:首先,模拟从设计到标线(即,掩模制造过程的模拟);随后,模拟标线到晶片图案转移(即,晶片制造过程的模拟)。此外,该方法包括使用所述第二模拟图像检测所述标线设计数据中的缺陷。
在一个实施例中,第一和第二模拟图像包括由所述标线设计数据定义的完整芯片的模拟图像。在一些实施例中,上述不同值跨晶片印制过程的一个或多个参数的预定处理窗口。因此,该方法可以包括全处理窗口上的完整芯片的模拟以确定在处理条件(诸如聚焦和曝光)变化时首先出错的器件区域。在另一实施例中,标线设计数据包括通过分辨率增强技术(RET)部件数据(featuredata)修改的标线设计数据。
在另一实施例中,该方法包括基于所述检测步骤的结果确定晶片印制过程的处理窗口。在另一实施例中,该方法还包括确定在哪些不同值处在第二模拟图像中出现至少一个缺陷。在又一实施例中,该方法包括确定在比在标线设计数据的其它区域中出现缺陷时的不同值更靠近晶片印制过程的一个或多个参数的标称值的不同值处出现缺陷的标线设计数据中的区域。
在一个实施例中,检测步骤包括将所述第二模拟图像和基准图像进行比较。按此方式,该方法涉及通过与基准图像的比较对标线设计数据中的“有缺陷”区域的识别。该基准图像可包括示出如何以晶片印制过程的一个或多个参数的标称值将标线印制于晶片上的附加模拟图像。在另一这种实施例中,基准图像示出如何将标线设计数据理想地印制于晶片上。在其它实施例中,检测步骤包括将所述第二模拟图像之一和附加模拟图像进行比较,所述附加模拟图像示出如何以比对应于所述一个第二模拟图像的不同值更靠近晶片印制过程的一个或多个参数的标称值的不同值将标线印制于晶片上。
在一些实施例中,该方法可包括生成附加模拟图像,它示出如何以标线制造过程的一个或多个参数的不同值将标线设计数据印制于标线上。一个这种实施例包括选择在标线上产生最小数量的设计图案缺陷的所述标线制造过程的一个或多个参数的不同值。这样,该方法可包括为标线设计数据选择最合适的掩模制造过程。在另一实施例中,该方法包括根据所述检测步骤的结果改变标线设计数据。该改变步骤可包括改变标线设计数据的RET部件数据。按此方式,该方法允许分辨率提升、光学近似校正(OPC)规则、设计布局等的最佳选择。
在一个实施例中,该方法可包括基于所述检测的结果生成所述标线的检查过程。在一个这种实施例中,该方法可包括链接vPWQ数据和标线检查,以驱动检查器的选择性灵敏度。在一附加实施例中,该方法可包括基于所述检测步骤的结果生成所述晶片的检查过程。在一个这种实施例中,该方法可包括链接vPWQ数据和晶片检查,以驱动检查器的选择性灵敏度。在一个不同的实施例中,该方法包括在检测步骤后制造标线,检查所述标线,并基于所述检测和所述检查的结果生成所述晶片的检查过程。按此方式,该方法可包括链接vPWQ和标线检查数据的组合及晶片检查以驱动晶片检查器的选择性灵敏度。在另一实施例中,该方法可包括在所述检测步骤后制造标线,检查所述标线,并基于所述检测的结果、所述检查的结果、标线设计数据的设计者生成的关键部件数据或其某一组合生成用于晶片的检查过程。这样,该方法可包括链接vPWQ、标线检查和/或设计者识别的关键部件的组合以驱动晶片检查灵敏度、度量采样方案和关键尺寸(CD)控制系统用于最佳产量。
在再一实施例中,该方法可包括识别所述标线设计数据中的第一区域,它与标线设计数据中的第二区域相比具有更高的概率被有缺陷地印制,并基于所述识别的结果生成用于将用标线印制的晶片的过程控制方法。在一个这种实施例中,该方法可包括链接vPWQ与晶片CD度量工具以驱动最佳采样方案并检测vPWQ识别的关键区域中的处理出错的最早的可能征兆。在又一实施例中,该方法可包括识别所述标线设计数据中的第一区域,该区域与标线设计数据中的第二区域相比具有更高的概率被有缺陷地印制,并基于所述识别改变所述标线设计数据。按此方式,该方法可包括将vPWQ数据反馈给设计者和/或设计过程以便优化vPWQ所识别的区域中的器件电气参数,作为在处理窗口容差方面最大的限制。上述每个方法实施例可包括这里所述的任何其它步骤。
另一实施例涉及一种模拟引擎,它被配置为生成第一模拟图像,该图像示出了如何用标线制造过程将标线设计数据印制于标线上。该模拟引擎还被配置为用所述第一模拟图像生成第二模拟图像。该第二模拟图像示出了如何以晶片印制过程的一个或多个参数的不同值将标线印制于晶片上。该第二模拟图像可用于检测所述标线设计数据中的缺陷。该模拟引擎还如这里所述地被配置。
附加实施例涉及一种系统,它被配置为检测标线设计数据中的缺陷。该系统包括模拟引擎,它被配置为生成第一模拟图像,它示出了如何用标线制造过程将标线设计数据印制于标线上。该模拟引擎还被配置为用所述第一模拟图像生成第二模拟图像。该第二模拟图像示出了如何以晶片印制过程的一个或多个参数的不同值将标线印制于晶片上。该系统还包括被配置为使用所述第二模拟图像检测所述标线设计数据中的缺陷的处理器。该系统还如这里所述地被进一步配置。
另一实施例涉及一种用于检测标线设计数据中的缺陷的不同方法。该方法包括生成第一模拟图像,它示出了如何用标线制造过程将标线设计数据印制于标线上。该方法还包括用所述第一模拟图像生成第二模拟图像。该所述第二模拟图像示出了如何以晶片印制过程的一个或多个参数的不同值将标线印制于晶片上。此外,该方法包括将所述第二模拟图像的特征的变化率确定誒所述不同值的函数。该方法还包括基于所述变化率检测所述标线设计数据中的缺陷。在一个实施例中,检测步骤可包括使用所述变化率结合所述第二模拟图像来检测所述标线设计数据中的缺陷。该方法的每个实施例还可包括这里所述的任何其它步骤。
一附加实施例涉及一种用于检测印制于标线上的标线设计数据中的缺陷的计算机实现的方法。该方法包括以晶片印制过程的一个或多个参数的不同值将标线的图像印制于晶片上。该方法还包括按照所述不同值确定所述图像特征的变化率。此外,该方法包括基于所述变化率检测所述标线设计数据中的缺陷。该方法还可包括这里所述的任何其它步骤。
附图说明
对于本领域的熟练技术人员来说,本发明的其它优点将得益于以下较佳实施例的详细描述和对附图的参照而变得显而易见,其中:
图1是示出用于使用于集成电路制造的标线合格的方法的流程图。
图2是示出用于检测标线设计数据中的缺陷的计算机实现的方法的一个实施例的流程图。
图3是示出用于检测标线设计数据中的缺陷的计算机实现的方法和其它处理步骤之间的数据流的方法的一个实施例的流程图。
图4是示出具有不同水平和类型的关键性的标线设计数据中的不同区域的一个示例的示意图。
图5是示出可生成模拟图像的晶片印制过程参数的不同值的一个示例的示意图,它们可用于检测标线设计数据中的缺陷。
图6是示出可生成模拟图像的晶片印制过程参数的不同值的一个示例的示意图,它们可用于检测标线设计数据中的缺陷。
图7是示出可用于检测标线设计数据中的缺陷的晶片印制过程参数的不同值下印制于晶片上的关心的一种排列的示意图。
图8是示出在可用于检测标线设计数据中的缺陷的晶片印制过程参数的不同值下模拟或印制于晶片上的管芯的一种排列的一个实施例的示意图。
图9-12是示出用于检测标线设计数据中的缺陷的计算机实现的方法的各种
实施例的流程图。
虽然本发明容许各种修改和替换形式,其特定实施例作为示例在附图中示出并在此详细描述。附图可不按比例绘制。但应理解,附图及其详细描述不旨在将本发明限制于所公开的特殊形式,相反地本发明将覆盖落在所附权利要求书限定的本发明的精神和范围内的所有修改、等效方案和可选方案。
具体实施方式
如这里所使用的,术语“晶片”一般表示由半导体或非半导体材料构成的基片。这种半导体或非半导体材料的示例包括,但不限于,单晶硅、砷化镓和磷化铟。这种基片可在半导体制造设备中普遍地找到和/或处理。
一晶片可仅包括基片。这种晶片通常称作“原始晶片”。或者,晶片可包括形成于基片上的一层或多层。例如,这些层可包括,但不限于,抗蚀剂、电介质材料和导电材料。抗蚀剂可包括能通过光刻技术、电子束光刻技术或X射线光刻技术形成图案的任何材料。电介质材料的示例包括,但不限于,二氧化硅、氮化硅、氮氧化硅和氮化钛。电介质材料的其它示例包括“低k”电介质材料,诸如AppliedMaterials,Inc.,Santa Clara,California的Black Diamond TM,以及Novellus Systems,Inc.,San Jose,California的CORALTM,诸如“干凝胶”的“超低k”电介质材料,和诸如五氧化钽的“高k”电介质材料。此外,导电材料的示例包括,但不限于,铝、多晶硅和铜。
晶片上形成的一层或多层可被形成图案或不形成图案。例如,晶片可包括具有可重复图案特征的多个管芯。这种材料层的形成和处理可最终获得完成的半导体器件。这样,晶片可包括其上尚未形成完整半导体器件的所有层的基片或者其上已形成了完整半导体器件的所有层的基片。术语“半导体器件”这里与术语“集成电路”可互换使用。此外,诸如微机电系统(MEMS)器件等的其它器件也可形成于晶片上。
“标线”或“掩模”一般被定义为具有其上形成并按一定图案配置的基本不透明区域的基本透明的基片。例如,基片可包括诸如石英的玻璃材料。基本不透明的区域可由诸如铬的材料构成。在光刻工艺的曝光步骤期间,标线可设置于涂布抗蚀剂的晶片上,以使标线上的图案能转移到该抗蚀剂。例如,标线的基本不透明的区域可保护下面的抗蚀剂区域免受对能量源的曝光。本领域已知许多不同类型的标线,且这里使用的术语标线旨在包括所有类型的标线。
如这里所使用的,术语“缺陷”表示使得标线设计错误地印制于晶片上的标线设计中的容限。此外,在晶片印制工艺的一个或多个参数的仅某些值下,缺陷可印制于晶片上。术语“晶片印制工艺”这里与术语“光刻工艺”互换使用。
术语“第一”和“第二”这里仅用于区分不同的模拟图像、标线上的不同区域等,而不按任何其它方法加以解释。
用于使用于集成电路制造的标线合格的一种特别成功的方法是Peterson等人于2002年8月2日提交的美国专利申请序号No.09/211156中所述的处理窗口限定(PWQ)方法,其整体结合在此作为参考。该方法一般包括在晶片上印制制造的光掩模并按指定方式检查该晶片以检测会引起制造晶片的出错和低产量的设计容限。虽然对于设计缺陷检测来说有效,但在已制造掩模或标线之前PWQ不能检测设计缺陷,常造成很高的成本。此外,校正标线设计和用校正的设计制造新掩模用于附加设计缺陷检测是既昂贵又耗时的。
为在制成标线前检测标线设计数据中的容限,已开发了这里所述的计算机实现的方法,它们在这里一般称作“虚拟处理窗口限定条件(vPWQ)”。代替制造实际的掩模和用该掩模印制真正的晶片,vPWQ使用标线设计数据来分别模拟如果将该标线设计数据印制于掩模和晶片上该掩模和晶片的模样。晶片上标线设计数据的模样的模拟图像可以在期望在生产环境中使用的聚焦和曝光条件的全范围上生成。
在vPWQ中,通过比较基准图像和示出了如何将标线设计数据印制于晶片上的不同的模拟图像来检测缺陷,这些不同的模拟图像是针对晶片印制工艺的焦距、曝光和/或其它参数的不同值产生的。基准图像可表示如何以最佳焦距/最佳曝光条件或标称聚焦和曝光条件将标线设计数据印制于晶片上。或者,基准图像可以是标线设计数据的理想图像,它可通过光学近似校正(OPC)“修正”之前(即在通过OPC数据修改标线设计数据前)的设计数据生成。因此,基本上,vPWQ是用于数据库检查方法的虚拟晶片,其中为不同的聚焦和曝光条件生成虚拟晶片,优选在将在光刻工艺中用于标线的整个处理窗口上。按此方式,可在将设计交送掩模前为合理聚焦和曝光条件的最佳可能范围(即最大处理窗口)优化完整的设计布局(包括OPC和其它标线增强技术(RETs))。
因此,一般,用于检测标线设计数据中的缺陷的计算机实现方法的一个实施例包括生成第一模拟图像,该图像显示如何使用标线制造工艺将该标线设计数据印制于标线上。在一个实施例中,vPWQ使用包括所有光学增强(OPC、相移特征和其它RET)设计数据库,并模拟如何制造掩模。按此方式,这里所述的方法中所使用的标线设计数据可包括通过RET特征数据修改的标线设计数据。
该方法还包括用第一模拟图像生成第二模拟图像。第二模拟图像示出如何以晶片印制工艺的一个或多个参数的不同值将标线印制于晶片上。例如,生成第二模拟图像可使用一个或多个模型完成,诸如抗蚀剂模型(例如,用标线设计数据印制于晶片上的抗蚀剂的模型)和光刻模型(例如,将用于将标线印制于晶片上的曝光工具的光学参数的模型,以及光刻工艺中所涉及的其它处理步骤的模型,诸如显影和后曝光烘培)。这些模型和/或用于这些模型的参数可从一个或多个源获得,诸如KLA-Tencor的PROLITH软件。
模拟第二图像的不同值可跨晶片印制工艺的一个或多个参数的预定处理窗口。例如,预定处理窗口可以是对于将与标线一起使用的晶片印制工艺的希望的或可用的处理窗口。然而,如这里进一步描述的,为与标线设计数据一起使用而确定的实际处理窗口可小于该预定的处理窗口。一个或多个参数可包括,但不限于,剂量和聚焦。例如,上述一个或多个参数也可以包括可用于与标线一起使用的不同类型的照明(例如,环形和四极)。在一个实施例中,这里也称作“测试图像”的第二模拟图像可示出如何以晶片级在聚焦和曝光条件的全部范围上印制实际掩模。该第一和第二模拟图像可包括标线设计数据所定义的完整芯片的模拟图像。
因此,vPWQ包括将模拟分成两个步骤:1)使用标线设计的数据库描述来呈现将如何写标线的模拟图像(模拟标线制造工艺);以及2)使用模拟的标线图案来呈现模拟的晶片图案。这两个步骤方法有许多优点。例如,该方法允许用户不仅模拟标线设计,还模拟标线设计数据加掩模制造工艺的组合,从而能为给定的设计选择最佳掩模制造工艺和/或对选定工艺优化设计规则。该方法还通过去除对掩模偏移的设计而产生更精确的模拟。
此外,该方法包括用第二模拟图像检测标线设计数据中的缺陷。例如,可将测试图像与基准图像逐点进行比较,且例如使用算法在测试图像中识别缺陷。用于这里所述的方法的算法可与典型的标线检查中所使用的那些相同或基本类似。在一个实施例中,与第二模拟图像比较以检测缺陷的基准图像包括模拟图像,它示出如何在晶片印制工艺的一个或多个参数的标称值下将标线印制于晶片上。在不同的实施例中,与第二模拟图像比较以检测缺陷的基准图像示出如何理想地将标线设计数据印制于晶片上。按此方式,基准图像可以是RET装饰前设计者理论上想象的电路布局或者在最佳聚焦和曝光条件下的模拟晶片图像。
用于缺陷检测的基准图像也可以是上述基准图像的变型。例如,如上所述,基准图像可以是设计者想要的标线图案布局或者在最佳聚焦和曝光条件下模拟的图案。该“设计者想要的”布局可通过模拟期望的掩模进行修改来设计偏移,使得基准图像示出将在标称掩码制造条件下被印制于标线上的未装饰图案。
基准图像也可以是示出如何在最佳聚焦和曝光条件下将标线设计数据印制于晶片上的模拟图像。可以通过使用也包括在测试中的设计中的晶片印制图像和/或标准测试单元数据校准模拟模型来改进该模拟基准图像的使用。或者,可通过使用包含在先前或测试标线中的晶片印制图像和/或用于新设计的一些部分的数据来修改基准模拟图像,从而可在晶片级获得。
基准图像模拟也可用从先前设计或测试标线收集的空间图像数据加以校准。基准空间图像数据可通过将现有标线插入扫描仪并在晶片平面上监控空间图像而生成。或者,基准空间图像可通过将一个或多个现有标线插入其中光学条件被设为模拟物理曝光系统(扫描仪)性能的空间图像缺陷检测和/或检查工具而生成。空间图像缺陷检测和/或检查工具的示例在2003年10月6日提交的Stokowski等人的美国专利申请序号No.09/679617中示出,其完整地结合在此作为参考。
也可将第二模拟图像与不同类型的基准图像进行比较。例如,示出如何在晶片印制工艺的一个或多个参数的标称值下将标线印制于晶片上的基准模拟图像对于检测根据这一个或多个参数变化的标线设计数据中的缺陷特别有用。换言之,这种基准图像将对检测会减小可与标线一起使用的处理窗口的标线设计数据中的缺陷特别有用。特别是,某些缺陷在标称参数下不会出现,但在第二模拟图像中会出现,特别是当参数远离标称值时。vPWQ可包括确定在不同值中的哪个值的情况中在第二图像中出现至少一个缺陷。根据这些缺陷的特征(例如,缺陷是否是“杀伤(killer)”缺陷)以及出现这些缺陷时的参数值,可在晶片印制工艺中用于标线的处理窗口可小于预定处理窗口。因此,在一个实施例中,该方法可包括根据检测步骤的结果确定用于晶片印制工艺的处理窗口。标线处理窗口内晶片印制工艺的期望性能的检查是PWQ和vPWQ方法的特别效能。
然而,由于这种基准图像示出如何在标称参数下将标线设计数据印制于晶片上,该比较不会检测出诸如根本不印制于晶片上的部件的标线设计数据中的缺陷。特别是,如果具有简单地不印制的标线设计数据中的部件,这些部件将从为标称参数模拟的基准图像和第二模拟图像两者中丢失。因此,通过这种比较将不检测出丢失的部件。然而,表示标线设计数据的理想图像的基准图像将包括可印制的和不可印制的部件。这样,这种“理想”基准图像和第二模拟图像之间的比较可用于检测丢失部件类型的缺陷。这样,可在第二模拟图像和不同的基准图像之间进行多重比较以检测不同种类的缺陷,从而提升了vPWQ方法的缺陷检测能力。
如上所述,通过比较测试和基准图像可进行缺陷检测。从基准图像中的相应区域变化超过一阈值的测试图像中的任何区域都被标记为缺陷。物理标线检查系统中使用的所有算法工具可用于该缺陷检查步骤,包括基于局部几何形态改变缺陷阈值、基于用于不同数量的最相邻像素的不同平滑滤波器应用多种算法检测器,以及检测单边错位(CD误差)和/或双边错误(配准误差)。
缺陷检测算法可与用于物理标线检测工具的那些具有相同的调谐能力。特别是,用于这里所述的方法的算法可配置为能优化算法的灵敏度以检测将影响器件性能和产量的缺陷,但不会被理想和实际成像性能之间的较小差异花费过度的精力。在一个这种实施例中,算法的灵敏度可根据标线设计数据的一个或多个特征(例如,尺寸、关键性等)在标线设计数据中随区域改变。在另一个这种实施例中,算法的灵敏度可根据检测步骤的结果动态地或实时地改变。算法的灵敏度可按本领域已知的任何方式改变(例如,通过改变算法中使用的阈值)。
在一个这种实施例中,这里所述的方法可被配置为以选择性的灵敏度进行缺陷检测,这里被称为“智能检查(SmartInspection)”。例如,缺陷可通过比较不同处理条件下的模拟晶片图像和标称或基准图像而由vPWQ识别。这种比较的灵敏度直接影响vPWQ处理的缺陷检测结果。如果灵敏度太高,由于vPWQ将检测过多数量的缺陷,所以全部标线设计将不合格。另一方面,如果灵敏度太低,潜在的关键缺陷可能会逃过检测。2004年7月1日提交的Marella等人的美国专利申请序号No.10/883372中描述了可用于实现智能检查的方法示例,其整体结合在此作为参考。如本专利申请中所述的,并非所有设计区域对于器件性能同等地关键。此外,如果设计者对标线设计数据的个别部分加标记,以指示最关键区域和/或对器件性能不要紧的区域(伪填充区域、冗余接触件、不连接的线路等),可局部地改变vPWQ的灵敏度以将最大灵敏度应用于这些最关键区域并将降低的灵敏度应用于不关键的区域。这种缺陷检测方法的示例也在2004年12月3日提交的Hess等人的美国专利申请No.11/003291中示出,其整体结合在此作为参考。
如这里所述的,该方法可包括为标线设计数据确定处理窗口。处理窗口可包括使第二模拟图像显示可接受的缺陷水平的一个或多个参数的那些值。此外,这里所述的方法可包括针对一个或多个参数值的改变确定标线设计数据的不同区域的灵敏度。例如,在一个实施例中,该方法可包括确定其中在比标线设计数据的其它区域中出现缺陷时的不同值更接近于晶片印制工艺的一个或多个参数的标称值的晶片印制工艺的一个或多个参数的不同值处出现缺陷的标线设计数据的一个区域。换言之,可根据当参数离开标称值时区域多快地呈现出缺陷而在标线设计数据中识别不同的区域。这种信息可用于这里所述的许多实施例。例如,该信息可用于适应特殊标线设计的标线检查、晶片检查、处理控制方法等。
这里所述的方法还可包括根据检测步骤的结果产生用于标线的检查过程。在一个这种实施例中,智能检查概念的另一扩展是使用vPWQ检查的输出来改变所制造的标线的检查的灵敏度。特别是,标线检查的灵敏度可以在vPWQ结果指示最严格的处理窗口的标线设计数据区域中较高,同时该灵敏度可在vPWQ结果指示更宽松的处理窗口的区域中较低。Hess等人的专利申请也描述了这种标线检查方法,其整体结合在此作为参考。
在另一实施例中,这里所述的方法可包括根据检测步骤的结果产生用于晶片的检查过程。在一个这种实施例中,这里所述的方法可通过用vPWQ方法的输出改变被印制晶片的检查的灵敏度作为晶片上位置的函数来使用智能检查概念。特别地,晶片检查的灵敏度可在vPWQ结果指示最严格的处理窗口的区域中较高,同时该灵敏度可在vPWQ结果识别更舒适的处理窗口的区域中较低。
在一附加实施例中,该方法可包括在检测步骤之后制造标线(例如,如果检测步骤的结果指示标线设计数据中没有明显或重大的缺陷)。该方法实施例也可包括检查标线并根据检测步骤的结果和标线的检查产生用于晶片的检查过程。在一个这种实施例中,这里所述的方法可使用具有vPWQ和制造标线检查的组合结果的智能检查概念,以按照晶片上的位置确定被印制晶片的检查灵敏度。因此,在物理标线检查显示没有问题且vPWQ模拟预测相对较宽松的处理窗口的标线设计数据区域中,可放松晶片检查灵敏度。在vPWQ检测到受限处理窗口或标线检查找到其中与标称的偏差不足以大到被认为是缺陷的较小最佳图案但可限制处理窗口的区域(“副规格区域(subspec region)”)中可增加灵敏度。最高灵敏度可保留用于vPWQ和标线检查两者识别处理窗口的潜在约束的位置。在一些实施例中,该方法可包括基于vPWQ方法的检测步骤的结果、标线检查的结果、标线设计数据的设计者生成的关键部件数据或者其某些组合生成晶片的检查过程。
在附加实施例中,该方法可包括识别标线设计数据中的第一区域,该区域比标线设计数据中的第二或其它区域更可能被有缺陷地印制。一个这种实施例还可包括根据识别步骤的结果产生用于将用标线印制的晶片的处理控制方法。按此方式,该方法可包括为特殊标线设计确定最佳晶片度量采样方案。例如,可额外仔细地测量晶片上的与其中vPWQ和/或标线检查识别有限处理窗口的区域相对应的位置,且可在那些位置上进行关键尺寸(CD)测量以确保该器件满足规范。也可按任何其它方式额外地关注,诸如增加这些位置中执行的测量的数量和/或灵敏度。
在另一示例中,也可使用具有通过vPWQ、标线检查和/或物理晶片PWQ确定的最有限处理窗口的标线设计数据中的区域的识别来改进工厂中使用的CD控制方法或其它度量或处理控制方法。例如,代替跟踪和调节剂量和聚焦以获得测试结构或其它部件的最稳定CD,具有最有限处理窗口的区域的识别允许控制回路(统计处理控制(SPC)和/或自动处理控制(APC))被优化以保持这些位置不漂移到所允许的规范限制以外。控制回路的优化可包括a)变换度量采样方案以测量具有最有限处理窗口的特定部件或者b)测量其它部件,确定引起这些测试结构尺寸的印制的聚焦和曝光条件,并应用模拟以预测聚焦和曝光的确定值时处理窗口有限部件的预期结果。该优化技术是2004年2月13日提交的Preil等人的美国专利申请序号No.10/778752中所述的CD控制技术的扩展,其整体结合在此作为参考。
在附加实施例中,这里所述的方法可包括基于上述识别步骤改变标线设计数据。例如,vPWQ输出可反馈回设计者或设计过程。这些结果可用于确定将用标线设计数据印制的晶片上形成的电路定时、电路的寄生参数和电气性能。这些结果还可用于确定vPWQ所检测到的缺陷是否具有将影响电路性能的特征,诸如尺寸。当前,在假定布局工具绘制的理想设计将出现于晶片上的情况下,进行该物理(或电气)检验。然而,这里所述的vPWQ方法可用于识别与该标称情况的预计偏差并定位将具有与标称的最大偏差的电路区域。
显然,使用当前可用的硬件,尝试在可能大小的全范围上改变晶体管的每个单个部分的同时对具有数百万个晶体管的电路的电气性能建模是不实际的。然而,这里所述的vPWQ方法可将被改变和测试的标线设计数据中的点数减少到可管理的数量。在将设计提交成对掩模组之前,设计者或者设计过程或工具可使用该数据和对电路的哪些部分对器件性能最重要的了解来运行有限数量的附加检验。
vPWQ与物理PWQ不同的另一方面是在虚拟检查期间灵活改变模拟条件的能力。特别是,PWQ依赖于在聚焦和曝光的预设条件下印制的物理晶片。由于可将图像印制于晶片上的这些条件的数量相对有限,数据是高度的粒度(例如,0.1μm的焦距增量),从而可能从一种设定到另一种设定产生缺陷数量的较大变化。然而,vPWQ可在进行检查时改变设定(例如,在执行vPWQ时实时地)。例如,如果在一种预设聚焦或曝光增量中出现太多缺陷,可自动运行附加模拟以填充间隙并确定开始缺陷印制的精确的聚焦和/或曝光设定。所以,vPWQ可以形成每个关键部件的允许处理窗口的更加精确的图。
这里所述的vPWQ方法可用于检测用于二元掩模(玻璃衬底铬(COG)掩模)或具有任意数量RET的掩模(诸如OPC)、相移掩模(交替孔或嵌入PSM(EPSM))、亚分辨率辅助部件(SRAF)(诸如散射棒、衬线和锤头)、无铬相移掩模(CPL)和灰度级图像的标线设计数据中的缺陷。这里所述的vPWQ方法也可应用于其中期望的图案被分解成多个图案的互补掩模,这多个图案可在不同的光照条件下成像。对于互补掩模,vPWQ方法包括生成模拟图像,每个模拟图像都示出如何通过不同的标线将多个图案分开地印制于晶片上。随后,vPWQ方法可使用每个模拟图像组合所生成的附加模拟图像(测试图像),这些图像示出如何在扫描仪上使用多个曝光晶片印制工艺将最终标线设计图案印制于晶片上。
这里所述的vPWQ方法可使用反射掩模和近13nm的曝光波长同等地应用于使用可见光、紫外光和深紫外光照(例如,248nm、193nm和157nm光源)的光学光刻工艺、电子束光刻或超紫外光刻。vPWQ方法也可应用于无掩模光刻系统,其中标线检查是不可能的。在这种情况下,vPWQ检查具有使对困难的晶片级管芯到数据库检查最小化的额外优点。
因此,这里所述的方法具有优于其它标线设计数据检查方法的若干优点。例如,这里所述的方法可用于在制造掩模和印制晶片前检测和校正标线设计数据中的处理窗口容限。这样,这里所述的标线设计数据检查方法基本上比当前可用的方法廉价。此外,模拟了掩模制造工艺和晶片图案转移工艺。因此,这里所述的方法说明标线制造工艺和晶片印制工艺如何改变标线设计数据。对于设计者,vPWQ提供了测试多个设计变型的能力而不用购买昂贵的多个掩模变型。因此,设计者可选择具有最宽处理窗口的标线设计实现以最大化器件产量。vPWQ还提供产生具有选择性灵敏度的标线检查、晶片检查以及CD度量和处理控制方法的能力(采样方案、处理窗口定中心和最大化用于生产的可用处理窗口)。此外,vPWQ方法的结果可用于优化电路设计(例如,电路设计的一个或多个特征的优化,诸如定时、寄生参数、其它电气参数或上述组合)。
晶片级模拟的一个较佳实施例包括空间图像(标线制造过程)、抗蚀剂处理(晶片印制过程)和蚀刻过程的模拟,以确定晶片上形成的最终物理图案。其它方法包括仅空间图像模拟;使用可调节阈值模型的空间图像模拟,该模型被校准成将实际光致抗蚀剂性能模拟为CD、间距和局部环境的函数;以及使用可调节阈值的空间图像模拟,该阈值被校准成将抗蚀剂处理和蚀刻后图案转移模拟成CD、间距和局部环境的函数。
因此,晶片级模拟可不仅包括光刻(晶片印制)过程,还包括全图案转移过程,包括以下部分的任一个、某些组合或全部:蚀刻、抛光、薄膜沉积或生长和影响器件的最终结构和拓扑的任何其它步骤。可在不同值时生成模拟图像的光刻参数也可包括部分相干度、光照模式、数值孔径、透镜象差(例如,Zernike系数)、抗蚀剂参数(例如,厚度、显影速率模型、集中参数模型、Dill系数和热扩散系数)和/或薄膜参数(例如,基片反射率、厚度、防反射涂层属性等)。
除形成图案的结构的物理模拟外,晶片级模拟也可包括器件性能的电气模拟。器件的电气性能—最终使用应用中预期的电路的选定区域的参数属性(例如,电阻、电容、晶体管性能等)、电路的局部区域的性能(例如,锁相环频率、定时等)或全电路的模拟性能—可用作设计的合格/不合格标准和/或用于选择用于进一步检查、度量和/或处理控制的关键区域。在一个实施例中,该方法可包括基于vPWQ方法的结果改变标线设计数据。在一个这种实施例中,可通过改变标线设计数据的RET部件数据来改变标线设计数据。
晶片上印制的标线设计数据的模拟可以用多个不同标线制造过程的参数来进行,且晶片印制的模拟可使用用于多个不同曝光工具、蚀刻工具或其它处理的参数来进行。不同处理和工具的标线设计数据的检查会是有价值的,因为每个工具或处理将具有按不同方式影响图案转移的不同的误差。随后,可使用vPWQ模拟来确定设计、光学增强(例如,OPC、RET等)、掩模制造过程和晶片制造过程的最佳组合。在一个这种实施例中,该方法可包括生成附加模拟图像,它示出了如何以标线制造工艺的一个或多个参数的不同值将标线设计数据印制于标线上。这种实施例还可包括选择在标线上形成最小数量的设计图案缺陷的标线制造工艺的一个或多个参数的不同值。
也可使用印制的晶片来根据管芯到数据库检查执行vPWQ概念以及与标线和晶片检查、CD控制及设计优化的数据链接。尽管执行这种印制晶片检查包括制造标线和印制晶片,但vPWQ方法的这种实施例仍对集成电路制造过程有附加的价值。
被配置成执行这里所述的vPWQ方法的系统可表现为类似于目前的KLA-Tencor标线检查系统的情况,但任选地没有标线处理和光学系统。这种系统可包括可用于定义检查参数的用户界面以及用于处理输入的标线设计数据并通过该数据呈现模拟掩模水平(即,如上所述地生成第一模拟图像)的计算机系统。该系统也可包括大型并行计算机系统,用以在聚焦和/或曝光条件的一定范围下模拟掩模到晶片图案转移(即,如上所述地生成第二模拟图像)。
如果针对晶片印制工艺的聚焦和曝光的不同值生成第二模拟图像,上述计算机系统中的一个或多个可被配置为为每个聚焦-曝光(F-E)组合比较全芯片基准和测试的图像,并识别在检查方法中设定的条件下的成为缺陷的位置。可在F-E设定之间比较这些缺陷位置,且在多个F-E条件下在同一位置处出现的缺陷可被连结为单个缺陷。可用它在模拟图像中作为缺陷印制时的聚焦和曝光条件范围标记每个缺陷。随后,可自动优先化这些缺陷用于检查和分析。可将最高优先级分配给最接近标称F-E条件处出现的那些缺陷和/或具有无缺陷的最有限范围的那些。缺陷图可呈现给用户用于检查和分类,且可生成检查报告并将其存储用于以后的在线(与模拟引擎链接)或离线的检查和/或附加分析。
由于无工厂化设计公司将它们的产品提供给多家铸造厂的趋势,可使用这里所述的vPWQ方法进行检验的设计数量正快速增长。铸造厂可使用多个vPWQ工具来及时证明它们的所有设计都合格,如具有较宽范围产品的IDM那样(电信、无线、消费应用)。此外,这里所述的方法可以使用类似于在当前可用的标线检查系统中使用的硬件实现,该标线检查系统如从KLA_Tencor(San Jose,California)可购得的TeraScan系统。按此方式,可在基本没有开发成本的情况下实现这里所述的方法,并可使之在当前可用的标线检查系统上商业可用。此外,这里所述的方法可在与标线检查、晶片检查、度量和分析工具相链接的硬件上执行,以在系统之间简便地共享数据。
图1是示出用于检测标线设计数据中的缺陷的方法的一个示例的流程图。该方法包括设计电路,如图1的步骤10所示。可使用现有技术中已知的任何方法或系统来设计电路。该方法还包括检验该设计,如步骤12所示。检验该设计可包括检验该设计的物理特征。物理检验可用本领域已知的任何方法或系统来进行。如步骤14所示,该方法包括确定系统设计是否通过物理检验。如果该设计不能通过物理检验,该方法包括重新设计电路和重复物理检验,这包括重复步骤10、12和14。
如果设计通过了物理检验,该方法包括生成电路的布局,如步骤16所示。电路布局可使用本领域已知的任何方法或系统生成。该方法还包括检验电路的布局,如步骤18所示。布局的检验可包括逻辑检验和/或设计规则检查(DRC)。布局检验可用本领域已知的任何方法或系统进行。如步骤20所示,该方法包括确定布局是否通过检验。如果布局不能通过检验,该方法包括改变电路布局和重新检验电路的经修改的布局,这可包括重复步骤16、18和20。
如果布局通过检验,该方法包括将RET添加到电路布局,如步骤22所示。该步骤通常称作“装饰”电路布局。将RET添加到电路布局可按本领域已知的任何方式进行。RET可包括本领域已知的任何RET。如步骤24中所示,该方法包括检验装饰。检验装饰可包括光学规则检查(ORC)。如步骤26所示,该方法包括确定经装饰的布局是否通过检验。如果经装饰的设计不能通过检验,则该方法包括改变装饰设计中的RET和重新检验装饰设计,这可包括重复步骤22、24和26。
如果装饰设计通过检验,则该方法包括形成掩模,如步骤28所示。如步骤30所示,该方法包括用掩模检查来检验掩模。可以用本领域已知的任何系统和方法来检查该掩模。如步骤32所示,该方法包括确定掩模是否通过检验。如果该掩模不能通过检查,该方法包括确定该掩模是否可修复,如步骤34所示。如果确定该掩模是可修复的,则该方法包括修复该掩模,如步骤36所示,随后重新检验修复过的掩模,这可以包括重复步骤30和32。如果该掩模被确定为不可修复,则该方法包括废弃该掩模并任选地重新轮转该过程,如步骤38所示。
如果掩模通过检验,则该方法包括印制晶片,如步骤40所示。如步骤42所示,该方法包括检验印制的晶片。在一个示例中,检验印制的晶片可包括PWQ晶片检查,这可以如上所述地执行。如步骤44所示,该方法包括确定所印制的晶片是否通过检验。如果这些晶片不能通过检查,该方法可包括确定是否可以修复使得晶片不能通过检查的标线中的缺陷,如步骤46所示。如果该标线缺陷是可修复的,该方法包括修复掩模,如步骤36所示,且该方法可在步骤36后继续进行,如图1所示。如果标线上的缺陷被确定为是不可修复的,该方法包括废弃该掩模并任选地重新轮转该过程,如步骤38所示。如果晶片被确定为通过检验,该方法包括交付该标线用于生产,如步骤48所示。
在图1所示的方法中,在印制晶片前不检测任何RET处理窗口容限或任何其它标线设计缺陷。这样,如果标线图案中存在缺陷或容限,废弃印制的晶片并修改晶片印制工艺的一个或多个过程(例如,标线设计、标线制造过程、晶片印制过程等)所涉及的参数是相对昂贵的。图2是示出用于检测标线设计数据中的缺陷的计算机实现方法的一个实施例的流程图。此方法可以包括图1中所述和所示的许多步骤或者可以不包含它们。同时包含在图1和2中的那些步骤已用相同的标号指示且这里将不进一步描述。然而,图2所述的方法包括一个图1中所不包含的重要步骤,它使图2所示的方法具有明显的优点。
特别地,图2所示的方法包括检验标线设计的装饰,如步骤50所示,它不同于图1所示的方法的步骤24而包括vPWQ缺陷检测。步骤50中的vPWQ可如这里所述地执行。此外,可为要使用标线的晶片印制工艺执行步骤50中执行的vPWQ方法以在全预定处理窗口上检测标线设计数据中的缺陷。这样,在该方法中,可以在制造掩模前有利地检测标线设计中存在的任何容限。如图2所示的方法可包括这里所述的任何其它步骤。例如,图2所示的方法可包括生成用于标线的检查过程和/或生成用于晶片的检查过程。这些检查过程可如上所述地生成并可在步骤30和42中用于分别检验标线和晶片。
图3是示出vPWQ与工厂中的晶片检查、标线检查、晶片度量和APC控制中的一个或多个之间的数据流的示例的流程图。如图3所示,包括先前设计和模型的历史52可提供给合成步骤54,其中该设计可按诸如RTL码或连线表格式的合适格式创建。诸如定时、功率和信号完整性的集成电路的特征56可提供给合成步骤以检验该设计。通过检验确定的设计中的关键路径58可提供给数据“总线”60。
标线设计数据的创建可在步骤62中执行。标线设计数据的创建例如可包括将连线表转换成GDS格式。例如包括先前设计和/或模型的历史64可提供到创建步骤。此外,逻辑检验步骤(LVS)66可用于检验标线设计数据。通过LVS所确定的标线设计中的关键部件68可提供到数据“总线”60。可在步骤70中用诸如校准的光刻模型、关键尺寸和/或其它数据的校准数据72进行标线设计的OPC装饰。装饰可以包括将任何RET添加到标线设计数据。物理检验步骤74可包括用诸如ORC的技术检验装饰的标线设计。通过物理检验步骤确定的关键OPC76或其它关键RET可提供到数据“总线”60。此外,可使用数据“总线”60提供的边缘放置误差(EPE)容差数据78执行物理检验步骤74。
如图3所示,在标线设计数据的OPC装饰后,可在步骤80中执行vPWQ缺陷检测。vPWQ可根据这里所述的实施例中的任一个在步骤80中执行。用于“智能vPWQ”82的一个或多个参数可通过数据“总线”60提供给vPWQ方法。vPWQ可生成关键OPC信息84,它可提供到数据“总线”60。根据vPWQ方法的结果,可使用校准数据88(诸如PSC、BKM、空间成像测量系统(AIMS)校准数据等)执行掩模制造步骤86。在制造掩模后,可在步骤86中制造的掩模上执行掩模检验步骤90。掩模检验步骤90可使用智能检查数据92,它可通过数据“总线”60提供。该智能检查数据可通过步骤80中执行的vPWQ方法生成。此外,掩模检验步骤所生成的关键掩模数据94可提供到数据“总线”60。
在一些实施例中,可执行第二vPWQ方法(vPWQ2),如步骤96所示。图3所示的vPWQ2是可在对实际掩模图像(即,所制造的标线的图像)的掩模检查之后进行的vPWQ的可选实施例。换言之,除了用实际掩模图像代替模拟的标线图像,vPWQ2可如这里所述地执行。可以使用来自数据“总线”60的“智能vPWQ”的一个或多个参数98来执行vPWQ2。“智能vPWQ”参数98可以与“智能vPWQ”参数82相同或不同。由vPWQ2生成的关键OPC100或其它关键RET可提供到数据“总线”60。
晶片制造步骤102可以在vPWQ2之后执行。可使用校准的度量工具106进行晶片制造步骤104的检验,它可以包括本领域已知的任何度量工具。晶片制造步骤102的检验可使用数据“总线”60提供的用于晶片检查的“智能采样”参数108进行,该参数例如可通过关键路径58、关键部件68、掩模位置数据、关键OPC76和100等加以确定。此外,晶片制造步骤的检验可以用由数据“总线”60提供的自动处理控制(APC)110信息来执行。APC信息可从vPWQ和/或vPWQ2的结果生成,如这里所述的。
图4示出了如何在标线设计数据中重叠多种类型的关键性(设计、RET、掩模制造)以使图案在处理窗口容限处经受最多,这会限制可用的处理容限。特别地,设计关键区域112被示为在空间114中被绘制,它表示标线设计数据的区域。设计关键区域112例如可由设计者根据电子设计自动化(EDA)电气模拟来创建或“标记”。相反,掩模制造关键区域116在空间114中分开地示出。该掩模制造关键区域包括具有标线制造过程的有限处理窗口的区域。这些关键区域可通过用于检查制造的标线的标线检查系统来创建或“标记”。更优选地,这些关键区域可通过这里所述的vPWQ方法确定。
光刻关键区域118也被示为在空间114中被分开地绘制。光刻关键区域可包括具有晶片印制工艺的有限处理窗口的区域。光刻关键区域可由图案形成过程的vPWQ模拟识别和“标记”,它可如这里所述地执行。可以理解,图4所示的关键区域112、116和118仅仅作为不同关键区域的一些示例给出。显然,关键区域可根据标线设计数据、标线制造过程、晶片印制过程或其某些组合而有所变化。
上述每一个关键区域可组合于如由空间120示出的单个图中,以示出标线设计数据中的哪些区域具有多种类型的关键性。可以为密集度量和/或晶片级检查选择对于多个原因成为关键的区域以确保产量。特别地,用于标线或晶片的检查过程可如上所述地生成,且检查过程的灵敏度可根据每个区域取得关键资格的一个或多个原因随标线设计数据中的区域而改变。例如,与仅呈现一种关键性的区域相比,可以用更高的灵敏度检查呈现出两种不同类型的关键性的区域。按此方式,可以为晶片检查、度量和CD控制优先化具有多种类型的关键性的标线设计数据中的区域。
这里进一步所述的附加方法可用于上述vPWQ方法并可能用于其它标线设计缺陷检测方法。特别是,这里进一步所述的方法提供了用于进行缺陷检测和这里所述的其它计算的改进方法,以减少检测对用户来说不关键或不重要的缺陷的数量,从而防止这些“有害”缺陷的检测使重要的缺陷变得模糊。此外,这里进一步所述的方法可用于将对设计检查缺陷和/或进行校正的次序作出优先化,以改善处理窗口。
设想vPWQ像作为Peterson等人的专利申请中公开的基于晶片的检查方法的PWQ那样工作,这在以上引用作为参考。在PWQ中的晶片上,以不同的聚焦和/或曝光条件来曝光全领域,且将这些测试领域与以最佳聚焦和曝光条件曝光的基准领域进行比较。一般,测试区域与基准区域差得越远,将检测到更多缺陷,因为打印的部件不能正确地成像。因此,印制的部件不正确地成像时的聚焦和曝光条件定义了器件的可使用处理窗口的极限。vPWQ基本进行相同的测试与基准比较,但这是关于模拟图像的而不制造掩模和印制晶片。所以,vPWQ方法允许在制造昂贵的掩模和用这些掩模印制晶片之前识别和校正标线设计中的弱点。
在进行高度灵敏的测试与基准比较过程中,即使部件尺寸的较小变化也认为是缺陷。当聚焦和/或曝光条件偏离标称最佳设定时,检测到的缺陷数量会变得过多。该问题可通过降低检查(测试与基准比较)灵敏度来缓解,但在这种情况中会错过某些重要的缺陷。
期望保持最高灵敏度,但基于缺陷造成灾难性成像故障而不仅仅是较小的关键尺寸(CD)误差的可能性对它们进行优先化。换言之,重要信息可以不是哪些部件的CD改变了几纳米(nm)。相反,重要信息是在聚焦和/或曝光和/或其它处理条件中有较小变化时哪些部件将改变不可接受的许多纳米。因此,两个部件可具有严格相同的绝对CD误差,但如果一个部件对带有另外的处理变化的较大的附加变化敏感则它远比另一个部件重要。因此,上述基于晶片的PWQ和vPWQ可如这里进一步描述地进行修改,以根据变化率在潜在缺陷之间进行区分。
特别地,用于检测标线设计数据中的缺陷的计算机实现方法的一个实施例包括生成第一模拟图像,它示出了如何用标线制造工艺将标线设计数据印制于标线上。该生成步骤可如同在上述vPWQ方法中那样执行。该实施例还包括用第一模拟图像生成第二模拟图像,这可以如在上述vPWQ方法中那样执行。特别地,第二模拟图像示出了如何以晶片印制工艺的一个或多个参数的不同值将标线印制于晶片上。然而,与上述vPWQ方法不同,本实施例包括按照不同值确定第二模拟图像的特征的变化率。此外,该方法包括基于变化率检测标线设计数据中的缺陷。在一些实施例中,检测步骤可包括结合第二模拟图像使用变化率以检测标线设计数据中的缺陷。例如,可以用变化率结合上述vPWQ结果执行缺陷检测。计算机实现方法的这些实施例可包括这里所述的任何其它步骤。
用于检测标线上印制的标线设计数据中的缺陷的方法的另一实施例是PWQ的修改版本,它包括以晶片印制过程的一个或多个参数的不同值将标线图像印制于晶片上。该方法还包括按照不同值确定图像特征的变化率。此外,本方法包括基于上述变化率检测标线设计数据中的缺陷。该方法实施例可包括这里所述的任何其它步骤。
因此,这里所述的方法可包括不仅如同在vPWQ和PWQ中那样使用测试CD和基准CD之间的差来检测标线设计数据中的缺陷,还使用测试CD的变化率。这种缺陷检测可按若干方式完成,且可按若干不同的方式使用这些结果,这将在以下略述。计算和使用变化率信息的不同方法可以相互分开或组合地使用,如以下所述的。此外,尽管这里相对于CD中的变化率对方法进行描述,但可以理解变化率信息可以是测试图像(例如,部件外形)的任何可测量参数的变化率。此外,尽管这里相对于晶片印制过程的聚焦和曝光的不同值进一步对方法进行了描述,但可以理解这里所述的方法可用于会影响晶片上印制的标线设计数据的晶片印制过程的这些和/或任何其它参数的不同值。
增加对CD变化率的vPWQ和PWQ灵敏度的一种方法是改变缺陷检测中使用的基准。例如,代替将为标称最佳聚焦和曝光条件模拟的基准图像(E0,F0)用作用于与测试图像的所有比较的基准。可将每个测试图像(En,Fn)与其最近的近邻(例如,比上述测试图像更靠近(E0,F0)处的标称值的其它测试和/或基准图像)进行比较。换言之,可通过对第二或测试模拟图像之一与附加模拟图像进行比较来执行vPWQ的检测步骤,这些附加模拟图像示出了如何以比与一个第二模拟图像相对应的不同值更靠近用于晶片印制过程的一个或多个参数的标称值的不同值将标线印制于晶片上。
图5示出了当前用于缺陷检测的一个布局示例,其中将每个测试图像(En,Fn)与同一标称基准图像(E0,F0)进行比较。特别地,测试图像120各自与基准图像122进行比较。这种比较可用于被实际印制于晶片上的图像的PWQ。或者,这种比较可用于模拟测试和基准图像的vPWQ。可以理解,尽管图5示出了四个测试图像,但缺陷检测方法可使用任意数量的测试图像。
图6示出了可用于标线设计缺陷检测的新结构,其中将每个测试图像(En,Fn)124与其3个最相邻的近邻(NN)126、128和130进行比较,它们全部针对比测试图像更靠近标称值(E0,F0)的至少一个参数被模拟或印制。测试和基准图像的这种比较这里称之为“级联”测试与基准缺陷检测。对于使用为曝光剂量和聚焦的不同值所模拟的图像的vPWQ,这种比较可容易地执行。通过按合适的方式印制晶片(例如,通过添加具有偏移聚焦和曝光条件的栏以用作基准位置,代替其中所有基准栏以标称条件曝光的当前配置),该方法还可应用于基于晶片的PWQ。
在图6的示例中,3个最近的近邻各自用于与测试图像进行比较。或者,用于与测试图像比较的近邻数量可从1到8变化。对于一个比较,用户可在其它参数固定的情况下根据聚焦或曝光选择最近的近邻,或者可选择聚焦和曝光量都改变的对角最近的近邻。对于3个以上的最近近邻,可将从标称最佳聚焦和曝光条件进一步移开的测试图像与被检查的测试图像进行比较,从而采样从优选操作条件移开的变化率。尽管这些比较中的一个或多个是多余的(因为为外部近邻的检查重复比较),可将不同的加权因数应用于缺陷检测和/或优先化算法。此外,尽管图6中示出了4个测试图像,但可以理解这里所述的方法可以使用任何数量的测试图像用于缺陷检测。
图7示出了可用于PWQ缺陷检测的印制于晶片上的管芯的一个布局。在该示例中,将每个测试位置与对应于晶片印制过程的最佳聚焦和曝光值的标称基准图像(它们在图7中用0指示)进行比较。在该结构中,每组测试条件被检查4次。测试条件可改变聚焦、曝光或这两者。
图8示出了可对PWQ缺陷检测的聚焦和曝光的不同值印制于晶片上或者可对vPWQ缺陷检测的聚焦和曝光的不同值被模拟的管芯图像的一个布局。在该示例中,将每个测试图像与对比被检查的测试图像的那些值更靠近标称值的晶片印制过程参数值被印制或模拟的另一测试图像进行比较。每个测试图像可仍像图7那样被比较四次,但可以用对设定之间的变化率有更大灵敏度地测试更多参数值。可将标称条件(0)的某一拷贝印制于晶片上用于校准和背景噪声检查。
通过第基准缺陷检测执行这种级联测试,缺陷检测算法可检测出与相邻曝光条件(聚焦和/或曝光)最不同的区域,从而增加对CD中局部变化率的检查的灵敏度。即使两个测试图像相对于标称条件具有完全相同的CD变化,如果测试图像之一的CD在多个参数值上变化缓慢同时另一测试图像的CD在最近基准聚焦和当前测试聚焦之间快速变化,则可调节检查的灵敏度以检测变化最快因此可能对用户来说最重要的测试图像的CD。对于将测试图像与多个基准图像进行比较的情况,加权因数可应用于这多个比较以得出最终缺陷分数。该最终缺陷分数可用于确定测试图像是否是有缺陷,并优先化缺陷的实际大小。
此外,因为vPWQ方法基于模拟,vPWQ可用于更准确地按照聚焦和/或曝光(“曝光条件”)确定关键尺寸的变化率。变化率的确定可按若干方式进行。最准确的方法将是以曝光条件的每个组合为每个部件计算CD的真实导数,dCD/dE和dCD/dF。另一方法是计算空间图像的斜率,dE/dx,或者每个部件的阈值能量和曝光条件的组合下的标准化图像对数斜率(NILS)(Eth)。斜率或NILS越小,CD将随曝光或处理条件的变化而更快速地变化。因此,该斜率可被看做CD变化率的指示。
斜率或导数数据可独立地用作确定部件是否有缺陷的单独标准,或者斜率和导数可同测试与基准比较一起用于优先化比较算法所检测出的缺陷的重要性。类似地,级联测试与基准比较可独立使用,或者与上述标称基准比较的测试组合使用。这些可能的组合允许单独根据缺陷大小、单独根据缺陷的变化率或根据大小和变化率的组合来检测和/或优先化这些缺陷。加权因数可应用于该比较中使用的多个项,用以过滤和/或优先化缺陷。加权因数可以是线性或非线性的,且加权算法可包括输入的截项或高次幂系数。例如,2nm CD误差的加权可根据CD变化率高低而变化,而另一方面,10nm CD误差的加权可被设定为较高,而不管变化率如何。
上述选项中的任一个可独立或组合地使用。为清楚起见,可能的选项被标号,且将提升检查值的选项的组合如下地列出。
选项1:用总处于标称操作点的基准的基准比较的测试
选项2:计算CD对于剂量和/或焦距的导数
选项3:计算空间图像和/或NILS的空间导数
选项4:级联基准比较的测试
选项1本身基本上与在vPWQ和PWQ的缺陷检测步骤中进行的比较相同。选项2、3或4各自可独立地用于替代选项1。然后,关注的组合是:
选项1和2;1和3;或1、2和3
选项4和2;4和3;或4、2和3
选项1和4;1、4和2;1、4和3;或者所有四个选项一起。
在任一这些组合中,不同的选项根据所应用的加权因数而具有对缺陷过滤或优先化的较大或较小的影响。
除了如上所述的绝对CD误差数据以外的CD变化率信息的使用允许改善最易于处理窗口限制故障和依赖图案的产量损失的设计和/或标线的区域的检测。变化率数据允许将更高的灵敏度应用于检查(物理的或虚拟的),而使检测系统不被无法实施的大量缺陷检测淹没,从而增强了可使用的系统灵敏度。
导数一般不能容易地从用于基于晶片的PWQ的物理晶片中计算出,但对基准的正常的测试以及对基准比较的级联的测试可组合用于增强的PWQ。在一个示例中,代替当前的BABA或BBABBA布局,其中B是标称最佳聚焦和曝光下的基准而A是测试情况,级联方法将具有ABCDEFGH图案的布局,其中每个曝光将使其设定稍许偏离前一领域,使得该布局对图案保真度比处理条件中的小变化更灵敏。该布局还提供了晶片上更加可能的测试条件。为了裁定,缺陷将分配给具有离标称最远的设定的领域。当堆叠每组测试条件的多个拷贝时,可简单地去除由于该规则引起的任何随机误差。相同的概念可应用于BBA型结构。
图9是用于检测标线设计数据中的缺陷的计算机实现方法的另一实施例的流程图。如图9所示,本实施例包括系统设计和检验,如步骤132所示。系统设计和检验可以用设计数据134进行。此外,在步骤132中检验的系统设计可被提供给设计数据134。可以用本领域已知的任何系统和方法进行系统设计和检验。该方法还包括逻辑设计和检验,如步骤136所示。逻辑设计和检验136可以用通过系统设计和检验步骤132生成的设计数据134进行。此外,步骤136中检验的逻辑设计可提供给设计数据134。逻辑设计和检验可以用本领域已知的任何方法和系统来执行。
该方法包括物理设计和检验,如步骤138所示。物理设计和检验可在步骤138中使用步骤136中检验的设计数据134来进行。物理设计和检验可使用本领域已知的任何方法和系统来执行,诸如DRC和LVS。此外,步骤138中检验的物理设计可提供给设计数据134。如步骤140中所示,该方法可包括掩模数据准备。掩模数据准备可以用设计134和光刻模型142来进行。掩模数据准备可以用本领域已知的任何方法或系统来进行。掩模数据可提供给几何数据144。
代替对几何数据进行光学规则检查,该方法包括vPWQ,如步骤146中所示的。使用步骤140中生成的掩模数据(其结果可从几何数据144中获得)和光刻模型142进行vPWQ。vPWQ也可以根据这里所述的任何实施例进行。如这里进一步所述的,vPWQ可使用掩模数据库作为输入并进行全管芯F-E矩阵计算。该计算可使用上述系统相对较快地执行。例如,对于5个不同的焦距值和7个不同的曝光值,对于90nm代标线设计在约10小时内进行计算。用于进行该计算的vPWQ方法的版本检测CD缺陷的相对较大部分(约全部CD缺陷的30%)并检测所有灾难性事件,诸如短路、开路,和印制SRAF。显然,仅通过一个版本的vPWQ方法生成这些结果,且缺陷检测结果可如上所述地被调整和/或优化。
vPWQ方法的输出可提供到步骤148中执行的掩模写入。掩模写入过程也可使用几何数据144来执行涉及将标线设计数据印制于标线上的所有步骤。该方法包括在所制造的掩模上进行掩模度量,如步骤150所示。掩模度量可使用本领域已知的任何系统和方法来进行。该方法还包括制造的掩模的检查,如步骤152所示。可以用几何数据144进行掩模检查。可使用本领域已知的任何方法和系统来进行掩模检查。
根据掩模度量和检查的结果(如果掩模通过合格规范),该方法包括将掩模印制于晶片上,如步骤154所示。将掩模印制于晶片上可以包括使用本领域已知的任何系统和方法。该方法还包括晶片度量,如步骤156所示。印制的晶片的晶片度量可包括本领域已知的任何方法或系统。晶片度量的结果也可提供给光刻模型142。晶片度量的结果可用于校准和/或更新光刻模型。如步骤158所示,该方法包括晶片检查。晶片检查可以用本领域已知的任何系统和方法来进行。图9所示的方法还可包括这里所述的任何其它步骤。
图10是用于检测标线设计数据中的缺陷的计算机实现方法的一不同实施例的流程图。特别地,图10示出了可在上述任何方法中使用的vPWQ方法的一个实施例。如图10所示,标线设计和RET装饰数据160可用于生成标线数据162。按此方式,标线数据162可包括经装饰的标线设计数据。此外,可以用光刻数据166生成工厂模型数据164,诸如扫描仪参数、抗蚀剂参数和预定处理窗口(诸如聚焦和剂量范围),它们可用于确定将生成模拟图像的晶片印制过程的一个或多个参数的不同值。
标线数据162和工厂模型数据164被提供到vPWQ模块168。vPWQ模块168可包括用于能如上所述地使用标线数据162和工厂模型数据164生成模拟图像的任何硬件和/或软件。在一个实施例中,vPWQ模块可包括模拟引擎,诸如PROLITH软件中所包含的。模拟引擎可被配置为如这里所述地生成第一和第二模拟图像。在另一实施例中,vPWQ模块可被配置成一系统,它包括与处理器耦合的上述模拟引擎。处理器可被配置为根据这里所述的任何实施例使用第二模拟图像检测标线设计数据中的缺陷。vPWQ模块168所执行的vPWQ方法可包括这里所述的任何其它步骤。vPWQ模块可被进一步配置,如这里所述的。
如图10所示,vPWQ模块168产生包含误差列表的输出170。误差列表可包括vPWQ模块检测出的任何潜在的缺陷。通过vPWQ模块或另一软件模块或其它硬件,可以对输出170执行一个或多个附加函数。例如,可检查误差列表的潜在缺陷以确定这些潜在缺陷是否是实际缺陷、这些潜在缺陷是什么类型的缺陷、等等。此外,该输出可用于确定可与标线设计数据一起使用的处理窗口。可如上所述地确定要使用标线的晶片印制过程的处理窗口。此外,该输出可进行格式化以呈现给用户,由另一软件模块使用,存储于诸如工厂数据库的一个或多个模块中,等等。图10所示的方法可包括这里所述的任何其它步骤。
图11是用于检测标线设计数据中的缺陷的计算机实现方法的另一实施例的流程图。如图11所示,通过RET装饰174和数据分裂178修改掩模层数据172。经修改的掩模层数据用于生成掩模写入器数据178。掩模写入器数据178被提供到vPWQ模块180。例如,掩模写入器数据178可提供到vPWQ模块180的输入数据库182。vPWQ模块还可包括描述标线制造过程的模块。该模块可包括掩模写入器模块184。vPWQ模块可使用带有输入数据库182中的掩模写入器数据178的掩模写入器模块184来生成第一模拟图像,它示出如何使用标线制造过程将掩模写入器数据印制于标线上。
vPWQ模块还可包括描述晶片印制过程的一个或多个模型。这些模型可包括扫描仪模型186和抗蚀剂模型188。此外,晶片印制过程的一个或多个参数的不同值190可提供到输入数据库182。这一个或多个参数例如可包括焦距F和曝光E。此外,提供到输入数据库182的一个或多个参数的不同值可包括参数的标称值(例如,F0,E0)。提供到输入数据库182的一个或多个参数的不同值还可包括测试值(例如,Fn,En)。这些测试值可包括标线的预定处理窗口内的值。在一些实施例中,这些测试值可跨预定处理窗口。
vPWQ模块使用扫描仪模型186、抗蚀剂模型188、第一模拟图像和不同值190来生成第二模拟图像192。第二模拟图像示出被印制于标线上的掩模写入器数据将如何以晶片印制过程的一个或多个参数的不同值印制于晶片上。第二模拟图像还可示出如何以晶片印制过程的一个或多个参数的不同值将整个芯片印制于晶片上。在该实施例中,vPWQ模块180也可用扫描仪模型186、抗蚀剂模型188、第一模拟图像和晶片印制过程参数的标称值生成基准模拟图像194。比较基准模拟图像194和第二模拟图像192以确定模拟图像之间的差异196。vPWQ模块可使用容差规范198来确定哪些有资格作为一差异。被比较的模拟图像之间的差异可用于检测标线设计数据中的缺陷,如这里进一步描述的。
在一些实施例中,代替或除了使用示出如何通过晶片印制过程将标线设计数据印制于晶片上的模拟图像检测标线设计数据中的缺陷,可以使用示出在不同的半导体制造过程后晶片上的图案的模拟图像缺陷检测。例如,在一个实施例中,vPWQ模块180可包括蚀刻模型200。蚀刻模型200描述了在通过光刻过程将标线设计数据印制于晶片上之后在晶片上进行的蚀刻过程。vPWQ模块180可使用蚀刻模型200并结合第二模拟图像来生成示出如何通过蚀刻过程将标线设计数据印制于晶片上的附加模拟图像。可将这些附加模拟图像与基准模拟图像进行比较以检测出模拟图像之间的差异,如上所述。模拟图像之间的差异可用于检测标线设计数据中的缺陷。对于将在晶片上执行并会影响晶片上印制的图案的任何其它过程,类似的图像模拟和缺陷检测也可由vPWQ模块执行。这些过程例如可包括沉积和化学机械抛光。
vPWQ模块180基于模拟图像192和基准模拟图像194之间的差异196生成输出202。输出202可包括模拟图像之间的差异、与这些差异的位置相对应的模拟图像的一些部分(测试和/或基准)、数据库剪辑、确定用于标线设计数据的处理窗口和/或不同模拟图像之间检测到的差异的严重性(例如,量度)的坐标。vPWQ模块180也可配置为允许基于输出202执行一个或多个用户动作204。用户动作例如可包括标线设计数据的拒绝、要由vPWQ模块执行的附加模拟的选择、vPWQ模块的一个或多个参数的调整和/或vPWQ模块所使用的规则的改变。图11所示的方法可包括这里所述的任何其它步骤。
图12是用于检测标线设计数据中的缺陷的计算机实现方法的又一实施例。特别地,图12示出了可以进行某种形式的PWQ的半导体制造过程中的不同点。例如,如图12所示,该方法可包括对掩模层数据库205执行vPWQ206。在通过RET装饰210修改掩模层数据库后,可对经装饰的掩模层数据进行vPWQ208。在vPWQ208后,经装饰的掩模层数据可用于生成标线布局212。标线布局212用于执行数据分裂214。在数据分裂214后,可对分裂的数据执行vPWQ216。vPWQ206、208和216可如这里所述地执行。
在执行vPWQ216后,如步骤218所示,可制造掩模。在制造掩模后,该方法可包括检查制造的掩模,如步骤220所示。检查制造的掩模可包括使用制造的掩模的图像的vPWQ222。换言之,除了代替使用第一模拟图像生成测试模拟图像之外,vPWQ222可如这里所述地执行,在vPWQ222中,可使用实际掩模图像来生成测试模拟图像。在检查掩模后,假定掩模通过合格证明,掩模可由生产设备接收,如步骤224所示。当掩模由制造设备接收时,可以用制造掩模的图像如上所述地再次执行vPWQ226。
该方法还包括使用制造的掩模印制晶片,如步骤228所示。在印制晶片后,可使用实际印制晶片的图像执行vPWQ230。换言之,除了用印制晶片的图像取代第二模拟图像外,vPWQ230可如这里所述地执行。在执行vPWQ230后,该方法可包括蚀刻印制晶片,如步骤232所示。在蚀刻印制晶片后,vPWQ234可使用实际蚀刻的晶片图像来执行。换言之,除了用蚀刻晶片的图像取代第二模拟图像外,可如这里所述地执行vPWQ234。此外,vPWQ也可在半导体制造过程的其它步骤之后执行。按此方式,这里所述的vPWQ方法可贯穿半导体制造过程应用于许多不同的点,如图12所示。图12所示的方法可包括这里所述的任何其它步骤。
配置成执行这里所述的一个或多个计算机实现方法的系统包括计算机系统。计算机系统可如上所述地配置。该系统还包括载体介质。该载体介质可用本领域已知的任何方法或设备与计算机系统耦合或包含其中。实现诸如这里所述的方法的程序指令可在载体介质上传输或存储其中。载体介质可以是传输媒介,诸如线路、电缆或无线传输链接,或者是沿着这些线路、电缆或链接传输的信号。载体介质还可以是存储介质,诸如只读存储器、随机存取存储器、磁盘或光盘或者磁带。
在一实施例中,计算机系统可配置成执行程序指令以执行根据以上任一实施例的计算机实现方法。一般,术语“计算机系统”可广泛地定义为具有一个或多个处理器的任何设备,它执行来自存储器介质的指令。
程序指令可以按任何方式实现,包括基于过程的技术、基于组件的技术和/或面向对象的技术。例如,程序指令可以按需要用ActiveX控件、C++对象、JavaBeans、Microsoft Foundation Class(MFC)或其它技术或方法来实现。该系统可如这里所述地被进一步配置。
根据本描述,本发明的各方面的进一步修改和可选实施例是本领域熟练技术人员显而易见的。例如,提供了计算机实现方法和用于检测标线设计数据中的缺陷的方法。因此,本描述仅仅是说明性且用于向本领域的熟练技术人员教示实施本发明的一般方式。可以理解,这里所示和所述的本发明的形式被认为是当前的较佳实施例。元件和材料可替换这里所述和所示的那些,部分和过程可颠倒,且本发明的某些部件可单独使用,如本领域熟练技术人员得益于本发明的描述后显而易见的。可改变这里所述的元件而不背离以下权利要求书中所述的本发明的精神和范围。
权利要求书
(按照条约第19条的修改)
1.一种用于检测标线设计数据中的缺陷的计算机实现的方法,包括:
生成第一模拟图像,它示出了将如何用标线制造过程把标线设计数据印制于标线上;
用所述第一模拟图像生成第二模拟图像,其中所述第二模拟图像示出了将如何以晶片印制过程的一个或多个参数的不同值把标线印制于晶片上;以及
使用所述第二模拟图像检测所述标线设计数据中的缺陷,其中所述检测包括将所述第二模拟图像与基准图像进行比较。
2.如权利要求1所述的方法,其特征在于,所述第一和第二模拟图像包括由所述标线设计数据定义的完整芯片的模拟图像。
3.如权利要求1所述的方法,其特征在于,所述标线设计数据包括由分辨率提升技术部件数据修改的集成电路设计数据。
4.如权利要求1所述的方法,其特征在于,所述不同值跨晶片印制过程的一个或多个参数的预定处理窗口。
5.如权利要求1所述的方法,其特征在于,还包括基于所述检测的结果确定晶片印制过程的处理窗口。
6.如权利要求1所述的方法,其特征在于,还包括确定在哪些不同值处在第二模拟图像中出现至少一个缺陷。
7.如权利要求1所述的方法,其特征在于,还包括确定标线设计数据中的一个区域,在所述区域中,在比在标线上的其它区域中出现缺陷时的不同值更靠近晶片印制过程的一个或多个参数的标称值的不同值处出现缺陷。
8.如权利要求1所述的方法,其特征在于,所述基准图像包括示出将如何以晶片印制过程的一个或多个参数的标称值将标线印制于晶片上的附加模拟图像。
9.如权利要求1所述的方法,其特征在于,所述基准图像示出如何将标线设计数据理想地印制于晶片上。
10.如权利要求1所述的方法,其特征在于,所述检测还包括将所述第二模拟图像之一和附加模拟图像进行比较,所述附加模拟图像示出如何以比对应于所述一个第二模拟图像的不同值更靠近晶片印制过程的一个或多个参数的标称值的不同值将标线印制于晶片上。
11.如权利要求1所述的方法,其特征在于,还包括生成附加模拟图像,它示出将如何以标线制造过程的一个或多个参数的不同值将标线设计数据印制于标线上,并选择在标线上产生最小数量的设计图案缺陷的所述标线制造过程的一个或多个参数的不同值。
12.如权利要求1所述的方法,其特征在于,还包括根据所述检测的结果改变标线设计数据,其中所述改变包括改变标线设计数据的分辨率提升技术部件数据。
13.如权利要求1所述的方法,其特征在于,还包括基于所述检测的结果生成所述标线的检查过程。
14.如权利要求1所述的方法,其特征在于,还包括基于所述检测的结果生成所述晶片的检查过程。
15.如权利要求1所述的方法,其特征在于,还包括在所述检测后制造标线,检查所述标线,并基于所述检测和所述检查的结果生成所述晶片的检查过程。
16.如权利要求1所述的方法,其特征在于,还包括在所述检测后制造标线,检查所述标线,并基于所述检测的结果、所述检查的结果、标线设计数据的设计者生成的关键部件数据或其某一组合生成用于晶片的检查过程。
17.如权利要求1所述的方法,其特征在于,还包括识别所述标线设计数据中的第一区域,它与标线设计数据中的第二区域相比具有更高的概率被有缺陷地印制,并基于所述识别的结果生成用于将用标线印制的晶片的过程控制方法。
18.如权利要求1所述的方法,其特征在于,还包括识别所述标线设计数据中的第一区域,它与标线设计数据中的第二区域相比具有更高的概率被有缺陷地印制,并基于所述识别改变所述标线设计数据。
19.一种模拟引擎,它被配置为:
生成第一模拟图像,它示出了将如何用标线制造过程把标线设计数据印制于标线上;
用所述第一模拟图像生成第二模拟图像,其中所述第二模拟图像示出了将如何以晶片印制过程的一个或多个参数的不同值把标线印制于晶片上,其中通过将所述第二模拟图像与基准图像进行比较,所述第二模拟图像可用于检测所述标线设计数据中的缺陷。
20.一种被配置为检测标线设计数据中的缺陷的系统,包括:
模拟引擎,它被配置为:
生成第一模拟图像,它示出了将如何用标线制造过程将标线设计数据印制于标线上;以及
用所述第一模拟图像生成第二模拟图像,其中所述第二模拟图像示出了将如何以晶片印制过程的一个或多个参数的不同值把标线印制于晶片上;以及
处理器,它被配置为通过将所述第二模拟图像与基准图像进行比较检测所述标线设计数据中的缺陷。
21.一种用于检测标线设计数据中的缺陷的计算机实现的方法,包括:
生成第一模拟图像,它示出了将如何用标线制造过程把标线设计数据印制于标线上;
用所述第一模拟图像生成第二模拟图像,其中所述第二模拟图像示出了将如何以晶片印制过程的一个或多个参数的不同值把标线印制于晶片上;
确定所述第二模拟图像的特征的变化率,作为所述不同值的函数;以及
基于所述变化率检测所述标线设计数据中的缺陷。
22.如权利要求21所述的方法,其特征在于,所述检测包括使用所述变化率结合所述第二模拟图像来检测所述标线设计数据中的缺陷。
23.一种用于检测印制于标线上的标线设计数据中的缺陷的计算机实现的方法,包括:
以晶片印制过程的一个或多个参数的不同值将标线的图像印制于晶片上;
确定所述图像特征的变化率,作为所述不同值的函数;以及
基于所述变化率检测所述标线设计数据中的缺陷。

Claims (23)

1.一种用于检测标线设计数据中的缺陷的计算机实现的方法,包括:
生成第一模拟图像,它示出了如何用标线制造过程将标线设计数据印制于标线上;
用所述第一模拟图像生成第二模拟图像,其中所述第二模拟图像示出了如何以晶片印制过程的一个或多个参数的不同值将标线印制于晶片上;以及
使用所述第二模拟图像检测所述标线设计数据中的缺陷。
2.如权利要求1所述的方法,其特征在于,所述第一和第二模拟图像包括由所述标线设计数据定义的完整芯片的模拟图像。
3.如权利要求1所述的方法,其特征在于,所述标线设计数据包括由分辨率提升技术部件数据修改的集成电路设计数据。
4.如权利要求1所述的方法,其特征在于,所述不同值跨晶片印制过程的一个或多个参数的预定处理窗口。
5.如权利要求1所述的方法,其特征在于,还包括基于所述检测的结果确定晶片印制过程的处理窗口。
6.如权利要求1所述的方法,其特征在于,还包括确定在哪些不同值处在第二模拟图像中出现至少一个缺陷。
7.如权利要求1所述的方法,其特征在于,还包括确定标线设计数据中的区域,在所述区域中,在比在标线上的其它区域中出现缺陷时的不同值更靠近晶片印制过程的一个或多个参数的标称值的不同值处出现缺陷。
8.如权利要求1所述的方法,其特征在于,所述检测包括将所述第二模拟图像和基准图像进行比较,其中所述基准图像包括示出如何以晶片印制过程的一个或多个参数的标称值将标线印制于晶片上的附加模拟图像。
9.如权利要求1所述的方法,其特征在于,所述检测包括将所述第二模拟图像和基准图像进行比较,其中所述基准图像示出如何将标线设计数据理想地印制于晶片上。
10.如权利要求1所述的方法,其特征在于,所述检测包括将所述第二模拟图像之一和附加模拟图像进行比较,所述附加模拟图像示出如何以比对应于所述一个第二模拟图像的不同值更靠近晶片印制过程的一个或多个参数的标称值的不同值将标线印制于晶片上。
11.如权利要求1所述的方法,其特征在于,还包括生成附加模拟图像,它示出如何以标线制造过程的一个或多个参数的不同值将标线设计数据印制于标线上,并选择在标线上产生最小数量的设计图案缺陷的所述标线制造过程的一个或多个参数的不同值。
12.如权利要求1所述的方法,其特征在于,还包括根据所述检测的结果改变标线设计数据,其中所述改变包括改变标线设计数据的分辨率提升技术部件数据。
13.如权利要求1所述的方法,其特征在于,还包括基于所述检测的结果生成所述标线的检查过程。
14.如权利要求1所述的方法,其特征在于,还包括基于所述检测的结果生成所述晶片的检查过程。
15.如权利要求1所述的方法,其特征在于,还包括在所述检测后制造标线,检查所述标线,并基于所述检测和所述检查的结果生成所述晶片的检查过程。
16.如权利要求1所述的方法,其特征在于,还包括在所述检测后制造标线,检查所述标线,并基于所述检测的结果、所述检查的结果、标线设计数据的设计者生成的关键部件数据或其某一组合生成用于晶片的检查过程。
17.如权利要求1所述的方法,其特征在于,还包括识别所述标线设计数据中的第一区域,它与标线设计数据中的第二区域相比具有更高的概率被有缺陷地印制,并基于所述识别的结果生成用于将用标线印制的晶片的过程控制方法。
18.如权利要求1所述的方法,其特征在于,还包括识别所述标线设计数据中的第一区域,它与标线设计数据中的第二区域相比具有更高的概率被有缺陷地印制,并基于所述识别改变所述标线设计数据。
19.一种模拟引擎,它被配置为:
生成第一模拟图像,它示出了如何用标线制造过程将标线设计数据印制于标线上;
用所述第一模拟图像生成第二模拟图像,其中所述第二模拟图像示出了如何以晶片印制过程的一个或多个参数的不同值将标线印制于晶片上,其中所述第二模拟图像可用于检测所述标线设计数据中的缺陷。
20.一种被配置为检测标线设计数据中的缺陷的系统,包括:
模拟引擎,它被配置为:
生成第一模拟图像,它示出了如何用标线制造过程将标线设计数据印制于标线上;以及
用所述第一模拟图像生成第二模拟图像,其中所述第二模拟图像示出了如何以晶片印制过程的一个或多个参数的不同值将标线印制于晶片上;以及
处理器,它被配置为使用所述第二模拟图像检测所述标线设计数据中的缺陷。
21.一种用于检测标线设计数据中的缺陷的计算机实现的方法,包括:
生成第一模拟图像,它示出了如何用标线制造过程将标线设计数据印制于标线上;
用所述第一模拟图像生成第二模拟图像,其中所述第二模拟图像示出了如何以晶片印制过程的一个或多个参数的不同值将标线印制于晶片上;
确定所述第二模拟图像的特征的变化率,作为所述不同值的函数;以及
基于所述变化率检测所述标线设计数据中的缺陷。
22.如权利要求21所述的方法,其特征在于,所述检测包括使用所述变化率结合所述第二模拟图像来检测所述标线设计数据中的缺陷。
23.一种用于检测印制于标线上的标线设计数据中的缺陷的计算机实现的方法,包括:
以晶片印制过程的一个或多个参数的不同值将标线的图像印制于晶片上;
确定所述图像特征的变化率,作为所述不同值的函数;以及
基于所述变化率检测所述标线设计数据中的缺陷。
CN2005800030275A 2004-01-29 2005-01-31 用于检测标线设计数据中的缺陷的计算机实现方法 Active CN1910516B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US54003104P 2004-01-29 2004-01-29
US60/540,031 2004-01-29
PCT/US2005/002955 WO2005073807A1 (en) 2004-01-29 2005-01-31 Computer-implemented methods for detecting defects in reticle design data

Publications (2)

Publication Number Publication Date
CN1910516A true CN1910516A (zh) 2007-02-07
CN1910516B CN1910516B (zh) 2011-01-12

Family

ID=34826173

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2005800030275A Active CN1910516B (zh) 2004-01-29 2005-01-31 用于检测标线设计数据中的缺陷的计算机实现方法

Country Status (5)

Country Link
US (2) US7646906B2 (zh)
JP (1) JP4758358B2 (zh)
KR (1) KR101056142B1 (zh)
CN (1) CN1910516B (zh)
WO (1) WO2005073807A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101866373A (zh) * 2009-04-15 2010-10-20 新思科技有限公司 用于电子设计自动化的执行监视器
CN102683165A (zh) * 2011-03-18 2012-09-19 敖翔科技股份有限公司 智能缺陷筛选及取样方法
CN103345124A (zh) * 2013-06-27 2013-10-09 上海华力微电子有限公司 一种准确和定量的缺陷检测确认光刻工艺窗口的方法
CN106092872A (zh) * 2016-06-06 2016-11-09 深圳市公路交通工程试验检测中心 一种热熔型标线抗污染性能的检测方法

Families Citing this family (183)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001331784A (ja) * 2000-05-18 2001-11-30 Hitachi Ltd 欠陥分類方法及びその装置
JP4758358B2 (ja) 2004-01-29 2011-08-24 ケーエルエー−テンカー コーポレイション レチクル設計データにおける欠陥を検出するためのコンピュータに実装される方法
US9188974B1 (en) 2004-02-13 2015-11-17 Kla-Tencor Technologies Corp. Methods for improved monitor and control of lithography processes
US7260442B2 (en) * 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US7448012B1 (en) * 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
JP2007536673A (ja) * 2004-05-09 2007-12-13 メンター・グラフィクス・コーポレーション 見込み欠陥位置同定方法、見込み欠陥位置同定ツール
CN101002141B (zh) * 2004-07-21 2011-12-28 恪纳腾技术公司 生成用于生成掩模版的仿真图像的仿真程序的输入的计算机实现的方法
JP2006039059A (ja) * 2004-07-23 2006-02-09 Toshiba Corp フォトマスクデータの作成方法およびフォトマスクの製造方法
JP4904034B2 (ja) 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体
US7350183B2 (en) * 2004-11-05 2008-03-25 International Business Machines Corporation Method for improving optical proximity correction
US7459248B2 (en) * 2005-02-24 2008-12-02 James Word Performing OPC on structures with virtual edges
US7475382B2 (en) * 2005-02-24 2009-01-06 Synopsys, Inc. Method and apparatus for determining an improved assist feature configuration in a mask layout
JP2006235327A (ja) * 2005-02-25 2006-09-07 Toshiba Corp マスクパターンデータ・マスク検査データ作成方法、及びフォトマスクの製造・検査方法
US7315999B2 (en) * 2005-03-17 2008-01-01 Synopsys, Inc. Method and apparatus for identifying assist feature placement problems
US7303842B2 (en) * 2005-04-13 2007-12-04 Kla-Tencor Technologies Corporation Systems and methods for modifying a reticle's optical properties
US7297453B2 (en) * 2005-04-13 2007-11-20 Kla-Tencor Technologies Corporation Systems and methods for mitigating variances on a patterned wafer using a prediction model
US7300725B2 (en) * 2005-04-13 2007-11-27 Kla-Tencor Technologies Corporation Method for determining and correcting reticle variations
US7300729B2 (en) * 2005-04-13 2007-11-27 Kla-Tencor Technologies Corporation Method for monitoring a reticle
US7424699B2 (en) * 2005-06-10 2008-09-09 Texas Instruments Incorporated Modifying sub-resolution assist features according to rule-based and model-based techniques
US7445945B1 (en) * 2005-08-01 2008-11-04 Advanced Micro Devices, Inc. Method and apparatus for dynamic adjustment of a sampling plan based on wafer electrical test data
US7769225B2 (en) * 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
US20070044063A1 (en) * 2005-08-22 2007-02-22 Faour Fouad A Method for estimating voltage droop on an ASIC
JP2007071678A (ja) * 2005-09-07 2007-03-22 Hitachi High-Technologies Corp 検査システム
JP4643401B2 (ja) * 2005-09-07 2011-03-02 株式会社東芝 テストパターン作成方法、テストパターン作成プログラム、マスク作製方法、及び半導体装置製造方法
CN102662309B (zh) * 2005-09-09 2014-10-01 Asml荷兰有限公司 采用独立掩模误差模型的掩模验证系统和方法
US7824827B2 (en) * 2005-09-12 2010-11-02 Imec Method and system for improved lithographic processing
US7781349B2 (en) * 2005-09-12 2010-08-24 Imec Method and system for optimizing a BARC stack
US20070059849A1 (en) * 2005-09-12 2007-03-15 Interuniversitair Microelktronica Centrum (Imec) Method and system for BARC optimization for high numerical aperture applications
JP4738114B2 (ja) * 2005-09-16 2011-08-03 株式会社東芝 マスク欠陥検査方法
EP1770439B1 (en) * 2005-10-03 2010-05-05 Imec Alternating phase shift mask
US7962868B2 (en) 2005-10-28 2011-06-14 Freescale Semiconductor, Inc. Method for forming a semiconductor device using optical proximity correction for the optical lithography
JP4976681B2 (ja) * 2005-10-31 2012-07-18 株式会社東芝 パターン形状評価方法およびパターン形状評価プログラム
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US8041103B2 (en) 2005-11-18 2011-10-18 Kla-Tencor Technologies Corp. Methods and systems for determining a position of inspection data in design data space
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
JP4998853B2 (ja) * 2006-01-30 2012-08-15 株式会社ニコン 処理条件決定方法及び装置、処理装置、測定装置及び露光装置、基板処理システム、並びにプログラム及び情報記録媒体
US7694267B1 (en) * 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
WO2007093193A1 (en) * 2006-02-16 2007-08-23 Freescale Semiconductor, Inc. Method of detecting defects in an integrated circuit
US7643976B2 (en) * 2006-02-28 2010-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for identifying lens aberration sensitive patterns in an integrated circuit chip
KR100735535B1 (ko) * 2006-07-10 2007-07-04 삼성전자주식회사 마스크 제작 방법
US7493590B1 (en) 2006-07-11 2009-02-17 Kla-Tencor Technologies Corporation Process window optical proximity correction
US7849423B1 (en) * 2006-07-21 2010-12-07 Cadence Design Systems, Inc. Method of verifying photomask data based on models of etch and lithography processes
US20080040353A1 (en) * 2006-08-10 2008-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. System and method of manufacturing management
US8370773B2 (en) 2006-08-16 2013-02-05 Freescale Semiconductor, Inc. Method and apparatus for designing an integrated circuit using inverse lithography technology
US8331645B2 (en) * 2006-09-20 2012-12-11 Luminescent Technologies, Inc. Photo-mask and wafer image reconstruction
US8644588B2 (en) 2006-09-20 2014-02-04 Luminescent Technologies, Inc. Photo-mask and wafer image reconstruction
US7900165B2 (en) * 2007-03-30 2011-03-01 Synopsys, Inc. Determining a design attribute by estimation and by calibration of estimated value
US8045786B2 (en) * 2006-10-24 2011-10-25 Kla-Tencor Technologies Corp. Waferless recipe optimization
US7904845B2 (en) * 2006-12-06 2011-03-08 Kla-Tencor Corp. Determining locations on a wafer to be reviewed during defect review
US8259285B2 (en) * 2006-12-14 2012-09-04 Asml Holding N.V. Lithographic system, device manufacturing method, setpoint data optimization method, and apparatus for producing optimized setpoint data
JP5427609B2 (ja) * 2006-12-19 2014-02-26 ケーエルエー−テンカー・コーポレーション 検査レシピ作成システムおよびその方法
US20080162103A1 (en) * 2006-12-29 2008-07-03 Cadence Design Systems, Inc. Method, system, and computer program product for concurrent model aided electronic design automation
WO2008086282A2 (en) 2007-01-05 2008-07-17 Kla-Tencor Corporation Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
US8090189B1 (en) * 2007-03-05 2012-01-03 Kla-Tencor Corporation Detection of thin line for selective sensitivity during reticle inspection
US7564545B2 (en) * 2007-03-15 2009-07-21 Kla-Tencor Technologies Corp. Inspection methods and systems for lithographic masks
JP2008258361A (ja) * 2007-04-04 2008-10-23 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US7669153B2 (en) * 2007-04-30 2010-02-23 United Microelectronics Corp. Method for correcting photomask pattern
US7738093B2 (en) 2007-05-07 2010-06-15 Kla-Tencor Corp. Methods for detecting and classifying defects on a reticle
US7962863B2 (en) 2007-05-07 2011-06-14 Kla-Tencor Corp. Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer
US8213704B2 (en) 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
KR100881194B1 (ko) 2007-05-16 2009-02-05 삼성전자주식회사 공간 영상 검사 장비를 이용한 마스크 측정 방법
EP2153376B1 (en) * 2007-05-23 2011-10-19 Nxp B.V. Process-window aware detection and correction of lithographic printing issues at mask level
US7882480B2 (en) * 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
WO2008151185A1 (en) 2007-06-04 2008-12-11 Brion Technologies, Inc. Methods for performing model-based lithography guided layout design
US7796804B2 (en) 2007-07-20 2010-09-14 Kla-Tencor Corp. Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
JP5395340B2 (ja) * 2007-08-06 2014-01-22 株式会社東芝 プロセスモデル作成方法、プロセスモデル作成プログラム及びパターン補正方法
US7711514B2 (en) 2007-08-10 2010-05-04 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for generating a metrology sampling plan
TWI469235B (zh) 2007-08-20 2015-01-11 Kla Tencor Corp 決定實際缺陷是潛在系統性缺陷或潛在隨機缺陷之由電腦實施之方法
US9779186B2 (en) 2007-08-28 2017-10-03 Asml Netherlands B.V. Methods for performing model-based lithography guided layout design
US8572524B2 (en) * 2007-11-21 2013-10-29 Globalfoundries Singapore Pte. Ltd. Statistical optical proximity correction
US7966586B2 (en) * 2007-12-07 2011-06-21 Cadence Design Systems, Inc. Intelligent pattern signature based on lithography effects
US7904853B1 (en) 2007-12-27 2011-03-08 Cadence Design Systems, Inc. Pattern signature
US8358828B2 (en) * 2007-12-28 2013-01-22 Cadence Design Systems, Inc. Interpolation of irregular data in a finite-dimensional metric space in lithographic simulation
US8379229B2 (en) * 2008-02-14 2013-02-19 Seiko Epson Corporation Simulation of a printed dot-pattern bitmap
JP4554691B2 (ja) * 2008-02-25 2010-09-29 アドバンスド・マスク・インスペクション・テクノロジー株式会社 補正パターン画像生成装置、パターン検査装置および補正パターン画像生成方法
US7823106B2 (en) * 2008-03-11 2010-10-26 International Business Machines Corporation Variable performance ranking and modification in design for manufacturability of circuits
US8139844B2 (en) 2008-04-14 2012-03-20 Kla-Tencor Corp. Methods and systems for determining a defect criticality index for defects on wafers
US7895547B2 (en) * 2008-05-01 2011-02-22 International Business Machines Corporation Test pattern based process model calibration
US8570485B2 (en) * 2008-06-03 2013-10-29 Asml Netherlands B.V. Lens heating compensation systems and methods
US8381152B2 (en) 2008-06-05 2013-02-19 Cadence Design Systems, Inc. Method and system for model-based design and layout of an integrated circuit
US9710903B2 (en) * 2008-06-11 2017-07-18 Kla-Tencor Corp. System and method for detecting design and process defects on a wafer using process monitoring features
KR100935733B1 (ko) 2008-06-20 2010-01-08 주식회사 하이닉스반도체 피치 변화 구간을 포함하는 레이아웃 보정방법
US7966583B2 (en) * 2008-07-08 2011-06-21 Synopsys, Inc. Method and apparatus for determining the effect of process variations
KR101729669B1 (ko) 2008-07-28 2017-04-24 케이엘에이-텐코어 코오포레이션 웨이퍼 상의 메모리 디바이스 영역에서 검출된 결함들을 분류하기 위한 컴퓨터-구현 방법들, 컴퓨터-판독 가능 매체, 및 시스템들
US8161431B2 (en) * 2008-10-30 2012-04-17 Agere Systems Inc. Integrated circuit performance enhancement using on-chip adaptive voltage scaling
JP2010112766A (ja) * 2008-11-04 2010-05-20 Nuflare Technology Inc マスク検査システム及びマスク検査方法
NL2003719A (en) 2008-11-10 2010-05-11 Brion Tech Inc Delta tcc for fast sensitivity model computation.
US8612045B2 (en) 2008-12-24 2013-12-17 Asml Holding N.V. Optimization method and a lithographic cell
US8605275B2 (en) * 2009-01-26 2013-12-10 Kla-Tencor Corp. Detecting defects on a wafer
US8223327B2 (en) 2009-01-26 2012-07-17 Kla-Tencor Corp. Systems and methods for detecting defects on a wafer
US8775101B2 (en) 2009-02-13 2014-07-08 Kla-Tencor Corp. Detecting defects on a wafer
US8204297B1 (en) 2009-02-27 2012-06-19 Kla-Tencor Corp. Methods and systems for classifying defects detected on a reticle
US8112241B2 (en) 2009-03-13 2012-02-07 Kla-Tencor Corp. Methods and systems for generating an inspection process for a wafer
US8413103B2 (en) * 2009-03-13 2013-04-02 Synopsys, Inc. Execution monitor for electronic design automation
US8463016B2 (en) * 2010-02-05 2013-06-11 Luminescent Technologies, Inc. Extending the field of view of a mask-inspection image
CN102193303B (zh) * 2010-03-05 2013-07-17 中芯国际集成电路制造(上海)有限公司 光学邻近修正方法
US8781781B2 (en) 2010-07-30 2014-07-15 Kla-Tencor Corp. Dynamic care areas
US8555214B2 (en) 2010-09-14 2013-10-08 Luminescent Technologies, Inc. Technique for analyzing a reflective photo-mask
US8612903B2 (en) 2010-09-14 2013-12-17 Luminescent Technologies, Inc. Technique for repairing a reflective photo-mask
US8539389B2 (en) * 2010-09-27 2013-09-17 Teseda Corporation Correlation of device manufacturing defect data with device electrical test data
US8429570B2 (en) 2010-10-28 2013-04-23 International Business Machines Corporation Pattern recognition with edge correction for design based metrology
US8495527B2 (en) 2010-10-28 2013-07-23 International Business Machines Corporation Pattern recognition with edge correction for design based metrology
US8619236B2 (en) 2010-11-24 2013-12-31 International Business Machines Corporation Determining lithographic set point using optical proximity correction verification simulation
US8386968B2 (en) 2010-11-29 2013-02-26 Luminescent Technologies, Inc. Virtual photo-mask critical-dimension measurement
US8458622B2 (en) 2010-11-29 2013-06-04 Luminescent Technologies, Inc. Photo-mask acceptance technique
KR101885394B1 (ko) 2010-12-17 2018-08-03 칼 짜이스 에스엠티 게엠베하 포토리소그래피 마스크에 의해 처리된 웨이퍼 상의 오류를 교정하기 위한 방법 및 장치
US8312401B2 (en) * 2011-01-13 2012-11-13 Elitetech Technology Co., Ltd. Method for smart defect screen and sample
US8499260B2 (en) 2011-01-26 2013-07-30 International Business Machines Corporation Optical proximity correction verification accounting for mask deviations
US8577489B2 (en) 2011-01-26 2013-11-05 International Business Machines Corporation Diagnosing in-line critical dimension control adjustments using optical proximity correction verification
US9005852B2 (en) 2012-09-10 2015-04-14 Dino Technology Acquisition Llc Technique for repairing a reflective photo-mask
US9170211B2 (en) 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
US8699003B2 (en) * 2011-06-07 2014-04-15 Nanya Technology Corp. Method for determining illumination source with optimized depth of focus
US8653454B2 (en) 2011-07-13 2014-02-18 Luminescent Technologies, Inc. Electron-beam image reconstruction
US8423923B2 (en) 2011-07-20 2013-04-16 United Microelectronics Corp. Optical proximity correction method
US8810785B2 (en) 2011-08-26 2014-08-19 United Microelectronics Corp. Mask inspecting method
US9939488B2 (en) 2011-08-31 2018-04-10 Teseda Corporation Field triage of EOS failures in semiconductor devices
US8907697B2 (en) 2011-08-31 2014-12-09 Teseda Corporation Electrical characterization for a semiconductor device pin
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
US8713511B1 (en) * 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US8468471B2 (en) * 2011-09-23 2013-06-18 Kla-Tencor Corp. Process aware metrology
US8832621B1 (en) 2011-11-28 2014-09-09 Cadence Design Systems, Inc. Topology design using squish patterns
US8486587B2 (en) 2011-12-20 2013-07-16 United Microelectronics Corp. Method for correcting layout pattern and method for manufacturing photomask
KR20140112047A (ko) * 2012-01-13 2014-09-22 케이엘에이-텐코 코포레이션 데이터베이스 관련 재인정 레티클 검사를 위한 방법 및 장치
US8831334B2 (en) 2012-01-20 2014-09-09 Kla-Tencor Corp. Segmentation for wafer inspection
US8962221B2 (en) 2012-05-14 2015-02-24 United Microelectronics Corp. Mask and method of forming pattern by using the same
US8829610B2 (en) 2012-05-15 2014-09-09 United Microelectronics Corp. Method for forming semiconductor layout patterns, semiconductor layout patterns, and semiconductor structure
US8826200B2 (en) 2012-05-25 2014-09-02 Kla-Tencor Corp. Alteration for wafer inspection
US8849440B2 (en) 2012-05-31 2014-09-30 International Business Machines Corporation Manufacturing control based on a final design structure incorporating both layout and client-specific manufacturing information
US8953869B2 (en) * 2012-06-14 2015-02-10 Kla-Tencor Corporation Apparatus and methods for inspecting extreme ultra violet reticles
US8806391B2 (en) 2012-07-31 2014-08-12 United Microelectronics Corp. Method of optical proximity correction according to complexity of mask pattern
US9189844B2 (en) 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
US8965102B2 (en) 2012-11-09 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for defect analysis of a substrate
US9053527B2 (en) 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9134254B2 (en) 2013-01-07 2015-09-15 Kla-Tencor Corp. Determining a position of inspection system output in design data space
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
US8741507B1 (en) 2013-01-16 2014-06-03 United Microelectronics Corp. Method for separating photomask pattern
US8701052B1 (en) 2013-01-23 2014-04-15 United Microelectronics Corp. Method of optical proximity correction in combination with double patterning technique
US8627242B1 (en) 2013-01-30 2014-01-07 United Microelectronics Corp. Method for making photomask layout
WO2014149197A1 (en) 2013-02-01 2014-09-25 Kla-Tencor Corporation Detecting defects on a wafer using defect-specific and multi-channel information
US8661372B1 (en) 2013-02-04 2014-02-25 United Microelectronics Corp. Optical proximity correction method
US9091935B2 (en) 2013-03-11 2015-07-28 Kla-Tencor Corporation Multistage extreme ultra-violet mask qualification
US9008410B2 (en) 2013-03-13 2015-04-14 Kla-Tencor Corporation Single die inspection on a dark field inspection tool
US9494854B2 (en) 2013-03-14 2016-11-15 Kla-Tencor Corporation Technique for repairing an EUV photo-mask
US9448343B2 (en) 2013-03-15 2016-09-20 Kla-Tencor Corporation Segmented mirror apparatus for imaging and method of using the same
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US8977988B2 (en) 2013-04-09 2015-03-10 United Microelectronics Corp. Method of optical proximity correction for modifying line patterns and integrated circuits with line patterns modified by the same
US9310320B2 (en) 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
US9009633B2 (en) 2013-05-06 2015-04-14 United Microelectronics Corp. Method of correcting assist feature
US9230812B2 (en) 2013-05-22 2016-01-05 United Microelectronics Corp. Method for forming semiconductor structure having opening
US8869077B1 (en) * 2013-05-23 2014-10-21 Globalfoundries Inc. Selection of replacement patterns for reducing manufacturing hotspots and constraint violations of IC designs
WO2014204577A1 (en) * 2013-06-21 2014-12-24 California Institute Of Technology Determination of electronic circuit robustness
TWI544452B (zh) * 2013-06-25 2016-08-01 Hitachi High Tech Corp A sample preparation device for a sample observation apparatus, and a sample observation apparatus
US8745547B1 (en) 2013-07-11 2014-06-03 United Microelectronics Corp. Method for making photomask layout
US9064078B2 (en) * 2013-07-30 2015-06-23 Globalfoundries Inc. Methods and systems for designing and manufacturing optical lithography masks
US9612541B2 (en) * 2013-08-20 2017-04-04 Kla-Tencor Corporation Qualifying patterns for microlithography
US9518932B2 (en) 2013-11-06 2016-12-13 Kla-Tencor Corp. Metrology optimized inspection
US8930858B1 (en) 2013-11-27 2015-01-06 United Microelectronics Corp. Method for optical proximity correction
US20150161320A1 (en) * 2013-12-09 2015-06-11 Spansion Inc. Scattering bar optimization apparatus and method
US9536299B2 (en) * 2014-01-16 2017-01-03 Kla-Tencor Corp. Pattern failure discovery by leveraging nominal characteristics of alternating failure modes
KR102359050B1 (ko) * 2014-02-12 2022-02-08 에이에스엠엘 네델란즈 비.브이. 프로세스 윈도우를 최적화하는 방법
US20150286763A1 (en) * 2014-04-02 2015-10-08 Globalfoundries Inc. Pattern matching for predicting defect limited yield
US9478019B2 (en) * 2014-05-06 2016-10-25 Kla-Tencor Corp. Reticle inspection using near-field recovery
US9547892B2 (en) 2014-05-06 2017-01-17 Kla-Tencor Corporation Apparatus and methods for predicting wafer-level defect printability
JP6307367B2 (ja) * 2014-06-26 2018-04-04 株式会社ニューフレアテクノロジー マスク検査装置、マスク評価方法及びマスク評価システム
CN107077077B (zh) 2014-09-22 2019-03-12 Asml荷兰有限公司 过程窗口识别符
US20160162626A1 (en) * 2014-12-01 2016-06-09 Globalfoundries Inc. Lithography process window prediction based on design data
JP6533062B2 (ja) * 2015-01-19 2019-06-19 株式会社ニューフレアテクノロジー パターン検査方法
US9405186B1 (en) * 2015-02-23 2016-08-02 GlobalFoundries, Inc. Sample plan creation for optical proximity correction with minimal number of clips
US10012599B2 (en) 2015-04-03 2018-07-03 Kla-Tencor Corp. Optical die to database inspection
US10395361B2 (en) 2015-08-10 2019-08-27 Kla-Tencor Corporation Apparatus and methods for inspecting reticles
KR20180030228A (ko) * 2015-08-10 2018-03-21 케이엘에이-텐코 코포레이션 웨이퍼-레벨 결함 인쇄성을 예측하기 위한 장치 및 방법들
US9875534B2 (en) * 2015-09-04 2018-01-23 Kla-Tencor Corporation Techniques and systems for model-based critical dimension measurements
US20180299770A1 (en) * 2015-10-19 2018-10-18 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
KR102575073B1 (ko) 2016-04-12 2023-09-06 삼성전자주식회사 마스크 데이터 검증 방법
US10825165B2 (en) 2016-07-22 2020-11-03 Lynx Inspection Inc. Inspection method for a manufactured article and system for performing same
CN109891324B (zh) 2016-10-26 2021-05-25 Asml荷兰有限公司 用于光刻过程的优化的方法
US10191112B2 (en) 2016-11-18 2019-01-29 Globalfoundries Inc. Early development of a database of fail signatures for systematic defects in integrated circuit (IC) chips
TWI755453B (zh) * 2017-05-18 2022-02-21 美商克萊譚克公司 鑑定一光微影光罩合格性之方法及系統
US11237872B2 (en) 2017-05-23 2022-02-01 Kla-Tencor Corporation Semiconductor inspection and metrology systems for distributing job among the CPUs or GPUs based on logical image processing boundaries
US10754261B2 (en) * 2017-06-06 2020-08-25 Kla-Tencor Corporation Reticle optimization algorithms and optimal target design
KR20190048491A (ko) * 2017-10-31 2019-05-09 삼성전자주식회사 식각 효과 예측 방법 및 입력 파라미터 결정 방법
US10642160B2 (en) * 2018-03-01 2020-05-05 Globalfoundries Inc. Self-aligned quadruple patterning pitch walking solution
US11341382B1 (en) 2021-04-01 2022-05-24 Ricoh Company, Ltd. Evaluation of print verification system

Family Cites Families (270)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3495269A (en) * 1966-12-19 1970-02-10 Xerox Corp Electrographic recording method and apparatus with inert gaseous discharge ionization and acceleration gaps
US3496352A (en) * 1967-06-05 1970-02-17 Xerox Corp Self-cleaning corona generating apparatus
US3909602A (en) 1973-09-27 1975-09-30 California Inst Of Techn Automatic visual inspection system for microelectronics
US4015203A (en) * 1975-12-31 1977-03-29 International Business Machines Corporation Contactless LSI junction leakage testing method
US4247203A (en) * 1978-04-03 1981-01-27 Kla Instrument Corporation Automatic photomask inspection system and apparatus
US4347001A (en) 1978-04-03 1982-08-31 Kla Instruments Corporation Automatic photomask inspection system and apparatus
FR2473789A1 (fr) 1980-01-09 1981-07-17 Ibm France Procedes et structures de test pour circuits integres a semi-conducteurs permettant la determination electrique de certaines tolerances lors des etapes photolithographiques.
US4378159A (en) * 1981-03-30 1983-03-29 Tencor Instruments Scanning contaminant and defect detector
US4448532A (en) * 1981-03-31 1984-05-15 Kla Instruments Corporation Automatic photomask inspection method and system
US4926489A (en) 1983-03-11 1990-05-15 Kla Instruments Corporation Reticle inspection system
US4579455A (en) * 1983-05-09 1986-04-01 Kla Instruments Corporation Photomask inspection apparatus and method with improved defect detection
US4532650A (en) 1983-05-12 1985-07-30 Kla Instruments Corporation Photomask inspection apparatus and method using corner comparator defect detection algorithm
US4555798A (en) 1983-06-20 1985-11-26 Kla Instruments Corporation Automatic system and method for inspecting hole quality
US4578810A (en) * 1983-08-08 1986-03-25 Itek Corporation System for printed circuit board defect detection
JPS6062122A (ja) * 1983-09-16 1985-04-10 Fujitsu Ltd マスクパターンの露光方法
US4599558A (en) 1983-12-14 1986-07-08 Ibm Photovoltaic imaging for large area semiconductors
US4595289A (en) 1984-01-25 1986-06-17 At&T Bell Laboratories Inspection system utilizing dark-field illumination
JPS60263807A (ja) * 1984-06-12 1985-12-27 Dainippon Screen Mfg Co Ltd プリント配線板のパタ−ン欠陥検査装置
US4633504A (en) 1984-06-28 1986-12-30 Kla Instruments Corporation Automatic photomask inspection system having image enhancement means
US4817123A (en) * 1984-09-21 1989-03-28 Picker International Digital radiography detector resolution improvement
US4734721A (en) * 1985-10-04 1988-03-29 Markem Corporation Electrostatic printer utilizing dehumidified air
US4641967A (en) * 1985-10-11 1987-02-10 Tencor Instruments Particle position correlator and correlation method for a surface scanner
US4928313A (en) 1985-10-25 1990-05-22 Synthetic Vision Systems, Inc. Method and system for automatically visually inspecting an article
US4637714A (en) * 1985-10-31 1987-01-20 International Business Machines Corporation Inspection system for pellicalized reticles
US5046109A (en) 1986-03-12 1991-09-03 Nikon Corporation Pattern inspection apparatus
US4814829A (en) * 1986-06-12 1989-03-21 Canon Kabushiki Kaisha Projection exposure apparatus
US4805123B1 (en) * 1986-07-14 1998-10-13 Kla Instr Corp Automatic photomask and reticle inspection method and apparatus including improved defect detector and alignment sub-systems
US4758094A (en) 1987-05-15 1988-07-19 Kla Instruments Corp. Process and apparatus for in-situ qualification of master patterns used in patterning systems
US4766324A (en) 1987-08-07 1988-08-23 Tencor Instruments Particle detection method including comparison between sequential scans
US4812756A (en) * 1987-08-26 1989-03-14 International Business Machines Corporation Contactless technique for semicondutor wafer testing
US4845558A (en) 1987-12-03 1989-07-04 Kla Instruments Corporation Method and apparatus for detecting defects in repeated microminiature patterns
US4877326A (en) 1988-02-19 1989-10-31 Kla Instruments Corporation Method and apparatus for optical inspection of substrates
JP2796316B2 (ja) * 1988-10-24 1998-09-10 株式会社日立製作所 欠陥または異物の検査方法およびその装置
US5155336A (en) 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
JP3707172B2 (ja) * 1996-01-24 2005-10-19 富士ゼロックス株式会社 画像読取装置
US5189481A (en) * 1991-07-26 1993-02-23 Tencor Instruments Particle detector for rough surfaces
US5563702A (en) 1991-08-22 1996-10-08 Kla Instruments Corporation Automated photomask inspection apparatus and method
DE69208413T2 (de) * 1991-08-22 1996-11-14 Kla Instr Corp Gerät zur automatischen Prüfung von Photomaske
DE69333348T2 (de) 1992-03-09 2004-09-16 San Diego Regional Cancer Center, San Diego Anti-idiotypischer Antikörper und seine Verwendung zur Diagnose und Therapie bei HIV-bezogenen Krankheiten
US6205259B1 (en) 1992-04-09 2001-03-20 Olympus Optical Co., Ltd. Image processing apparatus
JP2667940B2 (ja) * 1992-04-27 1997-10-27 三菱電機株式会社 マスク検査方法およびマスク検出装置
JP3212389B2 (ja) 1992-10-26 2001-09-25 株式会社キリンテクノシステム 固体上の異物検査方法
JP3314440B2 (ja) * 1993-02-26 2002-08-12 株式会社日立製作所 欠陥検査装置およびその方法
JPH06177706A (ja) 1992-12-08 1994-06-24 Sony Corp 信号処理装置
KR100300618B1 (ko) 1992-12-25 2001-11-22 오노 시게오 노광방법,노광장치,및그장치를사용하는디바이스제조방법
US5448053A (en) * 1993-03-01 1995-09-05 Rhoads; Geoffrey B. Method and apparatus for wide field distortion-compensated imaging
US5453844A (en) 1993-07-21 1995-09-26 The University Of Rochester Image data coding and compression system utilizing controlled blurring
US5544256A (en) 1993-10-22 1996-08-06 International Business Machines Corporation Automated defect classification system
US5500607A (en) 1993-12-22 1996-03-19 International Business Machines Corporation Probe-oxide-semiconductor method and apparatus for measuring oxide charge on a semiconductor wafer
US5696835A (en) 1994-01-21 1997-12-09 Texas Instruments Incorporated Apparatus and method for aligning and measuring misregistration
US5553168A (en) 1994-01-21 1996-09-03 Texas Instruments Incorporated System and method for recognizing visual indicia
US5572608A (en) 1994-08-24 1996-11-05 International Business Machines Corporation Sinc filter in linear lumen space for scanner
US5608538A (en) * 1994-08-24 1997-03-04 International Business Machines Corporation Scan line queuing for high performance image correction
US5528153A (en) 1994-11-07 1996-06-18 Texas Instruments Incorporated Method for non-destructive, non-contact measurement of dielectric constant of thin films
US6014461A (en) * 1994-11-30 2000-01-11 Texas Instruments Incorporated Apparatus and method for automatic knowlege-based object identification
US5694478A (en) 1994-12-15 1997-12-02 Minnesota Mining And Manufacturing Company Method and apparatus for detecting and identifying microbial colonies
US5948972A (en) 1994-12-22 1999-09-07 Kla-Tencor Corporation Dual stage instrument for scanning a specimen
CA2139182A1 (en) 1994-12-28 1996-06-29 Paul Chevrette Method and system for fast microscanning
US5661408A (en) 1995-03-01 1997-08-26 Qc Solutions, Inc. Real-time in-line testing of semiconductor wafers
US5991699A (en) 1995-05-04 1999-11-23 Kla Instruments Corporation Detecting groups of defects in semiconductor feature space
US5644223A (en) 1995-05-12 1997-07-01 International Business Machines Corporation Uniform density charge deposit source
US5485091A (en) * 1995-05-12 1996-01-16 International Business Machines Corporation Contactless electrical thin oxide measurements
TW341664B (en) 1995-05-12 1998-10-01 Ibm Photovoltaic oxide charge measurement probe technique
US6288780B1 (en) * 1995-06-06 2001-09-11 Kla-Tencor Technologies Corp. High throughput brightfield/darkfield wafer inspection system using advanced optical techniques
US5594247A (en) * 1995-07-07 1997-01-14 Keithley Instruments, Inc. Apparatus and method for depositing charge on a semiconductor wafer
US5773989A (en) 1995-07-14 1998-06-30 University Of South Florida Measurement of the mobile ion concentration in the oxide layer of a semiconductor wafer
US5621519A (en) * 1995-07-31 1997-04-15 Neopath, Inc. Imaging system transfer function control method and apparatus
US5619548A (en) * 1995-08-11 1997-04-08 Oryx Instruments And Materials Corp. X-ray thickness gauge
DE69634089T2 (de) 1995-10-02 2005-12-08 Kla-Tencor Corp., San Jose Verbesserung der ausrichtung von inspektionsystemen vor der bildaufnahme
US5754678A (en) 1996-01-17 1998-05-19 Photon Dynamics, Inc. Substrate inspection apparatus and method
JPH09320505A (ja) 1996-03-29 1997-12-12 Hitachi Ltd 電子線式検査方法及びその装置並びに半導体の製造方法及びその製造ライン
US5673208A (en) * 1996-04-11 1997-09-30 Micron Technology, Inc. Focus spot detection method and system
US5742658A (en) * 1996-05-23 1998-04-21 Advanced Micro Devices, Inc. Apparatus and method for determining the elemental compositions and relative locations of particles on the surface of a semiconductor wafer
US6292582B1 (en) 1996-05-31 2001-09-18 Lin Youling Method and system for identifying defects in a semiconductor
US6091846A (en) 1996-05-31 2000-07-18 Texas Instruments Incorporated Method and system for anomaly detection
US6205239B1 (en) * 1996-05-31 2001-03-20 Texas Instruments Incorporated System and method for circuit repair
US6246787B1 (en) 1996-05-31 2001-06-12 Texas Instruments Incorporated System and method for knowledgebase generation and management
US5767693A (en) 1996-09-04 1998-06-16 Smithley Instruments, Inc. Method and apparatus for measurement of mobile charges with a corona screen gun
US6076465A (en) 1996-09-20 2000-06-20 Kla-Tencor Corporation System and method for determining reticle defect printability
KR100200734B1 (ko) * 1996-10-10 1999-06-15 윤종용 에어리얼 이미지 측정 장치 및 방법
US5866806A (en) * 1996-10-11 1999-02-02 Kla-Tencor Corporation System for locating a feature of a surface
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6259960B1 (en) 1996-11-01 2001-07-10 Joel Ltd. Part-inspecting system
US5852232A (en) 1997-01-02 1998-12-22 Kla-Tencor Corporation Acoustic sensor as proximity detector
US5955661A (en) 1997-01-06 1999-09-21 Kla-Tencor Corporation Optical profilometer combined with stylus probe measurement device
US5795685A (en) 1997-01-14 1998-08-18 International Business Machines Corporation Simple repair method for phase shifting masks
US5889593A (en) * 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
US5980187A (en) 1997-04-16 1999-11-09 Kla-Tencor Corporation Mechanism for transporting semiconductor-process masks
US6121783A (en) 1997-04-22 2000-09-19 Horner; Gregory S. Method and apparatus for establishing electrical contact between a wafer and a chuck
US6097196A (en) 1997-04-23 2000-08-01 Verkuil; Roger L. Non-contact tunnelling field measurement for a semiconductor oxide layer
US6078738A (en) 1997-05-08 2000-06-20 Lsi Logic Corporation Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization
KR100308811B1 (ko) 1997-05-10 2001-12-15 박종섭 Gps를이용한시간및주파수발생장치의시간오차개선방법
US6201999B1 (en) * 1997-06-09 2001-03-13 Applied Materials, Inc. Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
US6011404A (en) * 1997-07-03 2000-01-04 Lucent Technologies Inc. System and method for determining near--surface lifetimes and the tunneling field of a dielectric in a semiconductor
US6072320A (en) 1997-07-30 2000-06-06 Verkuil; Roger L. Product wafer junction leakage measurement using light and eddy current
US6104206A (en) 1997-08-05 2000-08-15 Verkuil; Roger L. Product wafer junction leakage measurement using corona and a kelvin probe
US5834941A (en) 1997-08-11 1998-11-10 Keithley Instruments, Inc. Mobile charge measurement using corona charge and ultraviolet light
US6191605B1 (en) * 1997-08-18 2001-02-20 Tom G. Miller Contactless method for measuring total charge of an insulating layer on a substrate using corona charge
US6578188B1 (en) * 1997-09-17 2003-06-10 Numerical Technologies, Inc. Method and apparatus for a network-based mask defect printability analysis system
US7107571B2 (en) * 1997-09-17 2006-09-12 Synopsys, Inc. Visual analysis and verification system using advanced tools
US6470489B1 (en) 1997-09-17 2002-10-22 Numerical Technologies, Inc. Design rule checking system and method
US6757645B2 (en) * 1997-09-17 2004-06-29 Numerical Technologies, Inc. Visual inspection and verification system
US5965306A (en) 1997-10-15 1999-10-12 International Business Machines Corporation Method of determining the printability of photomask defects
US5874733A (en) * 1997-10-16 1999-02-23 Raytheon Company Convergent beam scanner linearizing method and apparatus
US6097887A (en) 1997-10-27 2000-08-01 Kla-Tencor Corporation Software system and method for graphically building customized recipe flowcharts
US6233719B1 (en) 1997-10-27 2001-05-15 Kla-Tencor Corporation System and method for analyzing semiconductor production data
US6104835A (en) 1997-11-14 2000-08-15 Kla-Tencor Corporation Automatic knowledge database generation for classifying objects and systems therefor
US6614520B1 (en) 1997-12-18 2003-09-02 Kla-Tencor Corporation Method for inspecting a reticle
US6060709A (en) 1997-12-31 2000-05-09 Verkuil; Roger L. Apparatus and method for depositing uniform charge on a thin oxide semiconductor wafer
US6122017A (en) 1998-01-22 2000-09-19 Hewlett-Packard Company Method for providing motion-compensated multi-field enhancement of still images from video
US6175645B1 (en) * 1998-01-22 2001-01-16 Applied Materials, Inc. Optical inspection method and apparatus
US6171737B1 (en) * 1998-02-03 2001-01-09 Advanced Micro Devices, Inc. Low cost application of oxide test wafer for defect monitor in photolithography process
US6091845A (en) 1998-02-24 2000-07-18 Micron Technology, Inc. Inspection technique of photomask
US5932377A (en) 1998-02-24 1999-08-03 International Business Machines Corporation Exact transmission balanced alternating phase-shifting mask for photolithography
US6091257A (en) 1998-02-26 2000-07-18 Verkuil; Roger L. Vacuum activated backside contact
US6282309B1 (en) 1998-05-29 2001-08-28 Kla-Tencor Corporation Enhanced sensitivity automated photomask inspection system
US6137570A (en) 1998-06-30 2000-10-24 Kla-Tencor Corporation System and method for analyzing topological features on a surface
US6324298B1 (en) * 1998-07-15 2001-11-27 August Technology Corp. Automated wafer defect inspection system and a process of performing such inspection
US6266437B1 (en) 1998-09-04 2001-07-24 Sandia Corporation Sequential detection of web defects
US6466314B1 (en) 1998-09-17 2002-10-15 Applied Materials, Inc. Reticle design inspection system
US6122046A (en) 1998-10-02 2000-09-19 Applied Materials, Inc. Dual resolution combined laser spot scanning and area imaging inspection
US6535628B2 (en) * 1998-10-15 2003-03-18 Applied Materials, Inc. Detection of wafer fragments in a wafer processing apparatus
JP3860347B2 (ja) 1998-10-30 2006-12-20 富士通株式会社 リンク処理装置
US6248486B1 (en) 1998-11-23 2001-06-19 U.S. Philips Corporation Method of detecting aberrations of an optical imaging system
US6529621B1 (en) 1998-12-17 2003-03-04 Kla-Tencor Mechanisms for making and inspecting reticles
US6373975B1 (en) * 1999-01-25 2002-04-16 International Business Machines Corporation Error checking of simulated printed images with process window effects included
US7106895B1 (en) 1999-05-05 2006-09-12 Kla-Tencor Method and apparatus for inspecting reticles implementing parallel processing
AU3676500A (en) * 1999-05-07 2000-11-21 Nikon Corporation Aligner, microdevice, photomask, exposure method, and method of manufacturing device
KR20020011416A (ko) * 1999-05-18 2002-02-08 조셉 제이. 스위니 마스트와 비교함으로써 물체의 검사를 수행하는 방법 및장치
US6526164B1 (en) * 1999-05-27 2003-02-25 International Business Machines Corporation Intelligent photomask disposition
US6922482B1 (en) 1999-06-15 2005-07-26 Applied Materials, Inc. Hybrid invariant adaptive automatic defect classification
US6407373B1 (en) 1999-06-15 2002-06-18 Applied Materials, Inc. Apparatus and method for reviewing defects on an object
JP2001143982A (ja) 1999-06-29 2001-05-25 Applied Materials Inc 半導体デバイス製造のための統合臨界寸法制御
JP3816390B2 (ja) * 1999-07-02 2006-08-30 富士通株式会社 サービス割り当て装置
US6776692B1 (en) 1999-07-09 2004-08-17 Applied Materials Inc. Closed-loop control of wafer polishing in a chemical mechanical polishing system
US6466895B1 (en) 1999-07-16 2002-10-15 Applied Materials, Inc. Defect reference system automatic pattern classification
US6248485B1 (en) 1999-07-19 2001-06-19 Lucent Technologies Inc. Method for controlling a process for patterning a feature in a photoresist
US6466315B1 (en) 1999-09-03 2002-10-15 Applied Materials, Inc. Method and system for reticle inspection by photolithography simulation
US20020144230A1 (en) 1999-09-22 2002-10-03 Dupont Photomasks, Inc. System and method for correcting design rule violations in a mask layout file
US6268093B1 (en) * 1999-10-13 2001-07-31 Applied Materials, Inc. Method for reticle inspection using aerial imaging
FR2801673B1 (fr) * 1999-11-26 2001-12-28 Pechiney Aluminium Procede de mesure du degre et de l'homogeneite de calcination des alumines
US7190292B2 (en) 1999-11-29 2007-03-13 Bizjak Karl M Input level adjust system and method
US6445199B1 (en) 1999-12-14 2002-09-03 Kla-Tencor Corporation Methods and apparatus for generating spatially resolved voltage contrast maps of semiconductor test structures
US6771806B1 (en) 1999-12-14 2004-08-03 Kla-Tencor Multi-pixel methods and apparatus for analysis of defect information from test structures on semiconductor devices
US6701004B1 (en) * 1999-12-22 2004-03-02 Intel Corporation Detecting defects on photomasks
US6778695B1 (en) 1999-12-23 2004-08-17 Franklin M. Schellenberg Design-based reticle defect prioritization
US7120285B1 (en) * 2000-02-29 2006-10-10 Advanced Micro Devices, Inc. Method for evaluation of reticle image using aerial image simulator
US6451690B1 (en) 2000-03-13 2002-09-17 Matsushita Electronics Corporation Method of forming electrode structure and method of fabricating semiconductor device
US6482557B1 (en) * 2000-03-24 2002-11-19 Dupont Photomasks, Inc. Method and apparatus for evaluating the runability of a photomask inspection tool
US6569691B1 (en) 2000-03-29 2003-05-27 Semiconductor Diagnostics, Inc. Measurement of different mobile ion concentrations in the oxide layer of a semiconductor wafer
US6759255B2 (en) 2000-05-10 2004-07-06 Kla-Tencor Technologies Corp. Method and system for detecting metal contamination on a semiconductor wafer
US6425113B1 (en) 2000-06-13 2002-07-23 Leigh C. Anderson Integrated verification and manufacturability tool
EP1296351A4 (en) * 2000-06-27 2009-09-23 Ebara Corp INVESTIGATION DEVICE FOR LOADED PARTICLE RAYS AND METHOD FOR PRODUCING A COMPONENT ELEVATED WITH THIS INSPECTION DEVICE
US6636301B1 (en) 2000-08-10 2003-10-21 Kla-Tencor Corporation Multiple beam inspection apparatus and method
US6634018B2 (en) * 2000-08-24 2003-10-14 Texas Instruments Incorporated Optical proximity correction
JP2002071575A (ja) 2000-09-04 2002-03-08 Matsushita Electric Ind Co Ltd 欠陥検査解析方法および欠陥検査解析システム
DE10044257A1 (de) 2000-09-07 2002-04-11 Infineon Technologies Ag Verfahren zum Erzeugen von Masken-Layout-Daten für die Lithografiesimulation und von optimierten Masken-Layout-Daten sowie zugehörige Vorrichtung und Programme
US6513151B1 (en) * 2000-09-14 2003-01-28 Advanced Micro Devices, Inc. Full flow focus exposure matrix analysis and electrical testing for new product mask evaluation
WO2002037526A1 (fr) 2000-11-02 2002-05-10 Ebara Corporation Appareil a faisceau electronique et procede de fabrication d'un dispositif a semi-conducteur comprenant ledit appareil
US6753954B2 (en) 2000-12-06 2004-06-22 Asml Masktools B.V. Method and apparatus for detecting aberrations in a projection lens utilized for projection optics
US6602728B1 (en) 2001-01-05 2003-08-05 International Business Machines Corporation Method for generating a proximity model based on proximity rules
US6597193B2 (en) 2001-01-26 2003-07-22 Semiconductor Diagnostics, Inc. Steady state method for measuring the thickness and the capacitance of ultra thin dielectric in the presence of substantial leakage current
US6680621B2 (en) * 2001-01-26 2004-01-20 Semiconductor Diagnostics, Inc. Steady state method for measuring the thickness and the capacitance of ultra thin dielectric in the presence of substantial leakage current
CN1290168C (zh) * 2001-03-20 2006-12-13 数字技术股份有限公司 提供掩模缺陷可印刷能力分析的系统和方法
US6873720B2 (en) * 2001-03-20 2005-03-29 Synopsys, Inc. System and method of providing mask defect printability analysis
JP3973372B2 (ja) 2001-03-23 2007-09-12 株式会社日立製作所 荷電粒子線を用いた基板検査装置および基板検査方法
US6665065B1 (en) * 2001-04-09 2003-12-16 Advanced Micro Devices, Inc. Defect detection in pellicized reticles via exposure at short wavelengths
JP4038356B2 (ja) 2001-04-10 2008-01-23 株式会社日立製作所 欠陥データ解析方法及びその装置並びにレビューシステム
JP4266082B2 (ja) 2001-04-26 2009-05-20 株式会社東芝 露光用マスクパターンの検査方法
JP4199939B2 (ja) 2001-04-27 2008-12-24 株式会社日立製作所 半導体検査システム
US20020186878A1 (en) 2001-06-07 2002-12-12 Hoon Tan Seow System and method for multiple image analysis
US6779159B2 (en) 2001-06-08 2004-08-17 Sumitomo Mitsubishi Silicon Corporation Defect inspection method and defect inspection apparatus
US6581193B1 (en) 2001-06-13 2003-06-17 Kla-Tencor Apparatus and methods for modeling process effects and imaging effects in scanning electron microscopy
US7382447B2 (en) * 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
US6593748B1 (en) 2001-07-12 2003-07-15 Advanced Micro Devices, Inc. Process integration of electrical thickness measurement of gate oxide and tunnel oxides by corona discharge technique
US20030014146A1 (en) * 2001-07-12 2003-01-16 Kabushiki Kaisha Toshiba Dangerous process/pattern detection system and method, danger detection program, and semiconductor device manufacturing method
JP2003031477A (ja) * 2001-07-17 2003-01-31 Hitachi Ltd 半導体装置の製造方法およびシステム
JP4122735B2 (ja) * 2001-07-24 2008-07-23 株式会社日立製作所 半導体デバイスの検査方法および検査条件設定方法
US7030997B2 (en) * 2001-09-11 2006-04-18 The Regents Of The University Of California Characterizing aberrations in an imaging lens and applications to visual testing and integrated circuit mask analysis
DK1387585T3 (da) * 2001-09-12 2005-07-25 Matsushita Electric Ind Co Ltd Billedkodningsfremgangsmåde og billedkodningsfremgangsmåde
JP3870052B2 (ja) 2001-09-20 2007-01-17 株式会社日立製作所 半導体装置の製造方法及び欠陥検査データ処理方法
JP3955450B2 (ja) * 2001-09-27 2007-08-08 株式会社ルネサステクノロジ 試料検査方法
US6670082B2 (en) 2001-10-09 2003-12-30 Numerical Technologies, Inc. System and method for correcting 3D effects in an alternating phase-shifting mask
WO2003036549A1 (en) 2001-10-25 2003-05-01 Kla-Tencor Technologies Corporation Apparatus and methods for managing reliability of semiconductor devices
US6948141B1 (en) 2001-10-25 2005-09-20 Kla-Tencor Technologies Corporation Apparatus and methods for determining critical area of semiconductor design data
US6751519B1 (en) 2001-10-25 2004-06-15 Kla-Tencor Technologies Corporation Methods and systems for predicting IC chip yield
US6918101B1 (en) 2001-10-25 2005-07-12 Kla -Tencor Technologies Corporation Apparatus and methods for determining critical area of semiconductor design data
US6734696B2 (en) 2001-11-01 2004-05-11 Kla-Tencor Technologies Corp. Non-contact hysteresis measurements of insulating films
US7003355B1 (en) * 2001-11-20 2006-02-21 Suaning Gregg J Vision prosthesis for the blind and method for implementing same
US6886153B1 (en) * 2001-12-21 2005-04-26 Kla-Tencor Corporation Design driven inspection or measurement for semiconductor using recipe
US6789032B2 (en) 2001-12-26 2004-09-07 International Business Machines Corporation Method of statistical binning for reliability selection
US6658640B2 (en) 2001-12-26 2003-12-02 Numerical Technologies, Inc. Simulation-based feed forward process control
US6906305B2 (en) 2002-01-08 2005-06-14 Brion Technologies, Inc. System and method for aerial image sensing
US7236847B2 (en) 2002-01-16 2007-06-26 Kla-Tencor Technologies Corp. Systems and methods for closed loop defect reduction
US6691052B1 (en) * 2002-01-30 2004-02-10 Kla-Tencor Corporation Apparatus and methods for generating an inspection reference pattern
JP3629244B2 (ja) 2002-02-19 2005-03-16 本多エレクトロン株式会社 ウエーハ用検査装置
US20030223639A1 (en) 2002-03-05 2003-12-04 Vladimir Shlain Calibration and recognition of materials in technical images using specific and non-specific features
US20030192015A1 (en) 2002-04-04 2003-10-09 Numerical Technologies, Inc. Method and apparatus to facilitate test pattern design for model calibration and proximity correction
US6966047B1 (en) 2002-04-09 2005-11-15 Kla-Tencor Technologies Corporation Capturing designer intent in reticle inspection
JP2003315973A (ja) * 2002-04-19 2003-11-06 Fujitsu Ltd マスク設計装置、マスク設計方法、プログラムおよび半導体装置製造方法
US6642066B1 (en) 2002-05-15 2003-11-04 Advanced Micro Devices, Inc. Integrated process for depositing layer of high-K dielectric with in-situ control of K value and thickness of high-K dielectric layer
US7152215B2 (en) 2002-06-07 2006-12-19 Praesagus, Inc. Dummy fill for integrated circuits
US7393755B2 (en) 2002-06-07 2008-07-01 Cadence Design Systems, Inc. Dummy fill for integrated circuits
WO2003104921A2 (en) 2002-06-07 2003-12-18 Praesagus, Inc. Characterization adn reduction of variation for integrated circuits
US7363099B2 (en) * 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US20030229875A1 (en) 2002-06-07 2003-12-11 Smith Taber H. Use of models in integrated circuit fabrication
US7124386B2 (en) 2002-06-07 2006-10-17 Praesagus, Inc. Dummy fill for integrated circuits
US6828542B2 (en) 2002-06-07 2004-12-07 Brion Technologies, Inc. System and method for lithography process monitoring and control
JP2004031709A (ja) * 2002-06-27 2004-01-29 Seiko Instruments Inc ウエハレス測長レシピ生成装置
US6777676B1 (en) 2002-07-05 2004-08-17 Kla-Tencor Technologies Corporation Non-destructive root cause analysis on blocked contact or via
JP4073265B2 (ja) 2002-07-09 2008-04-09 富士通株式会社 検査装置及び検査方法
US7012438B1 (en) * 2002-07-10 2006-03-14 Kla-Tencor Technologies Corp. Methods and systems for determining a property of an insulating film
US20040008879A1 (en) * 2002-07-11 2004-01-15 United Microelectronics Corp. Method for detecting wafer level defect
WO2004008246A2 (en) 2002-07-12 2004-01-22 Cadence Design Systems, Inc. Method and system for context-specific mask writing
EP1579274A4 (en) 2002-07-12 2006-06-07 Cadence Design Systems Inc METHOD AND SYSTEM FOR CONTROLLING MASKS ACCORDING TO THE CONTEXT
US7418124B2 (en) * 2002-07-15 2008-08-26 Kla-Tencor Technologies Corp. Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
US6902855B2 (en) 2002-07-15 2005-06-07 Kla-Tencor Technologies Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
US6775818B2 (en) 2002-08-20 2004-08-10 Lsi Logic Corporation Device parameter and gate performance simulation based on wafer image prediction
US6784446B1 (en) * 2002-08-29 2004-08-31 Advanced Micro Devices, Inc. Reticle defect printability verification by resist latent image comparison
US7043071B2 (en) * 2002-09-13 2006-05-09 Synopsys, Inc. Soft defect printability simulation and analysis for masks
KR100474571B1 (ko) 2002-09-23 2005-03-10 삼성전자주식회사 웨이퍼의 패턴 검사용 기준 이미지 설정 방법과 이 설정방법을 이용한 패턴 검사 방법 및 장치
US7061625B1 (en) 2002-09-27 2006-06-13 Kla-Tencor Technologies Corporation Method and apparatus using interferometric metrology for high aspect ratio inspection
US7027143B1 (en) * 2002-10-15 2006-04-11 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging at off-stepper wavelengths
US7379175B1 (en) 2002-10-15 2008-05-27 Kla-Tencor Technologies Corp. Methods and systems for reticle inspection and defect review using aerial imaging
US7123356B1 (en) 2002-10-15 2006-10-17 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging and die-to-database detection
US6807503B2 (en) 2002-11-04 2004-10-19 Brion Technologies, Inc. Method and apparatus for monitoring integrated circuit fabrication
US7386839B1 (en) 2002-11-06 2008-06-10 Valery Golender System and method for troubleshooting software configuration problems using application tracing
US7457736B2 (en) 2002-11-21 2008-11-25 Synopsys, Inc. Automated creation of metrology recipes
AU2003299606A1 (en) * 2002-12-13 2004-07-09 Bruce W. Smith Method for aberration detection and measurement
US6882745B2 (en) * 2002-12-19 2005-04-19 Freescale Semiconductor, Inc. Method and apparatus for translating detected wafer defect coordinates to reticle coordinates using CAD data
US6718526B1 (en) * 2003-02-07 2004-04-06 Kla-Tencor Corporation Spatial signature analysis
US7030966B2 (en) * 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
US7053355B2 (en) * 2003-03-18 2006-05-30 Brion Technologies, Inc. System and method for lithography process monitoring and control
US7508973B2 (en) 2003-03-28 2009-03-24 Hitachi High-Technologies Corporation Method of inspecting defects
US6859746B1 (en) 2003-05-01 2005-02-22 Advanced Micro Devices, Inc. Methods of using adaptive sampling techniques based upon categorization of process variations, and system for performing same
JP2004340652A (ja) 2003-05-14 2004-12-02 Hitachi Ltd 欠陥検査装置および陽電子線応用装置
US6777147B1 (en) 2003-05-21 2004-08-17 International Business Machines Corporation Method for evaluating the effects of multiple exposure processes in lithography
US9002497B2 (en) * 2003-07-03 2015-04-07 Kla-Tencor Technologies Corp. Methods and systems for inspection of wafers and reticles using designer intent data
US7135344B2 (en) * 2003-07-11 2006-11-14 Applied Materials, Israel, Ltd. Design-based monitoring
US6988045B2 (en) 2003-08-04 2006-01-17 Advanced Micro Devices, Inc. Dynamic metrology sampling methods, and system for performing same
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US7103484B1 (en) 2003-10-31 2006-09-05 Kla-Tencor Technologies Corp. Non-contact methods for measuring electrical thickness and determining nitrogen content of insulating films
JP4351522B2 (ja) 2003-11-28 2009-10-28 株式会社日立ハイテクノロジーズ パターン欠陥検査装置およびパターン欠陥検査方法
US8151220B2 (en) * 2003-12-04 2012-04-03 Kla-Tencor Technologies Corp. Methods for simulating reticle layout data, inspecting reticle layout data, and generating a process for inspecting reticle layout data
US7243331B2 (en) * 2004-01-28 2007-07-10 Applied Materials, Israel, Ltd. Method and system for controlling the quality of a reticle
JP4758358B2 (ja) 2004-01-29 2011-08-24 ケーエルエー−テンカー コーポレイション レチクル設計データにおける欠陥を検出するためのコンピュータに実装される方法
US7194709B2 (en) * 2004-03-05 2007-03-20 Keith John Brankner Automatic alignment of integrated circuit and design layout of integrated circuit to more accurately assess the impact of anomalies
US7171334B2 (en) * 2004-06-01 2007-01-30 Brion Technologies, Inc. Method and apparatus for synchronizing data acquisition of a monitored IC fabrication process
US7207017B1 (en) * 2004-06-10 2007-04-17 Advanced Micro Devices, Inc. Method and system for metrology recipe generation and review and analysis of design, simulation and metrology results
ATE512425T1 (de) * 2004-08-09 2011-06-15 Bracco Suisse Sa Verfahren und anordnung zur bildregistrierung in der medizinischen bildgebung basierend auf mehreren masken
US7310796B2 (en) * 2004-08-27 2007-12-18 Applied Materials, Israel, Ltd. System and method for simulating an aerial image
JP4904034B2 (ja) * 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体
US7142992B1 (en) 2004-09-30 2006-11-28 Kla-Tencor Technologies Corp. Flexible hybrid defect classification for semiconductor manufacturing
KR20070104331A (ko) * 2004-10-12 2007-10-25 케이엘에이-텐코 테크놀로지스 코퍼레이션 표본 상의 결함들을 분류하기 위한 컴퓨터-구현 방법 및시스템
US7729529B2 (en) 2004-12-07 2010-06-01 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting and/or sorting defects in a design pattern of a reticle
JP2006200972A (ja) 2005-01-19 2006-08-03 Tokyo Seimitsu Co Ltd 画像欠陥検査方法、画像欠陥検査装置及び外観検査装置
US7475382B2 (en) 2005-02-24 2009-01-06 Synopsys, Inc. Method and apparatus for determining an improved assist feature configuration in a mask layout
US7813541B2 (en) 2005-02-28 2010-10-12 Applied Materials South East Asia Pte. Ltd. Method and apparatus for detecting defects in wafers
US7804993B2 (en) 2005-02-28 2010-09-28 Applied Materials South East Asia Pte. Ltd. Method and apparatus for detecting defects in wafers including alignment of the wafer images so as to induce the same smear in all images
US7496880B2 (en) 2005-03-17 2009-02-24 Synopsys, Inc. Method and apparatus for assessing the quality of a process model
US7760347B2 (en) 2005-05-13 2010-07-20 Applied Materials, Inc. Design-based method for grouping systematic defects in lithography pattern writing system
US7760929B2 (en) * 2005-05-13 2010-07-20 Applied Materials, Inc. Grouping systematic defects with feedback from electrical inspection
US7853920B2 (en) 2005-06-03 2010-12-14 Asml Netherlands B.V. Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
US7564017B2 (en) 2005-06-03 2009-07-21 Brion Technologies, Inc. System and method for characterizing aerial image quality in a lithography system
US7501215B2 (en) 2005-06-28 2009-03-10 Asml Netherlands B.V. Device manufacturing method and a calibration substrate
US20070002322A1 (en) * 2005-06-30 2007-01-04 Yan Borodovsky Image inspection method
US7769225B2 (en) * 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
US7488933B2 (en) * 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
KR100958714B1 (ko) * 2005-08-08 2010-05-18 브라이언 테크놀로지스, 인코포레이티드 리소그래피 공정의 포커스-노광 모델을 생성하는 시스템 및방법
US7749666B2 (en) * 2005-08-09 2010-07-06 Asml Netherlands B.V. System and method for measuring and analyzing lithographic parameters and determining optimal process corrections

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101866373A (zh) * 2009-04-15 2010-10-20 新思科技有限公司 用于电子设计自动化的执行监视器
CN102683165A (zh) * 2011-03-18 2012-09-19 敖翔科技股份有限公司 智能缺陷筛选及取样方法
CN102683165B (zh) * 2011-03-18 2015-03-25 敖翔科技股份有限公司 智能缺陷筛选及取样方法
CN103345124A (zh) * 2013-06-27 2013-10-09 上海华力微电子有限公司 一种准确和定量的缺陷检测确认光刻工艺窗口的方法
CN103345124B (zh) * 2013-06-27 2016-08-10 上海华力微电子有限公司 一种准确和定量的缺陷检测确认光刻工艺窗口的方法
CN106092872A (zh) * 2016-06-06 2016-11-09 深圳市公路交通工程试验检测中心 一种热熔型标线抗污染性能的检测方法

Also Published As

Publication number Publication date
CN1910516B (zh) 2011-01-12
WO2005073807A1 (en) 2005-08-11
US7646906B2 (en) 2010-01-12
KR101056142B1 (ko) 2011-08-10
US20060236294A1 (en) 2006-10-19
KR20060131860A (ko) 2006-12-20
JP4758358B2 (ja) 2011-08-24
US20060161452A1 (en) 2006-07-20
JP2007519981A (ja) 2007-07-19

Similar Documents

Publication Publication Date Title
CN1910516A (zh) 用于检测标线设计数据中的缺陷的计算机实现方法
TWI435165B (zh) 在基於繞射圖徵分析之設計佈局中最佳化圖案之選擇
US8102408B2 (en) Computer-implemented methods and systems for determining different process windows for a wafer printing process for different reticle designs
US7941767B2 (en) Photomask management method and photomask wash limit generating method
US9262579B2 (en) Integration of lithography apparatus and mask optimization process with multiple patterning process
US7332251B2 (en) Pattern decomposition and optical proximity correction method for double exposure when forming photomasks
US8458626B1 (en) Method for calibrating an SRAF printing model
CN1828614A (zh) 电路设计图案的结构元素几何尺寸的优化方法及其用途
CN101042526A (zh) 掩膜数据的修正方法、光掩膜和光学像的预测方法
CN1828613A (zh) 确定掩模布局中改善的辅助特征配置的方法和装置
JP2007183630A (ja) 多重露光プロセスに用いられるモデルベースのジオメトリ分解のための方法、プログラム製品及び装置
WO2006055822A2 (en) Method and system for topography-aware reticle enhancement
US20160162626A1 (en) Lithography process window prediction based on design data
JP2004184633A (ja) フォトマスクの製造方法及び半導体装置の製造方法
TW200527120A (en) A method for performing transmission tuning of a mask pattern to improve process latitude
US10620547B2 (en) Method for correcting a mask layout and method of fabricating a semiconductor device using the same
CN1532891A (zh) 光掩模、光斑测定机构和测定方法及曝光方法
TWI385546B (zh) 用以最佳化形成於基板上之設計的方法及程式產品
US9223911B2 (en) Optical model employing phase transmission values for sub-resolution assist features
WO2007038972A1 (en) Method of making an integrated circuit
JP6338368B2 (ja) パターンの光学像の評価方法
US20050086629A1 (en) Method of defining forbidden pitches for a lithography exposure tool
JP2004157160A (ja) プロセスモデル作成方法、マスクパターン設計方法、マスクおよび半導体装置の製造方法
US11500283B2 (en) Mask layout correction method and a method for fabricating semiconductor devices using the same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant