KR20180030228A - 웨이퍼-레벨 결함 인쇄성을 예측하기 위한 장치 및 방법들 - Google Patents

웨이퍼-레벨 결함 인쇄성을 예측하기 위한 장치 및 방법들 Download PDF

Info

Publication number
KR20180030228A
KR20180030228A KR1020187006673A KR20187006673A KR20180030228A KR 20180030228 A KR20180030228 A KR 20180030228A KR 1020187006673 A KR1020187006673 A KR 1020187006673A KR 20187006673 A KR20187006673 A KR 20187006673A KR 20180030228 A KR20180030228 A KR 20180030228A
Authority
KR
South Korea
Prior art keywords
reticle
images
near field
test
different
Prior art date
Application number
KR1020187006673A
Other languages
English (en)
Inventor
압둘라흐만 세즈기너 (아포)
루이팡 쉬
Original Assignee
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/822,571 external-priority patent/US9547892B2/en
Application filed by 케이엘에이-텐코 코포레이션 filed Critical 케이엘에이-텐코 코포레이션
Publication of KR20180030228A publication Critical patent/KR20180030228A/ko

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/0006Industrial image inspection using a design-rule based approach
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N2021/95676Masks, reticles, shadow masks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10016Video; Image sequence
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10141Special mode during image acquisition
    • G06T2207/10144Varying exposure
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10141Special mode during image acquisition
    • G06T2207/10152Varying illumination
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Quality & Reliability (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Theoretical Computer Science (AREA)
  • Biochemistry (AREA)
  • Pathology (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

포토리소그래피 레티클을 퀄리파잉하기 위한 방법들 및 장치가 개시된다. 레티클 검사 툴은 캘리브레이션 레티클의 패턴 영역들 각각으로부터 상이한 이미징 구성들의 이미지들을 취득하는데 사용된다. 레티클 근거리 장(reticle near field)은 캘리브레이션 레티클의 각각의 패턴 영역으로부터의 취득된 이미지들에 기초하여 캘리브레이션 레티클의 패턴 영역들 각각에 대해 복원된다. 캘리브레이션 레티클에 대한 복원된 레티클 근거리 장을 사용하여, 웨이퍼 이미지들을 시뮬레이팅하기 위한 리소그래피 모델이 레티클 근거리 장에 기초하여 생성된다. 이미지들은 그 후 테스트 레티클의 패턴 영역들 각각으로부터의 상이한 이미징 구성들에서 취득된다. 테스트 레티클에 대한 레티클 근거리 장은 그 후 테스트 레티클로부터 취득된 이미지들에 기초하여 복원된다. 생성된 모델은 복수의 테스트 웨이퍼 이미지들을 시뮬레이팅하기 위해 테스트 레티클에 대한 레티클 근거리 장에 적용되고 테스트 레티클이 불안정하거나 결함이 있는 웨이퍼를 초래할 가능성이 있는지를 결정하도록 시뮬레이팅된 테스트 웨이퍼 이미지들이 분석된다.

Description

웨이퍼-레벨 결함 인쇄성을 예측하기 위한 장치 및 방법들
관련 출원들의 상호 참조
이 출원은, Abdurrahman Sezginer 등에 의해 2015년 5월1일 출원된 이전 출원인 미국 출원 번호 제14/702,336호의 일부 계속 출원이며 그의 이익을 주장하고, 이 출원은 2014년 5월 6일 출원된 미국 가출원 번호 제61/988,909호 및 2014년 9월 23일 출원된 미국 가출원 번호 제62/054,185호를 우선권으로 주장한다. 이 출원들은 모든 목적들을 위해 그 전체가 인용에 의해 본원에 포함된다.
본 발명은 일반적으로 레티클 검사의 분야에 관한 것이다. 보다 구체적으로, 본 발명은 패턴 퀄리피케이션(pattern qualification)에 관한 것이다.
일반적으로, 반도체 제조 산업은 실리콘과 같은 기판 상에 적층되고 패터닝되는 반도체 재료들을 사용하여 집적 회로들을 제조하기 위한 매우 복잡한 기술들을 포함한다. 대규모의 회로 집적 및 반도체 디바이스들의 크기 감소로 인해, 제조된 디바이스들은 결함에 점점 더 민감해진다. 즉, 디바이스에서 오류들을 야기하는 결함들이 점점 더 작아지고 있다. 디바이스는 최종 사용자들 또는 고객들에게 출하(shipment) 전에 결함이 없다.
집적 회로는 통상적으로 복수의 레티클들로 제조된다. 초기에, 회로 설계자들은 특정 집적 회로(IC) 설계를 기술하는 회로 패턴 데이터를, 레티클 생산 시스템 또는 레티클 기록기(reticle writer)에 제공한다. 회로 패턴 데이터는 통상적으로, 제조된 IC 디바이스의 물리적 층들의 표현 레이아웃(representational layout)의 형태이다. 표현 레이아웃은 IC 디바이스의 각각의 물리적 층(예를 들어, 게이트 산화물, 폴리실리콘, 금속화(metallization) 등)에 대한 표현 층을 포함하며, 각각의 표현 층은 특정 IC 디바이스의 층의 패터닝을 정의하는 복수의 다각형으로 구성된다. 레티클 기록기는, 특정 IC 설계를 제조하기 위해 나중에 사용될 복수의 레티클들을 기록하는데 회로 패턴 데이터를 사용한다(예를 들어, 통상적으로, 전자 빔 기록기 또는 레이저 스캐너가 레티클 패턴을 노출하는데 사용됨).
레티클 또는 포토마스크는 집적 회로와 같은 전자 디바이스에서 동일평면 피처들(coplanar features)의 패턴을 함께 정의하는 투명 및 불투명 영역들, 그리고, 때로는 반-투명 및 위상 시프트 영역들을 적어도 포함하는 광학 엘리먼트이다. 레티클들은 에칭, 이온 주입 또는 다른 제조 프로세스들을 위해 반도체 웨이퍼의 특정 영역을 정의하도록 포토리소그래피 동안 사용된다.
각각의 레티클 또는 레티클들의 그룹의 제조 후에, 각각의 새로운 레티클은 통상적으로, 웨이퍼 제조에 사용하기에 적격이다. 예를 들어, 레티클 패턴들은 인쇄 가능한 결함들이 없을 것을 요구한다. 따라서, 개선된 레티클 검사 및 퀄리피케이션 기술들에 대한 계속적인 요구가 있다.
다음은 본 발명의 특정 실시예들의 기본적인 이해를 제공하기 위해 본 개시의 단순화된 요약을 제시한다. 이 요약은 본 개시의 광범위한 개요가 아니며 이것이 본 발명의 핵심적인/중요한 엘리먼트들을 식별하거나 본 발명의 범위를 한정하진 않는다. 그 유일한 목적은 추후에 제시되는 보다 상세한 설명의 전제부로서 단순화된 형태로 본원에서 개시되는 일부 개념들을 제시하는 것이다.
일 실시예에서, 포토리소그래피 레티클을 퀄리파잉(qualifying)하는 방법이 개시된다. 광학 레티클 검사 툴은 캘리브레이션 레티클의 복수의 패턴 영역들 각각으로부터 상이한 이미징 구성들의 이미지들을 취득하는데 사용된다. 캘리브레이션 레티클의 패턴 영역들 각각에 대한 레티클 근거리 장(reticle near field)은 캘리브레이션 레티클의 각각의 패턴 영역으로부터의 취득된 이미지들에 기초하여 복원된다. 캘리브레이션 레티클에 대한 복원된 레티클 근거리 장은, 레티클 근거리 장에 기초하여 웨이퍼 이미지들을 시뮬레이팅하기 위한 리소그래피 모델을 생성하는데 사용된다. 광학 레티클 검사 툴은 또한 테스트 레티클의 복수의 패턴 영역들 각각으로부터 상이한 이미징 구성들의 이미지들을 취득하는데 사용된다. 테스트 레티클의 패턴 영역들 각각에 대한 레티클 근거리 장은 테스트 레티클의 각각의 패턴 영역으로부터의 취득된 이미지들에 기초하여 복원된다. 생성된 모델은 그 후 테스트 웨이퍼 이미지들을 시뮬레이팅하기 위해 테스트 레티클에 대한 레티클 근거리 장에 적용된다. 그 후, 테스트 레티클이 불안정하거나 결함이 있는 웨이퍼를 초래할 가능성이 있는지를 결정하도록 시뮬레이팅된 테스트 웨이퍼 이미지들이 분석될 수 있다.
특정 구현에서, 레티클 근거리 장은 레티클 근거리 장을 결정하기 위한 준-뉴톤(quasi-Newton) 또는 공액 경도(conjugate gradient) 기술을 사용하여 복원된다. 다른 양상에서, 레티클 근거리 장은 취득된 이미지들과 레티클 근거리 장으로부터 계산된 이미지들 간의 복수의 차이 자승(squared difference)의 합을 최소화하는 회귀 기술에 의해 복원된다. 다른 실시예에서, 레티클 근거리 장은 홉킨스 근사(Hopkins approximation)를 사용하여 복원된다. 다른 예에서, 레티클 근거리 장은 레티클을 제조하는데 사용된 설계 데이터베이스를 사용함 없이 복원된다. 일 양상에서, 취득된 이미지들은 동일한 레티클 근거리 장을 발생시키기 위해 선택된 상이한 이미징 조건들에서 취득되는 적어도 3개의 이미지들을 포함한다. 추가의 양상에서, 상이한 프로세스 조건들은, 상이한 초점 세팅들, 상이한 조명 방향들 또는 패턴들, 전체 조명 퓨필 또는 조명 퓨필의 상이한 부분들에 대한 상이한 선형 편광, 및/또는 컬렉션 빔의 상이한 부분들을 가리기 위한 상이한 아포디제이션 세팅들(apodization settings)을 포함한다.
다른 실시예에서, 리소그래피 모델은 특정 포토레지스트 재료의 효과를 비롯해서, 포토리소그래피 프로세스를 시뮬레이팅한다. 일 양상에서, 리소그래피 모델은, 모델로부터 발생된 웨이퍼 이미지들을, 캘리브레이션 레티클을 사용하여 제조된 웨이퍼의 기준 이미지들과 비교하고, 취득된 이미지들과 기준 이미지들 사이의 차이가 최소화될 때까지 모델의 모델 파라미터들을 조정함으로써 생성된다. 다른 양상에서, 캘리브레이션 레티클은 또한 광학 근접도 정정(optical proximity correction; OPC) 모델을 캘리브레이팅하는데 사용된다. 특정 구현에서, 모델은 상이한 리소그래피 프로세스 조건들 하에서 테스트 레티클 근거리 장에 적용된다. 이 양상에서, 시뮬레이팅된 테스트 웨이퍼 이미지들을 분석하는 것은 상이한 프로세스 조건들을 갖고 동일한 레티클 영역과 연관되는 시뮬레이팅된 테스트 이미지들을 비교함으로써, 테스트 레티클이 상이한 리소그래피 프로세스 조건들 하에서 불안정한 웨이퍼를 초래할 가능성이 있는지를 결정하는 것을 포함한다. 추가의 양상에서, 시뮬레이팅된 테스트 이미지들의 비교가 미리 정의된 임계치 초과의 차이를 발생시키면, 테스트 레티클은 불안정한 것으로 결정된다. 또 다른 양상에서, 상이한 레티클 영역들은 상이한 미리 정의된 임계치들을 갖는다.
추가의 애플리케이션에서, 방법은, 테스트 레티클이 불안정하거나 결함이 있는 웨이퍼를 초래할 가능성이 있다는 결정에 기초하여, 테스트 레티클을 수리하거나, 테스트 레티클을 폐기하거나, 또는 그러한 테스트 레티클로 제조된 웨이퍼의 특정 영역들을 모니터링하는 것을 포함한다. 다른 양상에서, 시뮬레이팅된 테스트 이미지들은, 테스트 레티클이 불안정하거나 결함이 있는 웨이퍼를 초래할 가능성이 있는지를 결정하기 위해 시뮬레이팅된 테스트 이미지들을, 프리-OPC 설계 데이터베이스로부터 형성된 이미지들과 비교함으로써 분석된다.
대안적인 실시예에서, 본 발명은 포토리소그래피 레티클을 퀄리파잉하기 위한 검사 시스템에 관한 것이다. 시스템은 입사 빔을 생성하는 광 소스 및 입사 빔을 레티클 상으로 지향시키기 위한 조명 광학 모듈을 포함한다. 시스템은 또한, 레티클의 각각의 패턴 영역으로부터의 출력 빔을 적어도 하나의 센서로 지향시키기 위한 컬렉션 광학 모듈 및 출력 빔을 검출하고 출력 빔에 기초하여 이미지 또는 신호를 생성하기 위한 적어도 하나의 센서를 포함한다. 시스템은 다음의 동작들을 수행하도록 구성된 제어기를 더 포함하며, 다음의 동작들은 (i) 캘리브레이션 레티클의 복수의 패턴 영역들 각각으로부터 상이한 이미징 구성들의 복수의 이미지들을 취득하게 하는 것, (ii) 캘리브레이션 레티클의 각각의 패턴 영역으로부터의 취득된 이미지들에 기초하여 캘리브레이션 레티클의 패턴 영역들 각각에 대한 레티클 근거리 장을 복원하는 것, (iii) 캘리브레이션 레티클에 대한 복원된 레티클 근거리 장을 사용하여, 레티클 근거리 장에 기초하여 복수의 웨이퍼 이미지들을 시뮬레이팅하기 위한 리소그래피 모델을 생성하는 것, (iv) 테스트 레티클의 복수의 패턴 영역들 각각으로부터 상이한 이미징 구성들의 복수의 이미지들을 취득하게 하는 것, (v) 테스트 레티클의 각각의 패턴 영역으로부터의 취득된 이미지들에 기초하여 테스트 레티클의 패턴 영역들 각각에 대한 레티클 근거리 장을 복원하는 것, (vi) 복수의 테스트 웨이퍼 이미지들을 시뮬레이팅하기 위해, 생성된 모델을 테스트 레티클에 대한 레티클 근거리 장에 적용하는 것; 및 (vii) 테스트 레티클이 불안정하거나 결함이 있는 웨이퍼를 초래할 가능성이 있는지를 결정하도록 시뮬레이팅된 테스트 웨이퍼 이미지들을 분석하는 것이다. 제어기 및 시스템은 또한 위에서 설명된 방법 동작들 중 임의의 것을 수행하도록 추가로 구성될 수 있다.
본 발명의 이들 및 다른 양상들은 도면들을 참조하여 이하에 추가로 설명된다.
도 1은 본 발명의 일 실시예에 따른 모델 캘리브레이션 절차를 예시하는 흐름도이다.
도 2a 및 도 2b는 본 발명의 일 실시예에 따른 레티클 퀄리피케이션 프로세스를 나타내는 흐름도를 예시한다.
도 3a는 본 발명의 예시적인 애플리케이션에 따른 레티클 패턴 안정성을 결정하기 위한 프로세스를 예시하는 흐름도이다.
도 3b는 본 발명의 대안적인 실시예에 따른 결함 검사 절차를 예시하는 흐름도이다.
도 4는 본 발명의 기술들이 구현될 수 있는 예시적인 검사 시스템의 개략적 표현이다.
도 5a는 특정한 실시예들에 따른 포토마스크로부터 웨이퍼 상으로 마스크 패턴을 전사하기 위한 리소그래피 시스템의 간략화된 개략적 표현이다.
도 5b는 특정 실시예들에 따른 포토마스크 검사 장치의 개략적 표현을 제공한다.
아래의 설명에서, 다수의 특정 세부사항들이 본 발명의 완전한 이해를 제공하기 위해 기술된다. 본 발명은 이러한 특정 세부사항들의 일부 또는 전부 없이 실시될 수 있다. 다른 인스턴스들에서, 잘 알려진 프로세스 동작들 또는 장치 컴포넌트들은 본 발명을 불필요하게 모호하게 하지 않기 위해 상세히 설명되지 않는다. 본 발명이 특정 실시예들과 공조하여 설명될 것이지만, 본 발명을 실시예들로 제한하고자 하는 것이 아니라는 것이 이해될 것이다.
"레티클", "마스크" 및 "포토마스크"라는 용어들은 본원에서 상호 교환 가능하게 사용되며, 일반적으로 각각이 유리, 붕규산 유리, 석영 또는 불투명 재료의 층이 형성되어 있는 용융 실리카(fused silica)와 같은 투명 기판을 포함할 수 있다. 불투명(또는 실질적으로 불투명) 재료는 포토리소그래피 광(예를 들어, 딥(deep) UV)을 완전히 또는 부분적으로 차단하는 임의의 적합한 재료를 포함할 수 있다. 예시적인 재료들은, 크롬, 규화 몰리브덴(MoSi), 규화 탄탈룸, 규화 텅스텐, 유리 상의 불투명 MoSi(opaque MoSi on glass; OMOG) 등을 포함한다. 폴리실리콘 막이 또한 불투명 층과 투명 기판 사이에 추가되어 접착을 개선시킬 수 있다. 산화 몰리브덴(MoO2), 산화 텅스텐(WO2), 산화 티탄(TiO2) 또는 산화 크롬(CrO2)과 같은 저반사 막이 불투명 재료 위에 형성될 수 있다.
레티클이란 용어는, 클리어-필드(clear-field) 레티클, 다크-필드(dark-field) 레티클, 바이너리 레티클, 위상-시프트 마스크(PSM), 교호 PSM, 감쇠 또는 하프톤 PSM, 삼원 감쇠된(ternary attenuated) PSM, 크롬리스 위상 리소그래피 PSM 및 크롬리스 위상 리소그래피(CPL)를 포함(그러나 이것으로 제한되지 않음)하는 상이한 타입들의 레티클들을 지칭한다. 클리어-필드 레티클은 투명한 필드 또는 배경 영역들을 갖고 다크-필드 레티클은 불투명한 필드 또는 배경 영역들을 갖는다. 바이너리 레티클은 투명하거나 불투명한 패터닝된 영역들(patterned areas)을 갖는 레티클이다. 예를 들어, 크롬 금속 흡착막에 의해 정의된 패턴을 갖는 투명한 용융 실리카 블랭크(transparent fused silica blank)로 제조된 포토마스크가 사용될 수 있다. 바이너리 레티클들은 위상-시프트 마스크들(PSM)과 상이한데, 이들 중 하나의 타입은 광을 부분적으로만 투과시키는 막들을 포함할 수 있으며, 이러한 레티클들은 하프톤 또는 임베딩된 위상-시프트 마스크(EPSM)들, 예컨대, ArF 및 KrF 마스크들로서 흔히 지칭될 수 있고, 위상-시프트 재료가 레티클의 교호하는 클리어 공간들 상에 배치되면, 레티클은 교호 PSM, ALT PSM 또는 레븐슨(Levenson) PSM으로서 지칭된다. 임의의 레이아웃 패턴들에 적용되는 하나의 타입의 위상-시프트 재료는, 불투명 재료를, 부분적으로 투과성인 또는 "하프톤(halftone)" 막으로 대체함으로써 제조될 수 있는 감쇠 또는 하프톤 PSM으로서 지칭된다. 삼원 감쇠된 PSM은 완전히 불투명한 피처들을 또한 포함하는 감쇠된 PSM이다.
이러한 마스크를 사용하여 웨이퍼들을 제조하기 전에 또는 제조 시설에 마스크들을 출하하기 전에 각각의 마스크의 결함들을 검출하는 것이 유익할 것이다. 본 발명의 일 실시예는 검사 툴로부터 획득된 이러한 레티클의 이미지들을 사용하여 웨이퍼-레벨 거동들을 예측함으로써 마스크를 퀄리파잉(qualifying)하기 위한 기술들을 포함한다. 웨이퍼-레벨 거동을 예측하기 위한 모델은 먼저 캘리브레이션 레티클(calibration reticle)과 같은 레티클로부터의 레티클 이미지들로부터 생성되며, 이러한 모델은 그 후 동일 또는 다른 레티클의 레티클 이미지들에 기초하여 웨이퍼-레벨의 결함 검출에 사용될 수 있다.
캘리브레이션 실시예들 :
본 발명의 특정 실시예들은 캘리브레이션 마스크로부터 복원된 마스크 근거리 장(mask near field)에 기초하여 리소그래피 모델을 캘리브레이팅하기 위한 기술들을 제공하며, 이 캘리브레이팅된 모델은 모델-사용 실시예들에 관하여 아래에서 추가로 설명되는 바와 같이 결함 검출 동안 또는 다른 목적들을 위해 추후에 사용될 수 있다. 이러한 캘리브레이션 프로세스는, 설계 데이터베이스에 기초하는 캘리브레이션 프로세스와 같은 다른 기술들과 비교하면, 보다 정확한 리소그래피 모델을 발생시킨다. 설계 데이터베이스 접근법은, 설계 데이터베이스의 지오메트리 형상들(geometric shapes)이 제조된 마스크 상의 패턴을 정확하게 표현한다고 가정하는데, 통상적으로는, 정확하게 표현하지 않는다. 다른 캘리브레이션 기술들은 제조된 마스크의 패턴 및 재료들이 미리 선택된 3차원 프로파일들 및 재료 특성 설명들의 세트에 의해 정확하게 표현될 수 있다는 가정을 한다. 대조적으로, 본원에서 설명된 바와 같은 특정 캘리브레이션 실시예들은 리소그래피 모델을 정확하게 캘리브레이팅하도록 실제 레티클로부터 복원되는 마스크 근거리 장을 이용함으로써 이러한 모델링 결점들을 회피한다.
도 1은 본 발명의 일 실시예에 따른 모델 캘리브레이션 절차(100)를 예시하는 흐름도이다. 특정 레티클 또는 레티클들의 세트에 대한 아래의 캘리브레이션 프로세스(100)는 이러한 레티클(들)을 통한 임의의 웨이퍼들의 제조 이전에 또는 대량의 웨이퍼 제조의 시작 이전에 수행될 수 있다.
처음에, 동작(102)에서, 마스크 검사 툴을 사용하여 상이한 이미징 구성들로 캘리브레이션 마스크의 적어도 3개의 이미지들이 취득된다. 대안적으로, 2개의 이미지들이 사용될 수 있지만, 3개의 이미지들을 사용하는 것이 잘 작동하는 것으로 밝혀졌다. 본원에서 설명되는 특정 실시예들에서, 이 캘리브레이션 프로세스의 결과는 결국, 레티클 이미지들에 기초하여 다른 레티클들에 대한 결함 검출을 위해 사용될 수 있다. 따라서, 캘리브레이션 레티클의 이미지들은 바람직하게는, 다른 레티클들의 검사를 위해 사용되는 레티클 검사 시스템의 검출기 또는 유사하게 구성된 레티클 검사 시스템의 유사하게 구성된 검출기(예를 들어, 검사를 위해 사용되는 레티클 검사 시스템과 동일한 제조품(make) 및 모델의 상이한 레티클 검사 시스템)로 취득된다. 즉, 캘리브레이션을 위해 사용될 수 있는 이미지들은 바람직하게는, 검사를 위해 사용되는 것과 동일한 광학 조건들 하에서 취득된다. 이러한 방식으로, 조명하는 전자기파들과 레티클의 상호 작용은 가능한 한 직접적으로 측정될 수 있다.
캘리브레이션 레티클은 결함 검출을 위해 검사되거나 계측 목적들을 위해 측정되는 레티클과 실질적으로 유사한 특성(들)을 가져야 한다. 예를 들어, 캘리브레이션 레티클 및 테스트 레티클은 바람직하게는, 실질적으로 동일한 두께들 및 조성물들(compositions)을 갖는 실질적으로 동일한 재료들로 형성된다. 또한, 2개의 레티클들은 동일한 프로세스를 사용하여 형성될 수 있다. 2개의 레티클들은, 레티클들 상의 패턴들이 실질적으로 동일한 세그먼트들(예를 들어, 유사한 폭들을 갖는 라인들 등)로 분해될 수 있는 한, 그 위에 동일한 패턴들이 인쇄돼있을 필요는 없을 수 있다. 또한, 검사될 레티클 및 이미지들을 취득하는데 사용되는 레티클은 하나의 동일한 레티클일 수 있다.
동작(104)에서, 3개 이상의 이미지들이 그 후 서로 정렬될 수 있거나 또는 각각의 이미지가 포스트-OPC 데이터베이스에 정렬될 수 있다. 예를 들어, 취득된 이미지들은 공간-도메인 또는 주파수-도메인 방법들을 통해 정렬될 수 있다. 정렬 조정들은 사용되는 검사 시스템의 특정 지오메트리들에 의존할 수 있다. 상이한 이미지들이 상이한 컬렉션 경로들(collection paths)을 사용하여 획득되는 경우, 광학 경로들의 차이를 보상하기 위해 이미지들의 일부 조정이 이루어질 수 있다.
리소그래피 및 검사에서, 다양한 패턴들을 갖는 레티클은 다수의 방향들로부터 입사되는 전자기(EM)-파들에 의해 조명된다. 이 입사광은 서로 상이하게 간섭하는 상이한 전자기장 위상들에서 마스크 패턴의 상이한 지점들로부터 회절된다. 레티클의 근거리 장은 레티클로부터의 몇(few) 파장들의 근접 거리에 있는 전자기장이다.
컬렉션 광학계(collection optics)는 일반적으로 레티클로부터의 광의 회절-제한 부분(diffraction-limited portion)을 검출기(또는 웨이퍼) 쪽으로 지향하여 이미지를 형성한다. 검출기는 마스크 근거리 장으로 인한 간섭의 결과인 강도(intensity)를 검출하지만 위상을 검출하지는 않는다.
원거리 강도(far-filed intensity)가 검출된 신호들에서 획득되더라도, 진폭 및 위상을 포함하는 마스크 근거리 장을 복원하는 것이 바람직하다. 예시된 실시예에서, 마스크 근거리 장은, 동작(106)에서 예시된 바와 같이, 이러한 취득된 캘리브레이션 마스크 이미지들에 기초하여 복원되고 저장된다. 위상 및 진폭 컴포넌트들 양쪽 모두를 포함하는 마스크 근거리 장을 복원하기 위해 다수의 이미지들(또는 신호들)이 일반적으로 사용된다. 근거리 장 데이터는 레티클로부터 취득된 이미지들에 기초한 회귀 기술(regression technique)에 의해 결정될 수 있다. 예를 들어, 레티클의 선택된 부분의 근거리 장은 그의 취득된 광학 이미지들 또는 검출기 평면에 레코딩된 이미지들의 강도로부터, 준-뉴톤(quasi-Newton) 또는 공액 경도 기술을 사용하여 복원(회귀)될 수 있다. 또한, 하나 이상의 실제 이미지들로부터 근거리 장 데이터를 결정하기 위해 임의의 다른 적합한 회귀 방법 및/또는 알고리즘이 사용될 수 있다.
특히, 그의 강도 이미지들로부터 레티클의 근거리 장을 복원하는 것은 역(inverse) 문제 또는 회귀 문제이다. 근거리 장은 비용 함수(예를 들어, 에너지 또는 패널티 함수)를 최소화함으로써 반복적으로 복원될 수 있다. 최소화되는 양은 마스크 근거리 장으로부터 계산되는, 검출기에서의 강도 이미지들과 취득된 이미지들 간의 차이들의 자승의 합일 수 있다. 즉, 강도 이미지들은 광학 시스템 특성들의 다양한 세트들에 대해 최종 마스크 근거리 장으로부터 계산될 수 있고, 이 계산된 이미지들은 마스크 근거리 장이 발견될 때 취득된 이미지들에 가장 근접하게 매칭할 것이다. 마스크 근거리 장 복원 방법에 대한 더 자세한 내용은 미국 특허 출원 제 14/702,336 호를 참조한다.
다수의 이미지들이 다양한 광학 조건들 하에서 취득되는 경우, 위상 및 진폭 정보를 전달하는 복원된 근거리 장 마스크(m)는 다음의 수식에 의해 결정될 수 있다:
위의 수식에서,
Figure pct00002
는 이미징 조건(α)에 대한 측정된 이미지이고,
Figure pct00003
는 검사 이미징 시스템을 설명하는 고유벡터들의 세트이고,
Figure pct00004
는 이미징 시스템에 대한 대응하는 고유값들의 세트이고,
Figure pct00005
는 0과 1 사이의 음이 아닌 가중 팩터(non-negative weighting factor)이다. 위의 수식은, 예를 들어, 준-뉴톤 또는 공액 경도와 같은 방법들을 통해 반복적으로 풀어(solve)질 수 있다.
조명 및/또는 컬렉션 구성들의 다양한 적합한 조합들이 이용될 수 있다. 상이한 이미징 구성들은 일반적으로 마스크 근거리 장이 계산될 수 있는 이미지들을 제공하도록 선택된다. 임의의 적합한 이미징 또는 광학 구성들은, 마스크 근거리 장이 상이한 동작 조건 하에서 동일하게 유지되도록 선택될 수 있다. 예들은 상이한 초점 세팅들, 상이한 조명 방향들 또는 패턴들, 조명 퓨필의 전체 조명 퓨필 또는 상이한 부분들에 대한 상이한 선형 편광, 컬렉션 빔의 상이한 부분들을 가리기 위한 상이한 아포디제이션 세팅들(apodization settings) 등을 포함한다. 예를 들어, 조명 퓨필의 상이한 사분면들은 상이한 편광 세팅들을 가질 수 있다. 다른 예에서, 이미징 구성은 상이한 퓨필 형상들 및/또는 상이한 초점 조건들을 갖는 고해상도 이미지들, 예컨대, (예를 들어, ArF 마스크들에 대한) 투과된 이미지들을 포함할 수 있다. 다른 실시예에서, 상이한 퓨필 형상들 및/또는 상이한 초점 조건들을 갖는 3개 이상의 반사된 이미지들이 (예를 들어, EUV 마스크들에 대해) 획득될 수 있다.
레티클은 비교적 낮은 NA(예를 들어, 0.5 미만)를 사용하여 이미징될 수 있다. 대조적으로, "실질적으로 고해상도 이미지"는 일반적으로 (이미지를 생성하는데 사용되는 레티클 검사 시스템의 광학 제한들 내에서) 레티클 상에 인쇄된 피처들이 레티클 상에 형성된 것처럼 이들이 실질적으로 나타나는 레티클의 이미지를 지칭한다. 예를 들어, 레티클의 "실질적으로 고해상도 이미지"는, 실질적으로 고해상도 레티클 검사 시스템(예를 들어, 0.8보다 큰 개구수(NA))을 이용하여 레티클 평면의 물리적 레티클을 이미징함으로써 생성되는 이미지이다. 대조적으로, 레티클의 이미지를 생성하는데 사용되는 "실질적으로 낮은 NA"는 0.5보다 작은 NA일 수 있다. 또한, 레티클 이미지를 생성하는데 사용되는 "실질적으로 낮은 NA"는, 레티클의 이미지를 웨이퍼 상에 프로젝팅하고 그리하여 레티클 상의 피처들을 웨이퍼 상에 전사하기 위해 노출 시스템에 의해 사용되는 레티클 측 상의 NA와 실질적으로 동일할 수 있다. 따라서, 실질적으로 낮은 NA 이미지(또는 LNI)에서, 레티클 피처들은 실제 레티클 피처들과는 실질적으로 상이한 외관을 가질 수 있다. 예를 들어, 레티클 피처들은 레티클 상에 형성되는 실제 피처보다, 피처의 LNI에서 더 둥근 코너들을 갖는 것으로 나타날 수 있다.
상이한 이미징 구성들로의 취득은 동시적 또는 순차적일 수 있다. 취득된 이미지들은 필드 평면들에 있어야 할 필요는 없다. 2개 이상의 이미지들이 퓨필 평면들에서 취득될 수 있다. 일 예는 필드 평면 이미지들과 퓨필 평면 회절 차수들의 조합을 사용하여 물체의 진폭 및 위상 둘 다를 분석(solve)할 수 있는 Gerchberg-Saxton 알고리즘이다.
일 실시예에서, 마스크 근거리 장은 홉킨스 근사(Hopkins approximation)를 통해, 취득된 이미지에 기초하여 결정될 수 있다. 다른 실시예에서, 회귀는 씬-마스크 근사들(thin-mask approximations)을 포함하지 않는다. 예를 들어, 레티클의 근거리 장은, 정상적으로 입사되는 평면파에 의해 조명될 때 레티클의 표면 근처에 존재할 것으로 계산되는 전자기장이다. 리소그래피 및 검사에서, 레티클은 다수의 방향들로부터 입사되는 평면파들에 의해 조명된다. 입사의 방향이 변할 때, 홉킨스 근사에 따라, 회절 차수들의 방향들은 변하지만 그들의 진폭들 및 위상들은 거의 변하지 않은 채로 유지된다. 본원에서 설명되는 실시예들은 홉킨스의 위상 근사를 사용할 수 있지만, 소위 씬-마스크 또는 키르히호프(Kirchhoff) 근사들을 형성하지 않는다.
실제 마스크는, 마스크 기록 프로세스로 인해 의도된 설계 패턴으로부터 변동될 수 있다. 마스크의 이미지들로부터 근거리 장 마스크를 획득하는 것은, 이러한 근거리 장 마스크가 설계 데이터베이스 보단 오히려, 실제 물리적 마스크로부터 획득된다는 것을 의미한다. 즉, 마스크 근거리 장은 설계 데이터베이스를 사용하지 않고도 복원될 수 있다.
근거리 장 마스크가 복원되면, 그것은 임의의 수의 애플리케이션들에 대해 사용될 수 있다. 결함 검출을 위해, 웨이퍼 상의 레티클 결함의 인쇄성(printability)이 중요하며, 레티클 결함들의 인쇄성은 레티클 근거리 장 및 리소그래피 시스템에 직접 의존한다. 흥미로운 점으로서, 더 높은 NA의 결과로서 마스크 전자기장 벡터들의 간섭은, 더 높은 NA에 대해 더 넓은 범위의 광의 입사각들 및 연관된 간섭하는 전기장 컴포넌트들로 인해, (더 낮은 NA 검사 시스템 보다) 더 커질 것이다.
일 실시예에서, 레티클 퀄리피케이션은 복원된 마스크 근거리 장이 시뮬레이팅된 웨이퍼 제조 조건 하에서 웨이퍼 패턴 결함들을 초래할 가능성이 있는지를 평가함으로써 수행된다. 예시된 절차에서, 포토리소그래피 프로세스 및 포토레지스트는 동작(108)에서, 근거리 장 마스크에 대한 모델 파라미터들의 초기 세트를 사용하여 모델링될 수 있다. 모델은 포토리소그래피 스캐너의 효과만을 포함할 수 있고, 그리고/또는 이 모델은 레지스트, 에칭, CMP 또는 임의의 다른 웨이퍼 프로세스들의 효과를 또한 포함할 수 있다. 하나의 예시적인 프로세스 시뮬레이션 툴은 캘리포니아주, 밀피타스의 KLA-Tencor Corp.로부터 입수 가능한 Prolith이다.
모델에 대한 입력 및 그의 모델링 파라미터들은 프로세스 조건들의 세트를 포함한다. 즉, 모델은 재구성된 근거리 장 마스크 상에서 프로세스 조건들의 상이한 세트들을 시뮬레이팅하도록 구성된다. 프로세스 조건들의 각각의 세트는 일반적으로, 마스크로부터 웨이퍼 패턴을 형성하기 위한 웨이퍼 프로세스를 특성화하거나 또는 부분적으로 특성화하는 웨이퍼 제조 프로세스 파라미터들의 세트에 대응한다. 예를 들어, 초점 및 노출의 특정 세팅이 모델에 입력될 수 있다. 프로세스 조건들의 상이한 세트들에 관한 이러한 모델의 사용은, 상이한 프로세싱 조건들 하에서 재구성된 근거리 장 마스크에 의해 형성된 시뮬레이팅된 웨이퍼 또는 레지스트 패턴 이미지들의 세트를 발생시킬 수 있고, 이러한 시뮬레이팅된 웨이퍼 이미지들은 본원에서 추가로 설명되는 바와 같이 결함 검출을 위해 사용될 수 있다.
캘리브레이션 레티클은 또한 동작(116)에서, 실제 이미지가 획득되는 캘리브레이션 웨이퍼를 제조하는데 사용된다. 일 예에서, 실제 이미지들은 임계 치수(CD) 스캐닝 전자 현미경(SEM)을 사용하여 취득된다. 다른 이미징 툴들이 이용될 수 있지만, 고해상도 툴이 바람직하다.
일반적으로, 캘리브레이션 웨이퍼는 폭넓게 변동될 수 있는 임의의 수의 구조들을 포함할 것이다. 구조들은 통상적으로 주기적인 격자들의 형태일 수 있다. 각각의 격자는 예를 들어, 라인 공간 격자(line space grating)와 같이 한 방향(X 또는 Y)에서 주기적일 수 있거나, 예를 들어, 그리드 공간 격자와 같이 양 방향(X 및 Y)에서 주기적일 수 있다. 그리드 공간 격자의 예들은 Y 방향에서 라인들의 어레이를 포함할 수 있으며, 각각의 라인은 X 방향에서 세그먼팅된다. 다른 격자 공간 예는 도트 구조들의 어레이이다. 즉, 각각의 구조는 라인 공간 격자, 그리드 공간 격자, 체커보드 패턴 구조 등의 형태를 취할 수 있다. 구조 설계 특성들은, 라인 폭(특정 높이의 폭), 라인 공간 폭, 라인 길이, 형상, 측벽 각, 높이, 피치, 격자 배향, 상단-프로파일(상단 라운딩 또는 T 토핑(topping)의 정도), 하단 프로파일(푸팅(footing)) 등을 각각 포함할 수 있다. 캘리브레이션 웨이퍼는 이들 피처 특성들의 상이한 조합들을 갖는 구조들을 포함할 수 있다. 인지되어야 하는 바와 같이, 상이한 구조 특성들(예컨대, 상이한 폭, 간격, 형상, 피치 등)은 초점에 대한 상이한 응답을 나타내고, 이에 따라 캘리브레이션 마스크는 바람직하게는, 상이한 특성들을 갖는 상이한 구조들을 포함한다.
대안적인 실시예에서, 캘리브레이션 웨이퍼는 상이한 프로세싱 조건들에 처해지는 상이한 측정 사이트들을 갖는 "실험 설계(Design of Experiments : DOE)" 웨이퍼의 형태를 취할 수 있다. 보다 일반적인 실시예들에서, 프로세스 파라미터 변동들은 반도체 웨이퍼(DOE 웨이퍼로 지칭됨)의 표면상의 패턴으로 조직화된다. 이러한 방식으로, 측정 사이트들은 상이한 연관된 프로세스 파라미터 값들을 갖는 웨이퍼 표면상의 상이한 위치들에 대응한다. 일 예에서, DOE 패턴은 초점/노출 매트릭스(FEM) 패턴이다. 통상적으로, FEM 패턴을 나타내는 DOE 웨이퍼는 그리드 패턴의 측정 사이트들을 포함한다. 하나의 그리드 방향(예를 들어, x-방향)에서, 노출 도즈(exposure dosage)가 변동되는 반면에, 초점의 깊이가 일정하게 유지된다. 직교하는 그리드 방향(예를 들어, y-방향)에서, 초점의 깊이가 변동되는 반면에, 노출 도즈는 일정하게 유지된다. 이러한 방식으로, FEM 웨이퍼로부터 수집된 측정 데이터는 초점 및 도즈 프로세스 파라미터들의 알려진 변동들과 연관된 데이터를 포함한다.
FEM 측정 사이트들은 일반적으로 초점 노출 매트릭스 웨이퍼에 가로질러 위치된다. 사실상, 일반적으로 필드 당 하나 이상의 측정 사이트들이 있을 수 있다. 각각의 필드는 초점 및 노출의 상이한 조합을 사용하여 형성될 수 있다(또는 초점 또는 노출만 가능할 수 있음). 예를 들어, 제 1 필드는 제 1 조합을 사용하여 생성될 수 있고, 제 2 필드는 제 1 조합과 상이한 제 2 조합을 사용하여 생성될 수 있다. 여러 조합들이 변동되는 초점과 변동되는 노출, 변동되는 초점-일정한 노출, 일정한 초점 - 변동되는 노출 등을 사용하여 생성될 수 있다.
측정 사이트들의 수가 또한 상이할 수 있다. 생산 웨이퍼 상의 실제 부지(real estate)는 매우 귀중하기 때문에, 필드 당 사이트들의 수는 일반적으로 생산 웨이퍼들 상에서 더 작다. 또한, 생산에 있어 시간 제약들로 인해, 초점 노출 매트릭스 웨이퍼 상에서 보다 제품 웨이퍼 상에 더 적은 측정들이 이루어진다. 일 실시예에서, 단일 사이트가 필드 당 측정된다. 다른 실시예에서, 여러 사이트들이 필드 당 측정된다.
대부분의 FEM의 경우들에서, 측정 사이트 구조들은 상이한 프로세싱 파라미터들을 사용하여 동일하게 설계된 패턴들로부터 형성된다. 그러나 상이한 초점 노출 매트릭스들은 상이한 구조들을 가질 수 있다는 것이 주의되어야 한다. 예를 들어, 제 1 매트릭스는 제 1 격자 타입을 사용하여 수행될 수 있고 제 2 매트릭스는 제 1 격자 타입과 상이한 제 2 격자 타입을 사용하여 수행될 수 있다.
일반적으로, 프로세스 파라미터들, 구조 파라미터들, 또는 둘 다의 임의의 세트의 알려진 변동과 연관된 광학 신호 데이터가 고려된다. 형태에 관계없이, 캘리브레이션 웨이퍼 구조들은 다양한 상이한 웨이퍼 층들에 인쇄될 수 있다. 특히, 인쇄된 구조들은 일반적으로 표준 리소그래피 프로세스들(예를 들어, 레티클을 통해 그리고 포토레지스트로 코팅된 실리콘 웨이퍼 상으로 회로 이미지를 프로젝팅함)을 사용하여 포토레지스트의 층에 인쇄된다. 웨이퍼는 테스트 프로세스의 해당 단계에서 제품 웨이퍼들 상에 통상적으로 존재하는 재료들에 대응하는 재료들의 층들을 갖는 캘리브레이션 웨이퍼일 수 있다. 인쇄된 구조들은 하부 층들의 다른 구조들 위에 인쇄될 수 있다. 캘리브레이션 웨이퍼는 작업 디바이스들을 생산할 가능성을 갖는 제품 웨이퍼일 수 있다. 캘리브레이션 웨이퍼는 모델을 캘리브레이팅하는데만 사용되는 단순 웨이퍼일 수 있다. 캘리브레이션 웨이퍼는 OPC 설계 모델을 캘리브레이팅하는데 사용되는 동일 웨이퍼일 수 있다. 하나 초과의 캘리브레이션 웨이퍼가 리소그래피 모델을 캘리브레이팅하기 위해 사용될 수 있다. 여러 캘리브레이션 웨이퍼들을 사용할 때, 동일하거나 상이한 캘리브레이션 레티클들이 사용될 수 있다. 상이한 캘리브레이션 레티클들은 더 광범위한 이미지 데이터를 생성하기 위해 상이한 치수들을 갖는 패턴들을 가질 수 있다.
캘리브레이션 구조들을 형성하는데 사용되는 프로세스 파라미터들은 일반적으로, 원하는 규격들 내에서 캘리브레이션 구조의 특성들을 유지하도록 구성된다. 캘리브레이션 구조들은 캘리브레이션 절차의 일부로서 캘리브레이션 웨이퍼 상에 인쇄되거나 생산 동안 생산 웨이퍼(production wafer) 상에 인쇄될 수 있다. 생산 시에, 캘리브레이션 구조들은 통상적으로, 생산 웨이퍼 상에 배치된 디바이스 영역들(예를 들어, IC를 정의하는 다이들) 사이의 스크라이브 라인(scribe line)에 인쇄된다. 측정 사이트들은 디바이스 구조들 주위에 배치된 전용 캘리브레이션 구조들일 수 있거나 또는 이들은 디바이스 구조의 일부(예를 들어, 주기적인 일부)일 수 있다. 인지되어야 하는 바와 같이, 디바이스 구조의 일부를 사용하는 것이 더 어려울 수 있지만, 그것이 디바이스 구조의 일부이기 때문에 보다 정확해지는 경향이 있다. 다른 실시예에서, 캘리브레이션 구조들은 전체 캘리브레이션 웨이퍼에 걸쳐 인쇄될 수 있다.
도 1을 다시 참조하면, 대응하는 모델링된 이미지들 및 캘리브레이션 이미지들이 동작(110)에서 비교될 수 있다. 그 후, 동작(112)에서 모델 파라미터들이 조정될지가 결정될 수 있다. 모델 파라미터들이 조정되는 경우, 이들은 동작(114)에서 조정되고, 절차(100)는 조정된 파라미터들을 사용하여 리소그래피 프로세스(및 레지스트)를 모델링하도록 동작(108)을 반복한다. 모델 파라미터들은, 모델과 캘리브레이션 이미지들 간의 차이가 미리 정의된 임계치보다 또한 낮은 최소치에 도달할 때까지 조정될 수 있다. 최소화되는 양은 취득된 캘리브레이션 이미지들과 시뮬레이팅된 이미지들 간의 차이의 자승의 합일 수 있다. 이 프로세스(100)의 출력은 리소그래피/레지스트 모델 및 그의 최종 모델 파라미터들이다. 모델 파라미터들의 이러한 세트는 마스크 근거리 장을 사용하는 성질에 의해, 마스크 프로세스 모델링 및 마스크 3D 토포그래피(topography) 모델링과 연관된 기술적인 장애들을 극복한다.
모델 사용 실시예들 :
특정 프로세스에 대한 최종 캘리브레이팅된 리소그래피/레지스트 모델이 획득된 후에, 이러한 모델은 마스크를 이용한 웨이퍼 제조 이전에 이러한 마스크로부터 (예를 들어, 현상 이후의 또는 에칭 이후의) 정확한 웨이퍼 평면 레지스트 이미지들을 생성하는데 사용될 수 있다. 이러한 레지스트 이미지들은 상이한 초점 및 노출 세팅들을 통해 그리고 높은 충실도로 임의의 검사 패턴들에 대한 웨이퍼 이미지들을 평가하도록 허용할 것이다. 이 평가 프로세스는 웨이퍼 제조 이전에 발생할 수 있기 때문에, 퀄리피케이션 및 결함 검출 사이클들이 상당히 단축될 수 있다.
도 2a 및 도 2b는 본 발명의 일 실시예에 따른 레티클 퀄리피케이션 프로세스(200)를 나타내는 흐름도를 예시한다. 일반적으로, 마스크 근거리 장은 이러한 특정 레티클로부터 취득된 이미지들에 기초하여 동작들(102, 104 및 106)에서 특정 레티클에 대해 획득된다. 이러한 동작들은 도 1의 동일한 참조된 동작들과 유사하다. 마스크 근거리 장이 획득된 후, 리소그래피 프로세스(및 레지스트)는 동작(208)에서, 계산된 마스크 근거리 장에 대한 최종 모델 파라미터들을 사용하여 모델링될 수 있다. 예를 들어, 캘리브레이션 웨이퍼로 캘리브레이팅된 모델은 마스크 근거리 장을 사용하여 웨이퍼 이미지들을 모델링하는 데 사용된다.
도 2b를 참조하면, 동작(222)에서, 레티클이 불안정하거나 결함이 있는 웨이퍼 패턴들을 초래할 가능성이 있는지 여부가 그 후 결정될 수 있다. 일 실시예에서, 변동되는 프로세스 조건들 하에서 레티클 설계 안정성을 평가하기 위해 초점 및 도즈와 같은 복수의 상이한 프로세스 조건들을 이용하여 마스크 근거리 장에 모델이 단순히 적용될 수 있다. 도 3a는 본 발명의 예시적인 애플리케이션에 따른 레티클 패턴 안정성을 결정하기 위한 프로세스를 예시하는 흐름도(300)이다. 초기에, 모델에 의해 생성된 각각의 테스트 이미지는, 동작(302)에서, 하나 이상의 웨이퍼 패턴 차이들을 획득하도록 동일한 웨이퍼 영역에 대응하고 상이한 세트의 프로세스 조건들 하에서 시뮬레이팅된 그의 대응하는 기준 이미지와 정렬될 수 있다.
정렬된 이미지들의 각각의 쌍은 동작(304)에서 하나 이상의 웨이퍼 패턴 차이들을 획득하도록 서로 비교될 수 있다. 임계치들이 그 후 동작(306)에서 각각의 웨이퍼 패턴 차이와 연관될 수 있다. 임계치들은 레티클의 상이한 영역들에 할당될 수 있고, 그리하여, 대응하는 웨이퍼 패턴들에 할당될 수 있다. 임계치들은 구조 타입, 할당된 MEEF(또는 이하에 추가로 설명되는 마스크 에러 강화 팩터(Mask Error Enhancement Factor)) 레벨 또는 핫 스폿 식별 등과 같은 다양한 팩터들에 기초하여 모두 동일하거나 상이할 수 있다. 예를 들어, 상이한 구조 타입들에는 상이한 임계치들이 주어질 수 있다. 핫 스폿들의 초기 세트는 기준 및 테스트 마스크 패턴 둘 다에서 선택적으로 식별될 수 있다. 예를 들어, 설계자는 핫 스폿 좌표들의 리스트를 제공할 수 있다. 예를 들어, 핫 스폿들로서 정의된 영역들에는 하나의 검출 임계치가 할당될 수 있지만, 비-핫 스폿 영역들에는 (결함 검출을 위해) 더 높은 임계치가 할당될 수 있다. 이러한 차별화는 검사 자원들을 최적화하는데 사용될 수 있다.
집적 회로들(IC)의 밀도들 및 복잡도들이 계속 증가함에 따라, 포토리소그래피 마스크 패턴들을 검사하는 것이 점점 더 어려워지고 있다. 새로운 세대의 모든 IC는, 현재 리소그래피 시스템들의 광학적 제한에 도달하고 이를 초과하는, 더 밀집되고 보다 복잡한 패턴들을 갖는다. 이러한 광학적 제한들을 극복하기 위해 광학적 근접도 정정(Optical Proximity Correction; OPC)과 같은 다양한 해상도 강화 기술(Resolution Enhancement Techniques; RET)이 도입되었다. 예를 들어, OPC는, 결과적인 인쇄된 패턴들이 원래의 원하는 패턴들과 일치하도록 포토마스크 패턴들을 수정함으로써 일부 회절 제한들을 극복하는 것을 돕는다. 이러한 수정들은 메인 IC 피처들, 즉 인쇄 가능한 피처의 크기들 및 에지들에 대한 섭동(perturbation)들을 포함할 수 있다. 다른 수정들은, 패턴 코너에 세리프(serif)를 추가하거고 그리고/또는 인쇄된 피처들을 발생시킬 것으로 예상되지 않고 이에 따라 비-인쇄 가능 피처들로서 지칭되는 근처의 서브-해상도 보조 피처(sub-resolution assist feature; SRAF)들을 제공하는 것을 포함한다. 이들 비-인쇄 가능한 피처들은, 보통은 인쇄 프로세스 동안 발생했었을 패턴 섭동을 소거할 것으로 예상된다. 그러나 OPC는 마스크 패턴들을 훨씬 더 복잡하게 하고 일반적으로, 결과적인 웨이퍼 이미지들과 매우 다르다. 또한 OPC 결함들은 종종 인쇄 가능한 결함들로 치환되지 않는다. 포토마스크 패턴의 증가된 복잡도 및 모든 패턴 엘리먼트들이 인쇄된 패턴에 직접적으로 영향을 미치진 않을 것이라고 예상되는 사실은, 중요한 패턴 결함들을 위해 포토마스크를 검사하는 작업을 훨씬 더 어렵게 한다. 반도체 산업이 훨씬 더 작은 피처들로 이동함에 따라, 첨단 기술의 제조사들은 마스크 상에 매우 복잡한 패턴들을 초래하는, 역 리소그래피 기술(inverse lithography technology; ILT)과 같은 훨씬 더 색다른 OPC를 사용하기 시작했다. 따라서, 물리적으로 웨이퍼를 제조하기 전에 마스크 기록 충실도 및 그의 웨이퍼 인쇄 품질을 아는 것이 매우 바람직하다.
결함의 중요성에 대한 하나의 척도는 MEEF(또는 마스크 에러 강화 팩터(Mask Error Enhancement Factor))이다. 이 팩터는 마스크 평면의 결함의 크기를, 그것이 인쇄된 이미지에 미치는 영향(impact)의 크기와 관련시킨다. 높은 MEEF 결함들은 인쇄된 패턴에 큰 영향을 미치지만, 낮은 MEEF 결함들은 인쇄된 패턴에 거의 또는 전혀 영향을 미치지 않는다. 패턴의 밀집된 미세-라인 부분에서 언더사이즈 메인 패턴 피처는, 작은 마스크 평면 사이징 에러가 인쇄된 패턴이 완전히 손상을 야기할 수 있는 높은 MEEF를 갖는 결함의 예이다. 격리된 작은 핀홀은, 결함 자체가 인쇄되기에 너무 작고 가장 가까운 메인 패턴 에지로부터 충분히 멀리 떨어져 있어 그 에지가 어떻게 인쇄될지에 영향을 미치지 않는 낮은 MEEF를 갖는 결함의 예이다. 이 예들이 보여주는 바와 같이, 결함의 MEEF는 결함 타입 및 결함이 위치되는 패턴 컨텍스트의 다소 복잡한 함수이다.
보다 현저한 웨이퍼 결함들을 야기하는 보다 높은 MEEF 마스크 결함들 이외에도, 특정 설계 패턴들 및 대응하는 마스크 패턴들은 변화들을 프로세싱하기 위한 다른 설계 및 마스크 패턴들보다 견고할 수 있다. 제조 프로세스가 최적의 프로세스 조건들로부터 이동하기 시작하면, 특정 마스크 패턴들은 보다 현저한 웨이퍼 패턴 섭동들 및 결함들을 초래할 수 있다.
도 2b를 다시 참조하면, 동작(224)에서, 설계가 결함이 있는지 여부가 그 후 결정될 수 있다. 일 실시예에서, 설계 패턴이, 특정된 범위의 프로세스 조건들(또는 프로세스 윈도우) 하에서 수락 불가능한 웨이퍼 패턴 변동을 초래하는지 여부가 결정된다. 프로세스 변동성으로 인해 현저한 차이가 있는지 여부가 결정된다. 상이하게 프로세싱된 웨이퍼 패턴들 간의 차이가 대응하는 임계치보다 높으면, 이러한 웨이퍼 패턴들은 결함이 있는 것으로 간주될 수 있다. 설계가 결함이 있는 것으로 결정되면, 설계는 동작(232)에서 수정될 수 있다.
설계가 결함이 있는 것으로 간주되지 않으면, 동작(226)에서 핫 스폿들이 모니터링될 수 있는지 여부가 그 후 결정될 수 있다. 핫 스폿들이 모니터링될 경우, 아래에 추가로 설명되는 바와 같이, 핫 스폿들은 그 후 예를 들어, 동작(234)에서 웨이퍼 프로세스 동안 모니터링될 수 있다. 예를 들어, 핫 스폿 패턴들은 웨이퍼 제조 동안 프로세스가 규격을 벗어났는지 그리고 대응하는 웨이퍼 패턴이 수락 불가능한 값들로 변경되는 중요한 파라미터를 갖게 되는지 여부를 결정하기 위해 모니터링될 수 있다. 일 구현은 대응하는 핫 스폿의 레티클 및/또는 웨이퍼 패턴의 검사를 위해 비교적 높은 MEEF 레벨을 세팅하는 것을 포함할 수 있다. 조건들이 공칭 프로세스 조건들로부터 더 멀어짐에 따라, CD 또는 EPE가 더 커지고 웨이퍼 제조 프로세스의 완전성(integrity)을 위태롭게 할 수 있다.
핫 스폿 패턴들은, 테스트 마스크 패턴이 원래의 의도된 설계(예를 들어, 프리-OPC 데이터)와 어떻게 비교되는지에 관계없이 이러한 테스트 마스크 패턴이 미리 정의된 양만큼 변할 때만 식별될 수 있다. 즉, 상이한 프로세스 조건들 하에서 물리적 마스크 패턴의 현저한 변화는 의도된 설계 패턴에 있어서의 문제점을 나타낼 수 있다. 대응하는 모델링된 이미지 부분들 간의 차이들은 설계된 패턴 및 제조된 마스크에 대한 프로세스 조건들의 영향의 차이들을 나타낸다. 특정 설계 패턴과 연관된 차이들은 일반적으로 "설계 핫 스폿들 "또는 단지 "핫 스폿들"로서 지칭되고, 조사되는 특정 프로세스 조건들에 관하여, 아마도 또한, 제조된 마스크에 관하여, 설계의 약점들을 나타낸다. 상이한 프로세스 조건들에 대해 모델링된 이미지들 사이에서 발견될 수 있는 차이들의 종류들의 예들은 임계 치수(critical dimension; CD) 또는 에지 배치 에러(edge placement error; EPE)이다.
다른 실시예에서, 모델이 포스트-OPC 설계 데이터베이스에 적용되는 경우, 결과적인 웨이퍼 패턴은 설계자에 의해 웨이퍼 상에 인쇄되도록 의도된 패턴에 대응할 수 있다. 선택적으로, 포스트-OPC 데이터베이스에 모델을 적용한 것으로부터의 결과들은 모델링된 이미지들과 함께 사용되어 핫 스폿 검출을 개선할 수 있다. 예를 들어, 포스트-OPC 데이터베이스의 모델은 설계 효과들만을 고려하고, 이에 따라 설계에 관한 웨이퍼 프로세스의 영향 및 제조된 마스크에 관한 웨이퍼 프로세스의 영향을 분리하는데 사용될 수 있다. 마스크 근거리 장으로부터의 모델링된 패턴들은 대응하는 포스트-OPC 패턴들로부터의 모델링된 웨이퍼 이미지들과 비교될 수 있다. 예를 들어, 상이한 프로세스 변화들에 대한 모델링된 웨이퍼 패턴들의 세트가 바로 그 프로세스 변화들에 대한 대응하는 모델링된 포스트-OPC 웨이퍼 패턴들과 매칭할 때, 프로세스 변화로 인한 웨이퍼 패턴(또는 레지스트 패턴)의 변화들은, 마스크 패턴의 결함으로부터가 아니라, 설계 패턴들(재설계되거나 모니터링될 수 있음)로부터 기인한 것으로 결정될 수 있다. 그러나 포스트-OPC 데이터베이스로부터의 프로세스 변동들로 인한 웨이퍼 상의 변화들이 바로 그 프로세스 변동들로 인한, 복원된 마스크(또는 마스크 근거리 장)로부터의 웨이퍼 상의 변화들과 상이하면, 이러한 핫 스폿들은 실제 마스크로부터의 핫 스폿으로부터 발생한 것으로 간주되며, 이는 수리되거나 모니터링될 수 있다.
동작(228)에서 레티클이 수리될지 여부가 또한 결정될 수 있다. 예상된 웨이퍼 패턴 변동들은 리소그래피 프로세스 동안 사용될 것으로 예상되는 프로세스 윈도우에 대한 규격을 벗어나는 것으로 결정될 수 있다. 특정한 경우들에서, 레티클은 동작(236)에서 수리되는 결함을 포함할 수 있다. 레티클은 그 후 리퀄리파잉(requalifying)될 수 있다. 그렇지 않으면, 결함이 수리 불가능한 경우 레티클은 동작(230)에서 폐기될 수 있다. 새로운 레티클이 그 후 제조되고 리퀄리파잉될 수 있다.
도 3b는 본 발명의 대안적인 실시예에 따른 결함 검사 절차(350)를 예시하는 흐름도이다. 초기에, 레티클의 결함들이 검사된다. 동작(352)에서, 각각의 테스트 레티클 이미지는 그의 대응하는 기준 이미지와 정렬될 수 있다. 일 실시예에서, 다이-대-다이 또는 셀-대-셀 정렬이 달성될 수 있다. 다른 실시예에서, 레티클 이미지는 대응하는 포스트-OPC 설계의 렌더링과 정렬된다. 예를 들어, 포스트-OPC 설계는 레티클 제조 프로세스 및 그러한 설계의 이미징을 시뮬레이팅하도록 프로세싱된다. 예를 들어, 코너들이 둥글게 된다.
정렬된 테스트 및 기준 이미지들의 각각의 쌍은 동작(354)에서, 레티클 결함을 로케이팅하도록 연관된 임계치에 기초하여 비교된다. 위에서 추가로 설명된 바와 같이 특정 레티클 영역들에 임계치들을 연관시키기 위해 임의의 적합한 메커니즘이 사용될 수 있다.
각각의 레티클 결함에 대해, 대응하는 시뮬레이팅된 웨이퍼 결함 영역은 그 후 동작(356)에서, 그의 대응하는 기준 프리-OPC 영역과 비교될 수 있다. 즉, 시뮬레이팅된 웨이퍼 패턴들이 평가되어, 레티클 결함이 의도된 설계에서 벗어나는 웨이퍼 결함을 초래하는지를 결정한다. 프리-OPC 설계 패턴들은 또한 이러한 설계 패턴들을 이미징하기 위한 검사 툴 동작을 모델링하기 위해 추가로 프로세싱될 수 있다.
도 2b를 다시 참조하면, 동작(224)에서, 레티클 설계가 결함이 있는지 여부가 그 후 결정될 수 있다. 예를 들어, 레티클 결함에 대한 시뮬레이팅된 웨이퍼 패턴과 그의 대응하는 프리-OPC 패턴 사이의 임의의 차이가 미리 정의된 임계치를 초과하는지 여부가 결정될 수 있다. 절차(200)는 위에서 설명된 바와 같이 웨이퍼 핫 스폿들을 모니터링할지, 레티클을 수리할지, 또는 레티클을 재설계할지 여부를 결정하도록 계속될 수 있다.
본 발명의 특정 기술들은 웨이퍼 제조를 시작하기 전에 마스크 패턴 퀄리피케이션 및 물리적 마스크 상의 핫 스폿들 또는 약한 패턴들의 조기 검출을 제공한다. 본 발명의 특정 실시예들은 마스크 근거리 장 복원 이상을 제공한다. 레티클 이미지들에 기초한 웨이퍼 패턴의 복원을 제공하는 것 이외에도, 초점 및 노출의 다수의 세팅들, 및 웨이퍼 레지스트, 에칭, CMP 및 임의의 다른 웨이퍼 프로세스의 효과를 포함하는 웨이퍼 프로세스 효과들의 전체 범위가 고려될 수 있다. 마스크 근거리 장이 레티클 설계 데이터를 사용하지 않고 레티클 이미지만을 사용하여 복원되기 때문에 마스크의 어떠한 사전 지식도 필요하지 않다. 마스크 패턴들이 일반적으로 웨이퍼 패턴들보다 4배 더 크기 때문에, 설계 데이터베이스에 관한 패턴들의 보다 정확한 위치들이 결정될 수 있다. 위의 기술들은 또한 EUV 마스크들에 대한 패턴 퀄리피케이션과 같이 임의의 적합한 타입의 마스크들로 확장될 수 있다.
본 발명의 기술들은 하드웨어 및/또는 소프트웨어의 임의의 적합한 조합으로 구현될 수 있다. 도 4는 본 발명의 기술들이 구현될 수 있는 예시적인 검사 시스템(400)의 개략적 표현이다. 검사 시스템(400)은 스캐너를 모방한 높은 NA 검사 툴 또는 낮은 NA 검사기(도시되지 않음)로부터 입력(402)을 수신할 수 있다. 검사 시스템은 또한 수신된 입력(402)을 분배하기 위한 데이터 분배 시스템(예를 들어, 404a 및 404b), 마스크 근거리 장 및 웨이퍼 복원, 프로세스 모델링 등을 위한 강도 신호(또는 패치) 프로세싱 시스템(예를 들어, 패치 프로세서들 및 레티클 퀄리피케이션 시스템(예를 들어, 412)), 검사 시스템 컴포넌트들 간의 통신을 허용하기 위한 네트워크(예를 들어, 교환 네트워크(408)), 선택적 대용량 저장 디바이스(416), 및 식별된 핫 스폿들, 검사 결과들 등을 검토하기 위한 하나 이상의 검사 제어 및/또는 검토 스테이션(예를 들어, 410)을 포함할 수 있다. 검사 시스템(400)의 각각의 프로세서는 통상적으로 하나 이상의 마이크로프로세서 집적 회로들을 포함할 수 있고, 인터페이스 및/또는 메모리 집적 회로들을 또한 포함할 수 있으며, 하나 이상의 공유 및/또는 전역 메모리 디바이스들에 부가적으로 커플링될 수 있다.
입력 데이터(402)를 생성하기 위한 검사기 또는 데이터 취득 시스템(도시되지 않음)은 (예를 들어, 본원에서 추가로 설명되는 바와 같은) 레티클의 이미지들 또는 강도 신호들을 획득하기 위한 임의의 적합한 기구의 형태를 취할 수 있다. 예를 들어, 낮은 NA 검사기는 하나 이상의 광 센서들로 반사되거나, 투과되거나 그렇지 않으면 지향되는 검출된 광의 일부에 기초하여 레티클의 일부의 강도 값들을 생성하거나 광학 이미지를 구성할 수 있다. 낮은 NA 검사기는 그 후 강도 값들 또는 이미지를 출력할 수 있다.
낮은 NA 검사 툴은 입사광 빔이 레티클의 각각의 패치를 가로질러 스캔함에 따라 반사된 및/또는 투과된 광을 검출하고 수집하도록 동작 가능하게 될 수 있다. 위에서 언급된 바와 같이, 입사광 빔은 각각이 복수의 패치들을 포함하는 레티클 스와스들(reticle swaths)을 가로질러 스캔할 수 있다. 광은 각각의 패치의 복수의 지점들 또는 서브 영역들로부터의 이러한 입사 빔에 응답하여 수집된다.
낮은 NA 검사 툴은 일반적으로 이러한 검출된 광을 강도 값들에 대응하는 검출된 신호들로 변환하도록 동작 가능하게 될 수 있다. 검출된 신호들은 레티클의 상이한 위치들에서의 상이한 강도 값들에 대응하는 진폭 값들을 갖는 전자기 파형의 형태를 취할 수 있다. 검출된 신호들은 또한 강도 값들 및 연관된 레티클 지점 좌표들의 간단한 리스트의 형태를 취할 수 있다. 검출된 신호들은 또한 레티클 상의 상이한 포지션들 또는 스캔 지점들에 대응하는 상이한 강도 값들을 갖는 이미지의 형태를 취할 수 있다. 레티클의 모든 포지션들이 스캔되고 검출된 신호들로 변환된 후에 레티클의 2개 이상의 이미지들이 생성될 수 있거나, 또는 각각의 레티클 부분이 스캔되면 2개 이상의 이미지들의 부분들이 생성될 수 있고 레티클에 대한 최종의 2개 이상의 이미지들은 전체 레티클이 스캔된 후 완성된다.
검출된 신호들은 또한 에어리얼(aerial) 이미지들의 형태를 취할 수도 있다. 즉, 에어리얼 이미징 기술은 웨이퍼 상에 노출되는 포토레지스트 패턴의 에어리얼 이미지를 생성하도록 포토리소그래피 시스템의 광학 효과들을 시뮬레이팅하는데 사용될 수 있다. 일반적으로, 포토리소그래피 툴의 광학계들은 레티클로부터의 검출된 신호들에 기초하여 에어리얼 이미지를 생성하도록 에뮬레이팅된다. 에어리얼 이미지는 포토리소그래피 광학계 및 레티클을 통해 웨이퍼의 포토레지스트 층 상에 광을 통과시키는 것으로부터 생성된 패턴에 대응한다. 또한, 특정 타입의 포토레지스트 재료에 대한 포토레지스트 노출 프로세스가 또한 에뮬레이팅될 수 있다.
입사광 또는 검출된 광은 임의의 적합한 입사각들에서 임의의 입사 또는 검출된 광 프로파일을 생성하기 위해 임의의 적합한 공간 어퍼처를 통과할 수 있다. 예들로서, 프로그래밍 가능 조명 또는 검출 어퍼처들은 다이폴, 쿼드라폴(quadrapole), 퀘이사(quasar), 고리 등과 같은 특정 빔 프로파일을 생성하는데 이용될 수 있다. 특정 예에서, 소스 마스크 최적화(Source Mask Optimization; SMO) 또는 임의의 화소화된 조명 기술이 구현될 수 있다. 입사 광은 또한 하나 이상의 편광들로 조명 퓨필의 전부 또는 일부를 선형 편광시키기 위한 선형 편광기를 통과할 수 있다. 검출된 광은 컬렉션 빔의 특정 영역들을 차단하기 위해 아포디제이션 컴포넌트들을 통과할 수 있다.
강도 또는 이미지 데이터(402)는 네트워크(408)를 통해 데이터 분배 시스템에 의해 수신될 수 있다. 데이터 분배 시스템은 수신된 데이터(402)의 적어도 일부를 보유하기 위한 하나 이상의 메모리 디바이스들, 예컨대, RAM 버퍼들과 연관될 수 있다. 바람직하게는, 전체 메모리는 데이터의 전체 견본을 유지하기에 충분히 크다. 예를 들어, 1기가바이트의 메모리는 1백만 × 1000 픽셀들 또는 포인트들의 견본에 적합하다.
데이터 분배 시스템(예컨대, 404a 및 404b)은 또한 프로세서(예를 들어, 406a 및 406b)에 대한 수신된 입력 데이터(402)의 부분들의 분배를 제어할 수 있다. 예를 들어, 데이터 분배 시스템은 제 1 패치에 대한 데이터를 제 1 패치 프로세서(406a)로 라우팅할 수 있고, 제 2 패치에 대한 데이터를 패치 프로세서(406b)로 라우팅할 수 있다. 여러 패치들 대한 여러 데이터 세트들이 또한 각각의 패치 프로세서로 라우팅될 수도 있다.
패치 프로세서들은 레티클의 적어도 일부분 또는 패치에 대응하는 이미지 또는 강도 값들을 수신할 수 있다. 패치 프로세서들은 또한 예컨대, 수신된 데이터 부분을 보유하는 로컬 메모리 기능들을 제공하는 DRAM 디바이스들과 같은 하나 이상의 메모리 디바이스들(도시되지 않음)에 각각 커플링되거나 이에 통합될 수 있다. 바람직하게는, 메모리는 레티클의 패치에 대응하는 데이터를 보유하기에 충분히 크다. 예를 들어, 8 메가바이트의 메모리는 512 × 1024 픽셀들인 패치에 대응하는 이미지 또는 강도 값들에 적합하다. 대안적으로, 패치 프로세서들이 메모리를 공유할 수 있다.
입력 데이터(402)의 각각의 세트는 레티클의 스와스에 대응할 수 있다. 데이터의 하나 이상의 세트들이 데이터 분배 시스템의 메모리에 저장될 수 있다. 이 메모리는 데이터 분배 시스템 내의 하나 이상의 프로세서들에 의해 제어될 수 있으며, 메모리는 복수의 파티션들로 분할될 수 있다. 예를 들어, 데이터 분배 시스템은 스와스의 부분에 대응하는 데이터를 제 1 메모리 파티션(도시되지 않음)에 수신할 수 있고, 데이터 분배 시스템은 다른 스와스에 대응하는 다른 데이터를 제 2 메모리 파티션(도시되지 않음)에 수신할 수 있다. 바람직하게는, 데이터 분배 시스템의 메모리 파티션들 각각은 이러한 메모리 파티션과 연관된 프로세서에 라우팅될 데이터의 부분들만을 보유한다. 예를 들어, 데이터 분배 시스템의 제 1 메모리 파티션은 제 1 데이터를 보유하고 패치 프로세서(406a)에 라우팅할 수 있고, 제 2 메모리 파티션은 제 2 데이터를 보유하고 패치 프로세서(406b)에 라우팅할 수 있다.
데이터 분배 시스템은 데이터의 임의의 적합한 파라미터들에 기초하여 데이터의 각각의 데이터 세트를 정의 및 분배할 수 있다. 예를 들어, 데이터는 레티클 상의 패치의 대응하는 포지션에 기초하여 정의되고 분배될 수 있다. 일 실시예에서, 각각의 스와스는 스와스 내의 픽셀들의 수평 포지션들에 대응하는 컬럼 포지션들의 범위와 연관된다. 예를 들어, 스와스의 컬럼들(0 내지 256)은 제 1 패치에 대응할 수 있고, 이들 컬럼들 내의 픽셀들은 하나 이상의 패치 프로세서들로 라우팅되는 제 1 이미지 또는 강도 값들의 세트를 포함할 것이다. 마찬가지로, 스와스 컬럼들(257 내지 512)은 제 2 패치에 대응할 수 있고, 이들 컬럼들 내의 픽셀들은 상이한 패치 프로세서(들)로 라우팅되는 제 2 이미지 또는 강도 값들의 세트를 포함할 것이다.
도 5a는 특정 실시예들에 따른 포토마스크(M)로부터 웨이퍼(W) 상으로 마스크 패턴을 전사하는데 사용될 수 있는 통상적인 리소그래피 시스템(500)의 단순화된 개략도이다. 이러한 시스템들의 예들은 스캐너들 및 스테퍼들, 보다 구체적으로는 네덜란드, 펠트호번의 ASML로부터 입수 가능한 TWINSCAN NXT : 1970Ci 스텝 앤 스캔 시스템(Step-and-Scan system)을 포함한다. 일반적으로, 조명 소스(503)는 조명 광학계(507)(예를 들어, 렌즈(505))를 통해 마스크 평면(502)에 위치된 포토마스크(M) 상에 광 빔을 지향시킨다. 조명 렌즈(505)는 그 평면(502)에 개구수(numeric aperture)(501)를 갖는다. 개구수(501)의 값은, 포토마스크 상의 결함이 리소그래피의 현저한 결함들인지 그리고 리소그래피의 현저한 결함들이 아닌 것인지에 영향을 미친다. 포토마스크(M)를 통과하는 빔의 일부는, 패턴 전사를 개시하기 위해, 이미징 광학계(513)를 통과하여 웨이퍼(W) 상에 지향되는 패터닝된 광학 신호를 형성한다.
도 5b는 특정 실시예들에 따른 레티클 평면(552)에 상대적으로 큰 개구수(551b)를 구비한 이미징 렌즈를 포함하는 조명 광학계(551a)를 갖는 예시적인 검사 시스템(550)의 개략도를 제공한다. 도시된 검사 시스템(550)은 예를 들어, 강화된 검사를 위해 60-200배 이상의 배율을 제공하도록 설계된 현미경 배율 광학계(microscopic magnification optics)를 포함하는 검출 광학계(553a 및 553b)를 포함한다. 예를 들어, 검사 시스템의 레티클 평면(552)의 개구수(551b)는 리소그래피 시스템(500)의 레티클 평면(502)의 개구수(501)보다 상당히 더 클 수 있으며, 이는 테스트 검사 이미지들과 실제 인쇄된 이미지들 사이의 차이를 초래할 것이다.
본원에서 설명되는 검사 기술들은 도 5b에 개략적으로 예시된 것과 같은 다양한 특수하게 구성된 검사 시스템들 상에서 구현될 수 있다. 예시된 시스템(550)은 조명 광학계(551a)를 통해 레티클 평면(552)의 포토마스크(M) 상으로 지향되는 광 빔을 생성하는 조명 소스(560)를 포함한다. 광 소스들의 예들은 코히런트(coherent) 레이저 광 소스(예를 들어, 딥 UV 또는 가스 레이저 생성기), 필터링된 램프, LED 광 소스 등을 포함한다. 일 예에서, 소스는 193 nm 레이저이다. 위에서 설명된 바와 같이, 검사 시스템(550)은 대응하는 리소그래피 시스템의 레티클 평면 개구수(예를 들어, 도 5a의 엘리먼트(501)) 이상일 수 있는 레티클 평면(552)의 개구수(551b)를 가질 수 있다. 검사될 포토마스크(M)는 레티클 평면(552)의 마스크 스테이지 상에 배치되고 소스에 노출된다.
마스크(M)로부터의 패터닝된 이미지는 패터닝된 이미지를 센서(554a) 상으로 프로젝팅하는 광학 엘리먼트들의 모음(553a)을 통해 지향된다. 반사 시스템에서, 광학 엘리먼트들(예를 들어, 빔 스플리터(576) 및 검출 렌즈(578))은 마스크(M)로부터의 반사된 광을 센서(554b) 상으로 지향시키고 캡처한다. 2개의 센서들이 도시되지만, 단일 센서가 동일한 레티클 영역의 상이한 스캔들 동안 반사되고 투과된 광을 검출하는데 사용될 수 있다. 적합한 센서들은 전하 커플링된 디바이스(charged coupled devices; CCD), CCD 어레이들, 시간 지연 적분(Time Delay Integration; TDI) 센서들, TDI 센서 어레이들, 광전자 증배관(photomultiplier tube; PMT)들 및 다른 센서들을 포함한다.
조명 광학계 컬럼은 레티클의 패치들을 스캔하도록 임의의 적합한 메커니즘에 의해 검출기 또는 카메라에 대해 이동되는 스테이지 및/또는 마스크 스테이지에 관하여 이동될 수 있다. 예를 들어, 모터 메커니즘이 스테이지를 이동시키는데 이용될 수 있다. 모터 메커니즘은 예를 들어, 스크류 드라이브 및 스테퍼 모터, 피드백 포지션을 갖는 선형 드라이브 또는 밴드 액추에이터 및 스테퍼 모터로 형성될 수 있다.
각각의 센서(예를 들어, 554a 및/또는 554b)에 의해 캡처된 신호들은 컴퓨터 시스템(573)에 의해, 또는 보다 일반적으로 각각의 센서로부터의 아날로그 신호들을, 프로세싱을 위해 디지털 신호들로 변환하도록 구성된 디지털-아날로그 변환기를 각각 포함할 수 있는 하나 이상의 신호 프로세싱 디바이스들에 의해 프로세싱될 수 있다. 컴퓨터 시스템(573)은 통상적으로 적절한 버스들 또는 다른 통신 메커니즘들을 통해 하나 이상의 메모리들 및 입력/출력 포트들에 커플링된 하나 이상의 프로세서들을 포함한다.
컴퓨터 시스템(573)은 또한, 사용자 입력을 제공하기 위해, 예컨대, 초점 및 다른 검사 레시피 파라미터들을 변경하기 위해 하나 이상의 입력 디바이스들(예를 들어, 키보드, 마우스, 조이스틱)을 포함할 수 있다. 컴퓨터 시스템(573)은 또한 예를 들어, 샘플 포지션(예를 들어, 포커싱 및 스캔)을 제어하기 위한 스테이지에 연결되고 이러한 검사 시스템 컴포넌트들의 다른 검사 파라미터들 및 구성들을 제어하기 위한 다른 검사 시스템 컴포넌트들에 연결될 수 있다.
컴퓨터 시스템(573)은 결과적인 강도 값들, 이미지들 및 다른 검사 결과들을 디스플레이하기 위한 사용자 인터페이스(예를 들어, 컴퓨터 스크린)를 제공하도록 (예를 들어, 프로그래밍 명령들로) 구성될 수 있다. 컴퓨터 시스템(573)은 반사된 및/또는 투과된 감지된 광 빔의 강도, 위상 및/또는 다른 특성들을 분석하도록 구성될 수 있다. 컴퓨터 시스템(573)은 결과적인 강도 값들, 이미지들 및 다른 검사 특성들을 디스플레이하기 위한 사용자 인터페이스를 (예를 들어, 컴퓨터 스크린 상에) 제공하도록 (예를 들어, 프로그래밍 명령들로) 구성될 수 있다. 특정 실시예들에서, 컴퓨터 시스템(573)은 위에서 설명된 검사 기술들을 수행하도록 구성된다.
이러한 정보 및 프로그램 명령들은 특별히 구성된 컴퓨터 시스템 상에서 구현될 수 있기 때문에, 그러한 시스템은 컴퓨터 판독 가능 매체 상에 저장될 수 있는, 본원에서 설명되는 다양한 동작들을 수행하기 위한 프로그램 명령들/컴퓨터 코드를 포함한다. 머신-판독 가능 매체의 예들은, 하드 디스크들, 플로피 디스크들 및 자기 테이프와 같은 자기 매체; CD-ROM 디스크들과 같은 광학 매체; 광학 디스크들과 같은 자기-광학 매체; 판독 전용 메모리 디바이스(read-only memory device; ROM) 및 랜덤 액세스 메모리(random access memory; RAM)와 같이 프로그램 명령들을 저장하고 수행하도록 특별히 구성되는 하드웨어 디바이스들을 포함(그러나 이것으로 제한되지 않음)할 수 있다. 프로그램 명령들의 예들은, 예컨대, 컴파일러에 의해 생성된 머신 코드 및 인터프리터를 사용하여 컴퓨터에 의해 실행될 수 있는 상위 레벨 코드를 포함하는 파일들 둘 다를 포함한다.
특정 실시예들에서, 포토마스크를 검사하기 위한 시스템은 본원에서 설명되는 기술들을 수행하도록 구성되는 적어도 하나의 메모리 및 적어도 하나의 프로세서를 포함한다. 검사 시스템의 일 예는 캘리포니아주 밀피타스(Milpitas)의 KLA-Tencor로부터 입수 가능한 특별히 구성된 TeraScan™ DUV 검사 시스템을 포함한다.
상기 발명이 이해의 명확성을 위해 다소 상세히 설명되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 명백할 것이다. 본 발명의 프로세스들, 시스템들 및 장치들을 구현하는 여러 대안적인 방식들이 있다는 것에 유념해야 한다. 따라서, 본 실시예들은 제한적인 것이 아니라 예시적인 것으로 간주하여야 하며, 본 발명은 본원에서 주어진 세부사항들로 제한되지 않는다.

Claims (29)

  1. 포토리소그래피 레티클(photolithographic reticle)을 퀄리파잉(qualifying)하는 방법으로서,
    광학 레티클 검사 툴을 사용하여, 캘리브레이션 레티클(calibration reticle)의 복수의 패턴 영역들 각각으로부터 상이한 이미징 구성들의 복수의 이미지들을 취득하는 단계;
    상기 캘리브레이션 레티클의 각각의 패턴 영역으로부터의 취득된 이미지들에 기초하여 상기 캘리브레이션 레티클의 패턴 영역들 각각에 대한 레티클 근거리 장(reticle near field)을 복원하는 단계;
    상기 캘리브레이션 레티클에 대한 복원된 레티클 근거리 장을 사용하여, 상기 레티클 근거리 장에 기초하여 복수의 웨이퍼 이미지들을 시뮬레이팅하기 위한 리소그래피 모델을 생성하는 단계;
    광학 레티클 검사 툴을 사용하여, 테스트 레티클의 복수의 패턴 영역들 각각으로부터 상이한 이미징 구성들의 복수의 이미지들을 취득하는 단계;
    상기 테스트 레티클의 각각의 패턴 영역으로부터의 취득된 이미지들에 기초하여 상기 테스트 레티클의 패턴 영역들 각각에 대한 레티클 근거리 장을 복원하는 단계;
    복수의 테스트 웨이퍼 이미지들을 시뮬레이팅하기 위해, 생성된 모델을 상기 테스트 레티클에 대한 레티클 근거리 장에 적용하는 단계; 및
    상기 테스트 레티클이 불안정하거나 결함이 있는 웨이퍼를 초래할 가능성이 있는지를 결정하도록 시뮬레이팅된 테스트 웨이퍼 이미지들을 분석하는 단계를 포함하는, 포토리소그래피 레티클을 퀄리파잉하는 방법.
  2. 제 1 항에 있어서,
    상기 레티클 근거리 장은, 상기 레티클 근거리 장을 결정하기 위해 준-뉴톤(quasi-Newton) 또는 공액 경도(conjugate gradient) 기술을 사용하여 복원되는 것인, 포토리소그래피 레티클을 퀄리파잉하는 방법.
  3. 제 1 항에 있어서,
    상기 레티클 근거리 장은, 상기 취득된 이미지들과 상기 레티클 근거리 장으로부터 계산된 복수의 이미지들 간의 복수의 차이 자승(squared differences)의 합을 최소화하는 회귀 기술에 의해 복원되는 것인, 포토리소그래피 레티클을 퀄리파잉하는 방법.
  4. 제 1 항에 있어서,
    상기 레티클 근거리 장은 홉킨스 근사(Hopkins approximation)를 사용하여 복원되는 것인, 포토리소그래피 레티클을 퀄리파잉하는 방법.
  5. 제 1 항에 있어서,
    상기 레티클 근거리 장은 상기 레티클을 제조하는데 사용된 설계 데이터베이스를 사용함 없이 복원되는 것인, 포토리소그래피 레티클을 퀄리파잉하는 방법.
  6. 제 1 항에 있어서,
    상기 취득된 이미지들은, 동일한 레티클 근거리 장을 발생시키기 위해 선택된 상이한 이미징 조건들에서 취득되는 적어도 3개의 이미지들을 포함하는 것인, 포토리소그래피 레티클을 퀄리파잉하는 방법.
  7. 제 6 항에 있어서,
    상이한 프로세스 조건들은, 상이한 초점 세팅들, 상이한 조명 방향들 또는 패턴들, 전체 조명 퓨필(illumination pupil) 또는 조명 퓨필의 상이한 부분들에 대한 상이한 선형 편광, 및/또는 컬렉션 빔(collection beam)의 상이한 부분들을 가리기 위한 상이한 아포디제이션 세팅들(apodization settings)을 포함하는 것인, 포토리소그래피 레티클을 퀄리파잉하는 방법.
  8. 제 1 항에 있어서,
    상기 리소그래피 모델은 특정 포토레지스트 재료의 효과를 비롯해서, 포토리소그래피 프로세스를 시뮬레이팅하는 것인, 포토리소그래피 레티클을 퀄리파잉하는 방법.
  9. 제 1 항에 있어서,
    상기 리소그래피 모델은, 상기 모델로부터 발생된 복수의 웨이퍼 이미지들을, 상기 캘리브레이션 레티클을 사용하여 제조된 웨이퍼의 복수의 기준 이미지들과 비교하고, 상기 취득된 이미지들과 기준 이미지들 사이의 차이가 최소화될 때까지 상기 모델의 복수의 모델 파라미터들을 조정함으로써 생성되는 것인,
    포토리소그래피 레티클을 퀄리파잉하는 방법.
  10. 제 1 항에 있어서,
    상기 캘리브레이션 레티클은 또한, 광학 근접도 정정 모델(optical proximity correction model)을 캘리브레이팅하는데 사용되는 것인, 포토리소그래피 레티클을 퀄리파잉하는 방법.
  11. 제 1 항에 있어서,
    상기 모델은 복수의 상이한 리소그래피 프로세스 조건들 하에서 상기 테스트 레티클 근거리 장에 적용되고,
    상기 시뮬레이팅된 테스트 웨이퍼 이미지들을 분석하는 단계는, 상이한 프로세스 조건들을 갖고 동일한 레티클 영역과 연관되는 시뮬레이팅된 테스트 이미지들을 비교함으로써, 상기 테스트 레티클이 상이한 리소그래피 프로세스 조건들 하에서 불안정한 웨이퍼를 초래할 가능성이 있는지를 결정하는 단계를 포함하는 것인, 포토리소그래피 레티클을 퀄리파잉하는 방법.
  12. 제 11 항에 있어서,
    상기 시뮬레이팅된 테스트 이미지들의 비교가 미리 정의된 임계치 초과의 차이를 발생시키면, 상기 테스트 레티클은 불안정한 것으로 결정되는 것인, 포토리소그래피 레티클을 퀄리파잉하는 방법.
  13. 제 12 항에 있어서,
    상이한 레티클 영역들은 상이한 미리 정의된 임계치들을 갖는 것인, 포토리소그래피 레티클을 퀄리파잉하는 방법.
  14. 제 1 항에 있어서,
    상기 테스트 레티클이 불안정하거나 결함이 있는 웨이퍼를 초래할 가능성이 있다는 결정에 기초하여, 상기 테스트 레티클을 수리하거나, 상기 테스트 레티클을 폐기하거나, 또는 그러한 테스트 레티클로 제조된 웨이퍼의 특정 영역들을 모니터링하는 단계를 더 포함하는 포토리소그래피 레티클을 퀄리파잉하는 방법.
  15. 제 1 항에 있어서,
    상기 시뮬레이팅된 테스트 이미지들은, 상기 테스트 레티클이 불안정하거나 결함이 있는 웨이퍼를 초래할 가능성이 있는지를 결정하기 위해 상기 시뮬레이팅된 테스트 이미지들을, 프리(pre)-OPC 설계 데이터베이스로부터 형성된 복수의 이미지들과 비교함으로써 분석되는 것인, 포토리소그래피 레티클을 퀄리파잉하는 방법.
  16. 포토리소그래피 레티클을 퀄리파잉하기 위한 검사 시스템으로서,
    입사 빔을 생성하기 위한 광 소스;
    상기 입사 빔을 레티클 상으로 지향시키기 위한 조명 광학 모듈;
    상기 레티클의 각각의 패턴 영역으로부터 적어도 하나의 센서로 출력 빔을 지향시키기 위한 컬렉션 광학 모듈;
    상기 출력 빔을 검출하고 상기 출력 빔에 기초하여 이미지 또는 신호를 생성하기 위한 적어도 하나의 센서; 및
    제어기를 포함하고,
    상기 제어기는,
    캘리브레이션 레티클의 복수의 패턴 영역들 각각으로부터 상이한 이미징 구성들의 복수의 이미지들을 취득하게 하는 동작;
    상기 캘리브레이션 레티클의 각각의 패턴 영역으로부터의 취득된 이미지들에 기초하여 상기 캘리브레이션 레티클의 패턴 영역들 각각에 대한 레티클 근거리 장을 복원하는 동작;
    상기 캘리브레이션 레티클에 대한 복원된 레티클 근거리 장을 사용하여, 상기 레티클 근거리 장에 기초하여 복수의 웨이퍼 이미지들을 시뮬레이팅하기 위한 리소그래피 모델을 생성하는 동작;
    테스트 레티클의 복수의 패턴 영역들 각각으로부터 상이한 이미징 구성들의 복수의 이미지들을 취득하게 하는 동작;
    상기 테스트 레티클의 각각의 패턴 영역으로부터의 취득된 이미지들에 기초하여 상기 테스트 레티클의 패턴 영역들 각각에 대한 레티클 근거리 장을 복원하는 동작;
    복수의 테스트 웨이퍼 이미지들을 시뮬레이팅하기 위해, 생성된 모델을 상기 테스트 레티클에 대한 레티클 근거리 장에 적용하는 동작; 및
    상기 테스트 레티클이 불안정하거나 결함이 있는 웨이퍼를 초래할 가능성이 있는지를 결정하도록 시뮬레이팅된 테스트 웨이퍼 이미지들을 분석하는 동작을 수행하도록 구성되는 것인, 포토리소그래피 레티클을 퀄리파잉하기 위한 검사 시스템.
  17. 제 16 항에 있어서,
    상기 레티클 근거리 장은, 상기 레티클 근거리 장을 결정하기 위해 준-뉴톤 또는 공액 경도 기술을 사용하여 복원되는 것인, 포토리소그래피 레티클을 퀄리파잉하기 위한 검사 시스템.
  18. 제 16 항에 있어서,
    상기 레티클 근거리 장은, 상기 취득된 이미지들과 상기 레티클 근거리 장으로부터 계산된 복수의 이미지들 간의 복수의 차이 자승의 합을 최소화하는 회귀 기술에 의해 복원되는 것인, 포토리소그래피 레티클을 퀄리파잉하기 위한 검사 시스템.
  19. 제 16 항에 있어서,
    상기 레티클 근거리 장은 홉킨스 위상 근사를 사용하여 복원되는 것인, 포토리소그래피 레티클을 퀄리파잉하기 위한 검사 시스템.
  20. 제 16 항에 있어서,
    상기 레티클 근거리 장은 상기 레티클을 제조하는데 사용된 설계 데이터베이스를 사용함 없이 복원되는 것인, 포토리소그래피 레티클을 퀄리파잉하기 위한 검사 시스템.
  21. 제 16 항에 있어서,
    상기 취득된 이미지들은, 동일한 레티클 근거리 장을 발생시키기 위해 선택된 상이한 이미징 조건들에서 취득되는 적어도 3개의 이미지들을 포함하는 것인, 포토리소그래피 레티클을 퀄리파잉하기 위한 검사 시스템.
  22. 제 21 항에 있어서,
    상이한 프로세스 조건들은, 상이한 초점 세팅들, 상이한 조명 방향들 또는 패턴들, 전체 조명 퓨필 또는 조명 퓨필의 상이한 부분들에 대한 상이한 선형 편광, 및/또는 컬렉션 빔의 상이한 부분들을 가리기 위한 상이한 아포디제이션 세팅들을 포함하는 것인, 포토리소그래피 레티클을 퀄리파잉하기 위한 검사 시스템.
  23. 제 16 항에 있어서,
    상기 리소그래피 모델은, 특정 포토레지스트 재료의 효과를 비롯해서, 포토리소그래피 프로세스를 시뮬레이팅하는 것인, 포토리소그래피 레티클을 퀄리파잉하기 위한 검사 시스템.
  24. 제 16 항에 있어서,
    상기 리소그래피 모델은, 상기 모델로부터 발생된 복수의 웨이퍼 이미지들을, 상기 캘리브레이션 레티클을 사용하여 제조된 웨이퍼의 복수의 기준 이미지들과 비교하고, 상기 취득된 이미지들과 기준 이미지들 사이의 차이가 최소화될 때까지 상기 모델의 복수의 모델 파라미터들을 조정함으로써 생성되는 것인, 포토리소그래피 레티클을 퀄리파잉하기 위한 검사 시스템.
  25. 제 16 항에 있어서,
    상기 캘리브레이션 레티클은 또한, 광학 근접도 정정 모델을 캘리브레이팅하는데 사용되는 것인, 포토리소그래피 레티클을 퀄리파잉하기 위한 검사 시스템.
  26. 제 16 항에 있어서,
    상기 모델은 복수의 상이한 리소그래피 프로세스 조건들 하에서 상기 테스트 레티클 근거리 장에 적용되고,
    상기 시뮬레이팅된 테스트 웨이퍼 이미지들을 분석하는 단계는, 상이한 프로세스 조건들을 갖고 동일한 레티클 영역과 연관되는 시뮬레이팅된 테스트 이미지들을 비교함으로써, 상기 테스트 레티클이 상이한 리소그래피 프로세스 조건들 하에서 불안정한 웨이퍼를 초래할 가능성이 있는지를 결정하는 단계를 포함하는 것인, 포토리소그래피 레티클을 퀄리파잉하기 위한 검사 시스템.
  27. 제 26 항에 있어서,
    상기 시뮬레이팅된 테스트 이미지들의 비교가 미리 정의된 임계치 초과의 차이를 발생시키면, 상기 테스트 레티클은 불안정한 것으로 결정되는 것인, 포토리소그래피 레티클을 퀄리파잉하기 위한 검사 시스템.
  28. 제 27 항에 있어서,
    상이한 레티클 영역들은 상이한 미리 정의된 임계치들을 갖는 것인, 포토리소그래피 레티클을 퀄리파잉하기 위한 검사 시스템.
  29. 제 16 항에 있어서,
    상기 시뮬레이팅된 테스트 웨이퍼 이미지들은, 상기 테스트 레티클이 불안정하거나 결함이 있는 웨이퍼를 초래할 가능성이 있는지를 결정하기 위해 상기 시뮬레이팅된 테스트 웨이퍼 이미지들을, 프리-OPC 설계 데이터베이스로부터 형성된 복수의 이미지들과 비교함으로써 분석되는 것인, 포토리소그래피 레티클을 퀄리파잉하기 위한 검사 시스템.
KR1020187006673A 2015-08-10 2016-08-05 웨이퍼-레벨 결함 인쇄성을 예측하기 위한 장치 및 방법들 KR20180030228A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/822,571 2015-08-10
US14/822,571 US9547892B2 (en) 2014-05-06 2015-08-10 Apparatus and methods for predicting wafer-level defect printability
PCT/US2016/045749 WO2017027366A1 (en) 2015-08-10 2016-08-05 Apparatus and methods for predicting wafer-level defect printability

Publications (1)

Publication Number Publication Date
KR20180030228A true KR20180030228A (ko) 2018-03-21

Family

ID=57984181

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187006673A KR20180030228A (ko) 2015-08-10 2016-08-05 웨이퍼-레벨 결함 인쇄성을 예측하기 위한 장치 및 방법들

Country Status (7)

Country Link
US (1) US10304180B2 (ko)
EP (1) EP3210189B1 (ko)
JP (3) JP6840129B2 (ko)
KR (1) KR20180030228A (ko)
CN (2) CN111340762B (ko)
TW (1) TWI695222B (ko)
WO (1) WO2017027366A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190111644A (ko) 2018-03-23 2019-10-02 (주)브릭 반복 패턴 영상의 결함 유형 분류 장치 및 방법
KR20190127320A (ko) 2018-05-04 2019-11-13 (주)브릭 반복 패턴 영상의 결함 위치 파악 장치 및 방법
KR20210138122A (ko) * 2019-04-09 2021-11-18 케이엘에이 코포레이션 확률적 레티클 결함 처리

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111340762B (zh) 2015-08-10 2021-06-25 科磊股份有限公司 用于预测晶片级缺陷可印性的设备及方法
US10395361B2 (en) 2015-08-10 2019-08-27 Kla-Tencor Corporation Apparatus and methods for inspecting reticles
US10031997B1 (en) * 2016-11-29 2018-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Forecasting wafer defects using frequency domain analysis
TWI755453B (zh) 2017-05-18 2022-02-21 美商克萊譚克公司 鑑定一光微影光罩合格性之方法及系統
US11131629B2 (en) * 2017-05-26 2021-09-28 Kla-Tencor Corporation Apparatus and methods for measuring phase and amplitude of light through a layer
EP3688529B1 (en) * 2017-09-27 2023-12-13 ASML Netherlands B.V. Method of determining control parameters of a device manufacturing process
US10964014B2 (en) * 2017-10-30 2021-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Defect detecting method and defect detecting system
US10691864B2 (en) * 2017-11-14 2020-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of post optical proximity correction (OPC) printing verification by machine learning
US10755405B2 (en) * 2017-11-24 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for diagnosing a semiconductor wafer
US11257207B2 (en) * 2017-12-28 2022-02-22 Kla-Tencor Corporation Inspection of reticles using machine learning
US11514357B2 (en) * 2018-03-19 2022-11-29 Kla-Tencor Corporation Nuisance mining for novel defect discovery
KR20210036962A (ko) * 2018-08-28 2021-04-05 에이에스엠엘 네델란즈 비.브이. 최적의 계측 안내 시스템들 및 방법들
US10866197B2 (en) * 2018-09-20 2020-12-15 KLA Corp. Dispositioning defects detected on extreme ultraviolet photomasks
US10866505B2 (en) * 2018-09-21 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Mask process correction
US11430677B2 (en) * 2018-10-30 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer taping apparatus and method
US11733605B2 (en) * 2019-06-20 2023-08-22 Kla Corporation EUV in-situ linearity calibration for TDI image sensors using test photomasks
CN110431407B (zh) * 2019-06-20 2020-08-25 长江存储科技有限责任公司 多晶硅表征方法
WO2021222688A1 (en) * 2020-04-30 2021-11-04 Photronics, Inc. System, method, and program product for manufacturing a photomask
TWI746320B (zh) * 2020-12-18 2021-11-11 財團法人工業技術研究院 產生及更新定位分布圖的方法及其系統

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5795688A (en) 1996-08-14 1998-08-18 Micron Technology, Inc. Process for detecting defects in photomasks through aerial image comparisons
US7617474B2 (en) * 1997-09-17 2009-11-10 Synopsys, Inc. System and method for providing defect printability analysis of photolithographic masks with job-based automation
US6757645B2 (en) * 1997-09-17 2004-06-29 Numerical Technologies, Inc. Visual inspection and verification system
US6578188B1 (en) * 1997-09-17 2003-06-10 Numerical Technologies, Inc. Method and apparatus for a network-based mask defect printability analysis system
JP3706364B2 (ja) 2001-10-09 2005-10-12 アスムル マスクツールズ ビー.ブイ. 2次元フィーチャ・モデルの較正および最適化方法
JP2003330163A (ja) * 2002-05-13 2003-11-19 Sony Corp フォトマスクの検査装置および検査方法
US7418124B2 (en) 2002-07-15 2008-08-26 Kla-Tencor Technologies Corp. Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
US6902855B2 (en) 2002-07-15 2005-06-07 Kla-Tencor Technologies Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
WO2004040372A1 (en) 2002-11-01 2004-05-13 Systems On Silicon Manufacturing Co. Pte. Ltd. Multi-image reticles
KR100488543B1 (ko) 2002-11-05 2005-05-11 삼성전자주식회사 포토리소그래피 공정용 레티클 제작방법
US6768958B2 (en) * 2002-11-26 2004-07-27 Lsi Logic Corporation Automatic calibration of a masking process simulator
US8111898B2 (en) * 2002-12-06 2012-02-07 Synopsys, Inc. Method for facilitating automatic analysis of defect printability
JP4311713B2 (ja) * 2003-03-10 2009-08-12 キヤノン株式会社 露光装置
US8151220B2 (en) 2003-12-04 2012-04-03 Kla-Tencor Technologies Corp. Methods for simulating reticle layout data, inspecting reticle layout data, and generating a process for inspecting reticle layout data
JP4758358B2 (ja) * 2004-01-29 2011-08-24 ケーエルエー−テンカー コーポレイション レチクル設計データにおける欠陥を検出するためのコンピュータに実装される方法
US7603648B2 (en) * 2004-02-27 2009-10-13 Intel Corporation Mask design using library of corrections
US7313780B2 (en) * 2005-03-10 2007-12-25 Chartered Semiconductor Manufacturing Ltd. System and method for designing semiconductor photomasks
US7769225B2 (en) 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
JP2007071678A (ja) * 2005-09-07 2007-03-22 Hitachi High-Technologies Corp 検査システム
US8102408B2 (en) 2006-06-29 2012-01-24 Kla-Tencor Technologies Corp. Computer-implemented methods and systems for determining different process windows for a wafer printing process for different reticle designs
WO2008039674A2 (en) * 2006-09-20 2008-04-03 Luminescent Technologies, Inc. Photo-mask and wafer image reconstruction
US7512927B2 (en) 2006-11-02 2009-03-31 International Business Machines Corporation Printability verification by progressive modeling accuracy
JP4707701B2 (ja) 2006-11-08 2011-06-22 エーエスエムエル マスクツールズ ビー.ブイ. 瞳を有する光学結像システムの結像性能をシミュレーションするモデルを生成する方法およびコンピュータプログラム
US8103086B2 (en) 2007-01-11 2012-01-24 Kla-Tencor Corporation Reticle defect inspection with model-based thin line approaches
US7995832B2 (en) 2007-01-11 2011-08-09 Kla-Tencor Corporation Photomask inspection and verification by lithography image reconstruction using imaging pupil filters
US7873204B2 (en) 2007-01-11 2011-01-18 Kla-Tencor Corporation Method for detecting lithographically significant defects on reticles
US8213704B2 (en) * 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
JP4538021B2 (ja) * 2007-05-31 2010-09-08 株式会社東芝 光近接効果の補正方法
US7703069B1 (en) * 2007-08-14 2010-04-20 Brion Technologies, Inc. Three-dimensional mask model for photolithography simulation
JP5216509B2 (ja) * 2008-03-05 2013-06-19 株式会社日立製作所 走査プローブ顕微鏡およびこれを用いた試料の観察方法
WO2009152046A1 (en) * 2008-06-11 2009-12-17 Kla-Tencor Corporation Systems and methods for detecting design and process defects on a wafer, reviewing defects on a wafer, selecting one or more features within a design for use as process monitoring features, or some combination thereof
US8161421B2 (en) 2008-07-07 2012-04-17 International Business Machines Corporation Calibration and verification structures for use in optical proximity correction
US7932004B1 (en) 2008-10-02 2011-04-26 Kla-Tencor Corporation Feature identification for metrological analysis
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
JP2010156866A (ja) * 2008-12-27 2010-07-15 Toshiba Corp 特徴量抽出方法、テストパターン選択方法、レジストモデル作成方法および設計回路パターン検証方法
US8209161B2 (en) * 2008-12-31 2012-06-26 Cadence Design Systems, Inc. Method, system, and computer program product for lithography simulation in electronic design automation
KR101732750B1 (ko) 2009-07-17 2017-05-24 케이엘에이-텐코 코포레이션 설계 및 결함 데이터를 사용한 스캐너 성능 비교 및 매칭
FR2948491B1 (fr) * 2009-07-21 2011-09-09 St Microelectronics Crolles 2 Simulation de l'image projetee par un masque
CN101969025B (zh) 2009-07-27 2013-07-24 中芯国际集成电路制造(上海)有限公司 形成自对准硅化物区域阻挡膜图案的方法
JP5684028B2 (ja) * 2011-03-31 2015-03-11 Hoya株式会社 転写用マスクの製造方法および半導体デバイスの製造方法
US9400857B2 (en) * 2011-09-19 2016-07-26 D2S, Inc. Method and system for forming patterns using charged particle beam lithography
US8572520B2 (en) 2012-03-01 2013-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Optical proximity correction for mask repair
WO2013142079A1 (en) * 2012-03-20 2013-09-26 Kla-Tencor Corporation Using reflected and transmission maps to detect reticle degradation
US9091935B2 (en) 2013-03-11 2015-07-28 Kla-Tencor Corporation Multistage extreme ultra-violet mask qualification
US9612541B2 (en) * 2013-08-20 2017-04-04 Kla-Tencor Corporation Qualifying patterns for microlithography
CN104423142B (zh) 2013-08-22 2020-05-05 中芯国际集成电路制造(上海)有限公司 用于光学邻近校正模型的校准数据收集方法和系统
US9977618B2 (en) * 2013-12-27 2018-05-22 Intel Corporation Pooling of memory resources across multiple nodes
US9478019B2 (en) * 2014-05-06 2016-10-25 Kla-Tencor Corp. Reticle inspection using near-field recovery
US9547892B2 (en) 2014-05-06 2017-01-17 Kla-Tencor Corporation Apparatus and methods for predicting wafer-level defect printability
CN111340762B (zh) 2015-08-10 2021-06-25 科磊股份有限公司 用于预测晶片级缺陷可印性的设备及方法
JP2020097524A (ja) * 2017-03-07 2020-06-25 Spiber株式会社 精製されたタンパク質を製造する方法
JP2020097525A (ja) * 2017-03-10 2020-06-25 出光興産株式会社 化合物、有機エレクトロルミネッセンス素子用材料、有機エレクトロルミネッセンス素子、及び電子機器
TWI755453B (zh) 2017-05-18 2022-02-21 美商克萊譚克公司 鑑定一光微影光罩合格性之方法及系統

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190111644A (ko) 2018-03-23 2019-10-02 (주)브릭 반복 패턴 영상의 결함 유형 분류 장치 및 방법
KR20190127320A (ko) 2018-05-04 2019-11-13 (주)브릭 반복 패턴 영상의 결함 위치 파악 장치 및 방법
KR20210138122A (ko) * 2019-04-09 2021-11-18 케이엘에이 코포레이션 확률적 레티클 결함 처리

Also Published As

Publication number Publication date
JP6840129B2 (ja) 2021-03-10
TW201708942A (zh) 2017-03-01
JP2020166283A (ja) 2020-10-08
US20170309008A1 (en) 2017-10-26
CN111340762A (zh) 2020-06-26
EP3210189A4 (en) 2018-06-06
EP3210189A1 (en) 2017-08-30
CN107851315B (zh) 2020-03-17
JP7116757B2 (ja) 2022-08-10
CN111340762B (zh) 2021-06-25
TWI695222B (zh) 2020-06-01
WO2017027366A1 (en) 2017-02-16
EP3210189B1 (en) 2022-03-23
JP2020166282A (ja) 2020-10-08
JP2018532139A (ja) 2018-11-01
JP7262423B2 (ja) 2023-04-21
US10304180B2 (en) 2019-05-28
CN107851315A (zh) 2018-03-27

Similar Documents

Publication Publication Date Title
JP7116757B2 (ja) ウエハレベル欠陥の転写性を予測する装置および方法
US9547892B2 (en) Apparatus and methods for predicting wafer-level defect printability
US9612541B2 (en) Qualifying patterns for microlithography
US10395361B2 (en) Apparatus and methods for inspecting reticles
JP7422208B2 (ja) モデルベースの限界寸法測定の方法およびシステム
JP7440580B2 (ja) レチクルを検査する装置および方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal