TW201708942A - 用於預測晶圓級缺陷可印性之裝置及方法 - Google Patents

用於預測晶圓級缺陷可印性之裝置及方法 Download PDF

Info

Publication number
TW201708942A
TW201708942A TW105125521A TW105125521A TW201708942A TW 201708942 A TW201708942 A TW 201708942A TW 105125521 A TW105125521 A TW 105125521A TW 105125521 A TW105125521 A TW 105125521A TW 201708942 A TW201708942 A TW 201708942A
Authority
TW
Taiwan
Prior art keywords
reticle
test
images
wafer
different
Prior art date
Application number
TW105125521A
Other languages
English (en)
Other versions
TWI695222B (zh
Inventor
如芳 許
阿布都拉罕 賽斯金納
Original Assignee
克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/822,571 external-priority patent/US9547892B2/en
Application filed by 克萊譚克公司 filed Critical 克萊譚克公司
Publication of TW201708942A publication Critical patent/TW201708942A/zh
Application granted granted Critical
Publication of TWI695222B publication Critical patent/TWI695222B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/0006Industrial image inspection using a design-rule based approach
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N2021/95676Masks, reticles, shadow masks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10016Video; Image sequence
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10141Special mode during image acquisition
    • G06T2207/10144Varying exposure
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10141Special mode during image acquisition
    • G06T2207/10152Varying illumination
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Quality & Reliability (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Theoretical Computer Science (AREA)
  • Biochemistry (AREA)
  • Pathology (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

揭示用於鑑定一光微影倍縮光罩合格性之方法及裝置。使用一倍縮光罩檢測工具在不同成像組態處自一校準倍縮光罩之圖案區域之各者取得影像。基於自校準倍縮光罩之各圖案區域取得之影像恢復校準倍縮光罩之圖案區域之各者之一倍縮光罩近場。基於該倍縮光罩近場使用校準倍縮光罩之恢復之倍縮光罩近場產生用於模仿晶圓影像之一微影模型。接著,在不同成像組態處自一測試倍縮光罩之圖案區域之各者取得影像。接著,基於自該測試倍縮光罩取得之影像恢復該測試倍縮光罩之一倍縮光罩近場。將所產生之模型施加至測試倍縮光罩之倍縮光罩近場以模仿複數個測試晶圓影像,且分析模仿之測試晶圓影像以判定測試倍縮光罩是否將可能導致一不穩定或缺陷晶圓。

Description

用於預測晶圓級缺陷可印性之裝置及方法
本發明大體上係關於倍縮光罩檢測之領域。更具體言之,本發明係關於圖案合格性鑑定。
大體上,半導體製造之產業涉及用於使用分層及圖案化至一基板上之半導體材料(諸如矽)製作積體電路之高複雜性技術。由於半導體器件之大規模積體電路化及縮小尺寸,製作之器件已變得對缺陷越來越敏感。即,引起器件中之故障之缺陷變得越來越小。該器件在運送至終端使用者或客戶之前係無故障的。 通常自複數個倍縮光罩製作一體積電路。首先,電路設計者將描述一特定積體電路(IC)設計之電路圖案資料提供至一倍縮光罩生產系統或倍縮光罩寫入器。該電路圖案資料通常係採取製作之IC器件之實體層之一代表佈局之形式。該代表佈局包含IC器件之各實體層之一代表層(例如,閘極氧化物、多晶矽、金屬化等等),其中各代表層包括界定特定IC器件之一層之圖案化之複數個多邊形。倍縮光罩寫入器使用電路圖案資料來寫入(例如,通常使用一電子束寫入器或雷射掃描器曝露一倍縮光罩圖案)隨後將用於製作特定IC設計之複數個倍縮光罩。 一倍縮光罩或光遮罩係含有至少透明及不透明區域及有時半透明及相移區域(其等共同界定諸如一積體電路之一電子器件中之共面特徵之圖案)之一光學元件。在光微影期間使用倍縮光罩界定一半導體晶圓之指定區域以用於蝕刻、離子植入或其他製作程序。 在製作各倍縮光罩或倍縮光罩之群組之後,各新倍縮光罩通常有資格用於晶圓製作中。例如,倍縮光罩圖案需要無可印刷缺陷。因此,繼續需要改良之倍縮光罩檢測及合格性鑑定技術。
下文呈現本文之一簡化概述以提供對本發明之某些實施例之一基礎瞭解。此概述不係本文之一廣泛概論且其不識別本發明之主要/關鍵元件或敘述本發明之範疇。此概述之唯一目的係以一簡化形式呈現本文揭示之一些概念作為後文呈現之更詳細描述之前奏。 在一項實施例中,揭示一種用於鑑定一光微影倍縮光罩合格性之方法。使用一光學倍縮光罩檢測工具在不同成像組態處自一校準倍縮光罩之複數個圖案區域之各者取得影像。基於自校準倍縮光罩之各圖案區域取得之影像恢復校準倍縮光罩之圖案區域之各者之一倍縮光罩近場。基於倍縮光罩近場而使用校準倍縮光罩之恢復之倍縮光罩近場產生用於模仿晶圓影像之一微影模型。亦使用一光學倍縮光罩檢測工具在不同成像組態處自一測試倍縮光罩之複數個圖案區域之各者取得影像。基於自該測試倍縮光罩之各圖案區域取得之影像恢復測試倍縮光罩之圖案區域之各者之一倍縮光罩近場。接著,將所產生之模型施加至測試倍縮光罩之倍縮光罩近場以模仿測試晶圓影像。接著,可分析模仿之測試晶圓影像以判定測試倍縮光罩是否將可能導致一不穩定或缺陷晶圓。 在一特定實施方案中,使用一擬牛頓或共軛梯度技術恢復倍縮光罩近場以判定倍縮光罩近場。在另一態樣中,藉由最小化複數個在所取得影像與自倍縮光罩近場計算之影像之間之差異的平方之一總和之一迴歸技術恢復倍縮光罩近場。在另一實施例中,使用一霍普金斯(Hopkins)近似法恢復倍縮光罩近場。在另一實例中,在不使用用於製作倍縮光罩之一設計資料庫之情況下恢復倍縮光罩近場。在一個態樣中,所取得之影像包含在經選擇以導致一相同倍縮光罩近場之不同成像條件下取得之至少三個影像。在一進一步態樣中,不同程序條件包含不同焦點設定、不同照明方向或圖案、一完整照明光瞳或一照明光瞳之不同部分之不同線性偏振、及/或遮蔽一集光光束之不同部分之不同切趾設定。 在另一實施例中,微影模型模仿一光微影程序,包含一特定光阻劑材料之效應。在一個態樣中,藉由比較源自該模型之晶圓影像與使用校準倍縮光罩製作之一晶圓之參考影像且調整該模型之模型參數直至最小化所取得之影像與參考影像之間之一差異而產生微影模型。在另一態樣中,亦使用校準倍縮光罩校準一光學接近校正(OPC)模型。在一特定實施方案中,在不同微影程序條件下將模型施加至測試倍縮光罩近場。在此態樣中,分析模仿之測試晶圓影像包含藉由比較具有不同程序條件且與一相同倍縮光罩區域相關聯之模仿測試影像而判定該測試倍縮光罩是否在不同微影程序條件下將可能導致一不穩定晶圓。在一進一步態樣中,當比較模仿之測試影像導致高於一預界定臨限值之一差異時,判定測試倍縮光罩係不穩定的。在又一進一步態樣中,不同倍縮光罩區域具有不同預界定臨限值。 在一進一步應用中,方法包含:基於測試倍縮光罩將可能導致一不穩定或缺陷晶圓之一判定而修復測試倍縮光罩;丟棄該測試倍縮光罩;或監測利用此測試倍縮光罩製作之一晶圓之特定區域。在另一態樣中,藉由比較模仿之測試影像與由預OPC設計資料庫形成之影像而分析模仿之測試影像以判定該測試倍縮光罩是否將可能導致一不穩定或缺陷晶圓。 在一替代實施例中,本發明係關於一種用於鑑定一光微影倍縮光罩合格性之檢測系統。該系統包含用於產生一入射光束之一光源及用於將該入射光束引導至一倍縮光罩上之一照明光學器件模組。該系統亦包含用於將一輸出光束自倍縮光罩之各圖案區域引導至至少一感測器之一集光光學器件模組及用於偵測該輸出光束且基於該輸出光束產生一影像或信號之至少一感測器。該系統進一步包括一控制器,該控制器經組態以執行以下操作:(i)使得在不同成像組態處自一校準倍縮光罩之複數個圖案區域之各者取得複數個影像,(ii)基於自校準倍縮光罩之各圖案區域取得之影像恢復該校準倍縮光罩之圖案區域之各者之一倍縮光罩近場,(iii)基於倍縮光罩近場使用校準倍縮光罩之恢復之倍縮光罩近場產生用於模仿複數個晶圓影像之一微影模型,(iv)使得在不同成像組態處自一測試倍縮光罩之複數個圖案區域之各者取得複數個影像,(v)基於自該測試倍縮光罩之各圖案區域取得之影像恢復該測試倍縮光罩之圖案區域之各者之一倍縮光罩近場,(vi)將所產生之模型施加至測試倍縮光罩之倍縮光罩近場以模仿複數個測試晶圓影像,且(vii)分析模仿之測試晶圓影像以判定測試倍縮光罩是否將可能導致一不穩定或缺陷晶圓。該控制器及系統亦可進一步經組態以執行以上描述之方法操作之任何者。 以下參考圖進一步描述本發明之此等及其他態樣。
[ 相關申請案之交叉參考 ] 本申請案主張先前申請案(即Abdurrahman Sezginer等人在2015年5月1日申請之美國申請案第14/702,336號)之權利且係該先前申請案之一部份接續申請案,該申請案主張2014年5月6日申請之美國臨時申請案第61/988,909號及2014年9月23日申請之美國臨時申請案第62/054,185號之優先權。此等申請案之全文出於所有目的以引用之方式併入本文中。 在以下描述中,闡述多個特定細節以提供對本發明之一全面瞭解。可在無部分或全部此等特定細節之情況下實踐本發明。在其他例項中,未詳細描述已知程序操作或裝置組件以無不必要地模糊本發明。儘管將結合特定實施例描述本發明,但將瞭解不意欲將本發明限制於實施例。 術語「倍縮光罩」、「遮罩」、「光遮罩」在本文中交替使用且各者大體上可包含一透明基板,諸如玻璃、硼矽酸玻璃、石英或具有形成於其上之一層不透明材料之熔融矽石。不透明(或實質上不透明)材料可包含完全或部分阻擋光微影光(例如,深UV)之任何適合之材料。實例性材料包含鉻、矽化鉬(MoSi)、矽化鉭、矽化鎢、玻璃上之不透明MoSi (OMOG)等等。亦可在不透明層與透明基板之間增加一多晶矽膜以改良黏合。於不透明材料上方可形成一低反射膜,諸如氧化鉬(MoO2 )、氧化鎢(WO2 )、氧化鈦(TiO2 )或氧化鉻(CrO2 )。 術語倍縮光罩係指不同類型之倍縮光罩,包含(但不限制於)一明場倍縮光罩、一暗場倍縮光罩、一二元倍縮光罩、一相移遮罩(PSM)、一交替PSM、一衰減或半色調PSM、一三元衰減PSM、一無鉻相位微影PSM及無鉻相位微影(CPL)。一明場倍縮光罩具有為透明之場或背景區域,且一暗場倍縮光罩具有為不透明之場或背景區域。一二元倍縮光罩係具有透明或不透明之圖案化區域之一倍縮光罩。例如,可使用由具有一圖案(由一鉻金屬吸附膜界定)之一透明熔融矽石胚料製成之一光遮罩。二元倍縮光罩不同於相移遮罩(PSM),一種二元倍縮光罩可包含僅部分透射光之膜,且此等倍縮光罩通常可被稱為半色調或嵌入相移遮罩(EPSM),諸如ArF及KrF遮罩。若將一相移材料放置於一倍縮光罩之交替餘隙上,則該倍縮光罩被稱為一交替PSM、一ALT PSM、或一列文森(Levenson) PSM。施加至任意佈局圖案之一種相移材料被稱為一衰減或半色調PSM,此可藉由用一部分透射或「半色調」膜來替代不透明材料而製作。一三元衰減PSM係亦包含完全不透明特徵之一衰減PSM。 在使用此遮罩製作晶圓之前或將遮罩運送至一製作工廠之前偵測各遮罩之缺陷係有利的。本發明之一項實施例包含用於藉由使用自一檢測工具獲得之此倍縮光罩之影像預測晶圓級行為而鑑定一遮罩合格性之技術。首先自來自一倍縮光罩(諸如一校準倍縮光罩)之倍縮光罩影像產生用於預測晶圓級行為之一模型,且接著基於一相同或其他倍縮光罩之倍縮光罩影像,此模型可用於一晶圓級缺陷偵測中。 校準實施例: 本發明之某些實施例提供用於基於自一校準遮罩恢復之一遮罩近場校準一微影模型之技術,且隨後可在缺陷偵測期間或出於如以下相對於模型使用實施例進一步描述之其他目的使用此校準模型。相較於諸如基於設計資料庫之一校準程序之其他技術,此一校準程序導致一更準確之微影模型。一設計資料庫方法假定設計資料庫中之幾何形狀準確地表示製作之遮罩上之圖案,而情況通常不係如此。其他校準技術做出假定:可由預先選擇之三維輪廓及一組材料性質描述準確地表示製作之遮罩圖案及材料。相比而言,如本文描述之某些校準實施例藉由利用自實際倍縮光罩恢復之一遮罩近場避免此等模型化缺點以準確地校準一微影模型。 圖1係根據本發明之一項實施例之繪示一模型校準程序100之一流程圖。可在利用此(若干)倍縮光罩製作任何晶圓之前或在開始大量晶圓製作之前執行一特定倍縮光罩或一組倍縮光罩之以下校準程序100。 首先,在操作102中使用一遮罩檢測工具在不同成像組態處取得一校準遮罩之至少三個影像。替代地,可使用兩個影像,但已發現使用三個影像運作良好。在本文描述之某些實施例中,此校準程序之結果最終可基於倍縮光罩影像而用於其他倍縮光罩之缺陷偵測。因此,較佳利用將用於其他倍縮光罩之檢測之倍縮光罩檢測系統之偵測器或一類似組態之倍縮光罩檢測系統(例如,與將用於檢測之倍縮光罩檢測系統相同之做法及模型之一不同倍縮光罩檢測系統)之一類似組態之偵測器取得校準倍縮光罩之影像。換言之,較佳在如將用於檢測之相同光學條件下取得可用於校準之影像。依此方式,可盡量直接量測倍縮光罩與照明電磁波之相互作用。 校準倍縮光罩應具有實質上類似於待檢測以用於缺陷偵測或待量測以用於度量目的之倍縮光罩之(若干)特性。例如,校準倍縮光罩及測試倍縮光罩較佳由具有實質上相同厚度及組成之實質上相同材料形成。另外,可使用相同程序形成兩個倍縮光罩。該兩個倍縮光罩可不必具有印刷於其上之相同圖案,只要倍縮光罩上之圖案可破碎成實質上係相同之片段(例如,具有類似寬度之線等等)。另外,將檢測之倍縮光罩及用於取得影像之倍縮光罩可為同一倍縮光罩。 接著,在操作104中,三個或三個以上影像可彼此對準或各影像可與後OPC資料庫對準。例如,可經由空間域或頻域方法對準所取得之影像。對準調整可取決於所使用之一檢測系統之特定幾何形狀。若使用不同集光路徑獲得不同影像,則可作出影像之一些調整來補償光學路徑之差異。 在微影及檢測中,由自諸多方向入射之電磁(EM)波照明具有各種圖案之一倍縮光罩。此入射光在彼此不同干擾之不同電磁場相位處自遮罩圖案之不同點繞射。倍縮光罩之近場係離倍縮光罩一些波長之一接近距離處之電磁場。 集光光學器件大體上將來自倍縮光罩之光之一繞射限制部分引導朝向一偵測器(或晶圓)以形成一影像。該偵測器偵測為歸因於遮罩近場之干擾之結果之強度,但不偵測相位。 儘管在所偵測之信號中獲得遠場強度,但期望恢復包含振幅及相位之遮罩近場。在所繪示之實施例中,基於此等所取得之校準遮罩影像恢復且儲存遮罩近場,如在操作106中所繪示。多個影像(或信號)大體上用於恢復包含相位及振幅分量兩者之遮罩近場。可基於自倍縮光罩取得之影像藉由一迴歸技術判定近場資料。例如,可使用一擬牛頓或共軛梯度技術自倍縮光罩之所取得光學影像或在一偵測器平面處記錄之影像強度恢復(迴歸)倍縮光罩之一所選擇部分之近場。另外,可使用任何其他適合之迴歸方法及/或演算法自一或多個實際影像判定近場資料。 特定言之,自一倍縮光罩之強度影像恢復該倍縮光罩之近場係一逆問題或一迴歸問題。可藉由最小化一成本函數(例如,能量或懲罰函數)迭代地恢復近場。最小化之數量可為所取得之影像與在偵測器中自遮罩近場計算之強度影像之間之差異的平方之總和。換言之,可針對各種光學系統性質組自最終遮罩近場計算強度影像,且當找出遮罩近場時,此等經計算之影像將最緊密地匹配所取得之影像。參考美國申請案第14/702,336號以找出有關遮罩近場恢復方法之更多細節。 在其中於各種光學條件下取得多個影像之情況中,攜載相位及振幅資訊之恢復近場遮罩m 可藉由以下方程式判定:在以上方程式中,係成像條件a之量測之影像,係描述檢測成像系統之一組特徵向量,係成像系統之一組對應特徵向量,且係0與1之間之一非負加權因子。可通過(例如)諸如擬牛頓或共軛梯度之方法迭代地解決以上方程式。 可利用照明及/或集光組態之各種適合之組態。大體上選擇不同成像組態來提供可自其計算遮罩近場之影像。可選擇任何適合之成像或光學組態,使得遮罩近場在不同操作條件下保持相同。實例包含不同焦點設定、不同照明方向或圖案、用於完整照明光瞳或該照明光瞳之不同部分之不同線性偏振、遮蔽集光光束之不同部分之不同切趾設定等等。例如,照明光瞳之不同象限可具有不同偏振設定。在另一實例中,成像組態可包含高解析度影像,諸如具有不同光瞳形狀及/或不同焦點條件之透射影像(例如,用於ArF遮罩)。在另一實施例中,可獲得具有不同光瞳形狀及/或不同焦點條件之三個或三個以上反射影像(例如,用於EUV遮罩)。 可使用一相對較低NA (例如,小於0.5)成像倍縮光罩。相比而言,一「實質上高解析度影像」大體上係指其中印刷於該倍縮光罩上之特徵實質上顯現為其等形成於倍縮光罩上(在用於產生影像之倍縮光罩檢測系統之光學限制內)之一倍縮光罩之一影像。例如,一倍縮光罩之一「實質上高解析度影像」係藉由利用一實質上高解析度倍縮光罩檢測系統(例如,大於0.8之一數值孔徑(NA))在倍縮光罩平面處成像實體倍縮光罩而產生之一影像。相比而言,用於產生一倍縮光罩之一影像之一「實質上低NA」可為小於0.5之一NA。另外,用於產生一倍縮光罩影像之「實質上低NA」可實質上相同於倍縮光罩側上之一曝光系統用來將倍縮光罩之一影像投影至一晶圓上藉此將倍縮光罩上之特徵轉印至該晶圓上之NA。因此,在實質上低NA影像(或LNI)中,倍縮光罩特徵可具有實質上不同於實際倍縮光罩特徵之一外形。例如,在一特徵之一LNI中,倍縮光罩特徵顯然可比形成於倍縮光罩上之實際特徵具有更多修圓隅角。 可同時或循序利用不同成像組態取得。所取得之影像不必在場平面處。可在光瞳平面處取得兩個或兩個以上影像。一個實例係其中場平面影像與光瞳平面繞射順序之一組合可用於解決物體之振幅及相位兩者之Gerchberg-Saxton演算法。 在一項實施例中,可基於取得之影像經由一霍普金斯近似法判定遮罩近場。在另一實施例中,迴歸不包含薄遮罩近似法。例如,倍縮光罩之近場係當由一垂直入射平面波照明時經計算以呈現靠近倍縮光罩之表面之電磁場。在微影及檢測中,由自諸多方向入射之平面波照明一倍縮光罩。當入射之方向改變時,根據霍普金斯近似法,繞射順序之方向改變但其等之振幅及相位大致保持未改變。本文描述之實施例可使用霍普金斯相位近似法但不進行所謂薄遮罩或基爾霍夫(Kirchhoff)近似法。 實際遮罩可歸因於遮罩寫入程序而隨預期設計圖案改變。自遮罩之影像獲得近場遮罩意謂自實際實體遮罩而非設計資料庫獲得此近場遮罩。即,可在不使用設計資料庫之情況下恢復遮罩近場。 一旦恢復近場遮罩,則其可用於任何數目之應用。針對缺陷偵測,晶圓上之一倍縮光罩缺陷之可印性係重要的,且倍縮光罩缺陷之可印性直接取決於倍縮光罩近場及微影系統。有趣且值得注意的係,由於一較高NA導致之遮罩電磁場向量之干擾將係較大的(比一較低NA檢測系統更大),此歸因於光之入射角之更廣範圍及一較高NA之相關聯干擾電場分量。 在一項實施例中,藉由評估恢復之遮罩近場是否將可能在模仿之晶圓製作條件下導致晶圓圖案缺陷而執行一倍縮光罩合格性鑑定。在所繪示之程序中,在操作108中,可使用相對於近場遮罩之一組初始模型參數模型化光微影程序及光阻劑。該模型可僅包含光微影掃描器之效應及/或該模型亦可包含抗蝕劑、蝕刻、CMP或任何其他晶圓程序之效應。一個實例性程序模仿工具係購自加利福尼亞州苗必達之KLA-Tencor公司之Prolith。 模型及其模型化參數之輸入包含一組程序條件。即,該模型經組態以模仿重建之近場遮罩上之不同組程序條件。各組程序條件大體上對應於特性化或部分特性化用於由遮罩形成一晶圓圖案之晶圓程序之一組晶圓製造程序參數。例如,可將焦點及曝光之一特定設定輸入至模型。使用具有不同組程序條件之此模型可導致由重建之近場遮罩在不同處理條件下形成之一組模仿之晶圓或抗蝕劑圖案影像,且此等模仿之晶圓影像可用於缺陷偵測,如本文進一步描述。 在操作116中,亦使用校準倍縮光罩製作自其獲得實際影像之一校準晶圓。在一項實例中,使用一臨界尺寸(CD)掃描電子顯微鏡(SEM)取得實際影像。可利用其他成像工具,但較佳係一高解析度工具。 一般而言,校準晶圓將含有任何數目之結構,該等結構可廣泛改變。該等結構可採取通常係週期性之光柵形式。各光柵可沿一個方向(X或Y)具有週期性,例如,作為一線空間光柵;或各光柵可沿兩個方向(X及Y)具有週期性,例如,作為一柵格空間光柵。一柵格空間光柵之實例可包含沿Y方向之線之一陣列,其中各線為沿X方向之片段。另一柵格空間實例係點結構之一陣列。即,各結構可採取一線空間光柵、柵格空間光柵、棋盤圖案結構等等之形式。結構設計特性可各包含線寬度(一特定高度處之寬度)、線空間寬度、線長度、形狀、側壁角度、高度、節距、光柵定向、頂部輪廓(圓形頂或T形頂之程度)、底部輪廓(基腳)等等。校準晶圓可含有具有此等特徵特性之不同組合之結構。如應明白,不同結構特性(諸如,不同寬度、間隔、形狀、節距等等)展現對焦點之不同回應,且因此,校準遮罩較佳包含具有不同特性之不同結構。 在一替代實施例中,校準晶圓可採取一「具有經受不同處理條件之不同量測點之實驗設計(DOE)晶圓」之形式。在更一般之實施例中,在一半導體晶圓(被稱為一DOE晶圓)之表面上之一圖案中組織程序參數變動。依此方式,量測點對應於具有不同相關聯程序參數值之晶圓表面上之不同位置。在一項實例中,DOE圖案係一焦點/曝光矩陣(FEM)圖案。通常,展現一FEM圖案之一DOE晶圓包含量測點之一柵格圖案。在一個柵格方向(例如,x方向)中,曝光量改變但焦點之深度保持恒定。在正交柵格方向(例如,y方向)中,焦點之深度改變但曝光量保持恒定。依此方式,自FEM晶圓收集之量測資料包含與焦點及曝光量程序參數中之已知變動相關聯之資料。 FEM量測點大體上跨焦點曝光矩陣晶圓定位。實際上,各場大體上可存在一或多個量測點。可使用焦點及曝光之一不同組合(或可僅為焦點或曝光)形成各場。例如,可使用一第一組合產生一第一場,且可使用不同於該第一組合之一第二組合產生一第二場。可使用可變焦點及可變曝光、可變焦點-恒定曝光、恒定焦點-可變曝光及類似者產生多重組合。 量測點之數目亦可不同。各場之點數目在生產晶圓上大體上係較少的,此係因為生產晶圓上之空間係很珍貴的。再者,一產品晶圓上作出之量測比一焦點曝光矩陣晶圓上作出之量測更少,此係因為生產中之時間限制。在一項實施例中,各場量測一單一點。在另一實施例中,各場量測多個點。 在大多數FEM情況中,使用不同處理參數由同樣設計之圖案形成量測點結構。然而應注意,不同焦點曝光矩陣可具有不同結構。例如,可使用一第一光柵類型執行一第一矩陣且可使用不同於該第一光柵類型之一第二光柵類型執行一第二矩陣。 一般而言,設想與任何組程序參數、結構參數或兩者之已知變動相關聯之光學信號資料。不管形式,校準晶圓結構可印刷於各種不同晶圓層中。特定言之,大體上使用標準微影程序(例如,將一電路影像通過一倍縮光罩投影至塗佈有光阻劑之一矽晶圓上)將印刷結構印刷於一層光阻劑中。該晶圓可為一校準晶圓,其中在測試程序中之該步驟中,對應於材料之材料層通常呈現於產品晶圓上。印刷之結構可印刷於下層中之其他結構上方。校準晶圓可為具有產生工作器件之潛力之一產品晶圓。該校準晶圓可為僅用於校準模型之一簡單晶圓。該校準晶圓可為用於校準OPC設計模型之相同晶圓。一個以上校準晶圓可用於校準微影模型。當使用多重校準晶圓時,可使用相同或不同校準倍縮光罩。不同校準倍縮光罩可具有擁有不同尺寸之圖案以產生一較廣範圍之影像資料。 用於形成校準結構之程序參數大體上經組態以將校準結構之特性保持於所要規格內。校準結構可印刷於一校準晶圓上作為一校準程序之一部分或其等可在生產期間印刷於一生產晶圓上。在生產中,校準結構通常印刷於安置於一生產晶圓上之器件區域(例如,界定IC之晶粒)之間之劃割線中。量測點可為經安置圍繞器件結構之專屬校準結構或其等可為器件結構之一部分(例如,一週期部分)。如應明白,使用器件結構之一部分可更困難,但此將更準確因為其係器件結構之一部分。在另一實施例中,校準結構可跨一完整校準晶圓印刷。 再參考圖1,在操作110中,可比較對應模型化影像及校準影像。接著,在操作112中,可判定是否將調整模型參數。若將調整模型參數,則在操作114中調整該等模型參數且程序100重複操作108以使用經調整之參數模型化微影程序(及抗蝕劑)。可調整模型參數直至模型與校準影像之間之差異已達到亦低於一預界定臨限值之一最小值。經最小化之數量可為取得之校準影像與模仿影像之間之差異的平方之總和。此程序100之輸出係一微影/抗蝕劑模型及其最終模型參數。此組模型參數藉由使用遮罩近場之本質來克服與遮罩程序模型化及遮罩3D形貌模型化相關聯之技術困難。 模型使用實施例: 在獲得一特定程序之一最終校準之微影/抗蝕劑模型之後,此模型可用於在利用一遮罩進行晶圓製作之前自此遮罩產生準確晶圓平面抗蝕劑影像(例如,在顯影後或蝕刻後)。此等抗蝕劑影像將允許吾人利用高保真度且通過不同焦點及曝光設定評估任何檢測圖案之晶圓影像。由於此評估程序可在晶圓製作之前發生,所以可顯著縮短合格性鑑定及缺陷偵測循環。 圖2A及圖2B繪示根據本發明之一項實施例之表示一倍縮光罩合格性鑑定程序200之一流程圖。一般而言,在操作102、104及106中針對一特定倍縮光罩基於自此特定倍縮光罩取得之影像獲得一遮罩近場。此等操作類似於圖1之相同參考操作。獲得一遮罩近場之後,在操作208中,可使用相對於經計算之遮罩近場之最終模型參數模型化微影程序(及抗蝕劑)。例如,利用一校準晶圓校準之模型用於使用一遮罩近場來模型化晶圓影像。 參考圖2B,接著在操作222中,可判定一倍縮光罩是否將可能導致不穩定或缺陷晶圓圖案。在一項實施例中,可使用複數個不同程序條件(諸如焦點及曝光量)簡單將模型施加至遮罩近場以在可變程序條件下評估倍縮光罩設計穩定性。圖3A係根據本發明之一實例性應用之繪示用於判定倍縮光罩圖案穩定性之一程序300之一流程圖。首先,在操作302中,由模型產生之各測試影像可與其在一組不同程序條件下模仿且對應於一相同晶圓區域之對應參考影像對準而獲得一或多個晶圓圖案差異。 在操作304中,可將各對對準之影像彼此比較以獲得一或多個晶圓圖案差異。接著,在操作306中,臨限值可與各晶圓圖案差異相關聯。可將該等臨限值指派至倍縮光罩之不同區域且藉此指派至對應晶圓圖案。基於各種因數(諸如結構類型、指派之MEEF (或如以下進一步描述之遮罩誤差增強因數)等級或熱點識別等等),臨限值可全部相同或不同。例如,不同結構類型可給定不同臨限值。可視情況在參考及測試遮罩圖案兩者中識別一組初始熱點。例如,一設計者可提供熱點座標之一列表。例如,可將一個偵測臨限值指派至界定為熱點之區域,而可將一更高臨限值(用於缺陷偵測)指派至非熱點區域。此差異可用於最佳化檢測資源。 隨著積體電路(IC)之密集性及複雜性持續增加,檢測光微影遮罩圖案逐漸變得更具挑戰性。IC之各新一代具有目前達到且超過微影系統之光學限制之更密集及更複雜之圖案。爲了克服此等光學限制,已引入各種解析度增強技術(RET),諸如光學接近校正(OPC)。例如,OPC藉由修改光遮罩圖案(使得所得印刷圖案對應於初始所要圖案)而幫助克服一些繞射限制。此等修改可包含對主要IC特徵(即,可印刷特徵)之尺寸及邊緣之擾動。其他修改涉及增加圖案隅角之襯線及/或提供附近子解析度輔助特徵(SRAF),不期望導致印刷特徵且因此被稱為不可印特徵。期望此等不可印特徵取消在印刷程序期間以其他方式出現之圖案擾動。然而,OPC使得遮罩圖案更複雜且通常非常不同於所得晶圓影像。此外,OPC缺陷通常不轉化為可印缺陷。光遮罩圖案之增加之複雜性及不期望所有圖案元件皆直接影響印刷圖案之事實使得檢測光遮罩以使得圖案缺陷有意義之任務變得更困難。由於半導體產業趨向於更小之特徵,所以尖端製造商開始使用更多外來之OPC,諸如逆微影技術(ILT),此導致遮罩上極其複雜之圖案。因此,極其期望在實體製作晶圓前知道遮罩寫入保真度及其晶圓印刷品質。 一缺陷之重要性之一個量測係其MEEF或遮罩誤差增強因數。此因數使得遮罩平面中之缺陷之尺寸與其將對印刷影像之影響量級相關。高MEEF缺陷對印刷圖案具有大影響,低MEEF缺陷對印刷圖案具有較少影響或不具有影響。一圖案之一密集細線部分中之一尺寸過小主要圖案特徵係具有高MEEF之一缺陷之一實例,其中設定錯誤尺寸之一小遮罩平面可引起印刷圖案之一完全塌陷。一隔離小針孔係具有低MEEF之一缺陷之一實例,其中缺陷本身太小以不可印刷且離最近主要圖案邊緣足夠遠以不影響如何印刷該邊緣。由於此等實例展示一缺陷之MEEF係缺陷類型及其中定位缺陷之圖案背景之一稍複雜之函數。 除了引起更顯著之晶圓缺陷之較高MEEF遮罩缺陷外,某些設計圖案及對應遮罩圖案可比其他設計及遮罩圖案對程序改變更穩健。當製作程序開始自最優程序條件偏移時,某些遮罩圖案可導致更顯著之晶圓圖案擾動及缺陷。 再參考圖2B,接著在操作224中,可判定設計是否有缺陷。在一項實施例中,判定設計圖案是否導致程序條件(或程序窗)之一指定範圍下之不可接受晶圓圖案變動。判定是否存在歸因於程序變動性之一顯著差異。若不同處理之晶圓圖案之間之差異高於一對應臨限值,則此等晶圓圖案可被認為係有缺陷的。若判定設計係有缺陷的,則在操作232中,可修改該設計。 若認為設計無缺陷,則接著在操作226中可判定是否可監測熱點。若將監測熱點,則接著在操作234中,可在晶圓程序期間監測該等熱點,(例如)如以下進一步描述。例如,可在晶圓製作期間監測熱點圖案以判定該程序是否已偏移出規格且已使得對應晶圓圖案具有變為不可接受值之臨界參數。一個實施方案可涉及設定一相對較高之MEEF等級以檢測對應熱點之倍縮光罩及/或晶圓圖案。隨著條件進一步遠離標稱程序條件,CD或EPE可變大且對晶圓製造程序之整體性有危害。 僅當一測試遮罩圖案改變一預界定量時可識別熱點圖案,不管此變化係如何與初始預期設計(例如,預OPC資料)比較的。換言之,在不同程序條件下之實體遮罩圖案中之一顯著改變可指示有關預期設計圖案中之一問題。對應模型化影像部分之間之差異表示設計圖案及製造之遮罩上之程序條件之效應之差異。與一特定設計圖案相關聯之差異通常被稱為「設計熱點」或僅為「熱點」,且表示相對於已檢查之特定程序條件(亦可相對於製造之遮罩)之設計中之弱點。可在不同程序條件之模型化影像之間找出之差異種類之實例係CD (臨界尺寸)或EPE (邊緣放置誤差)。 在另一實施例中,若將模型施加至後OPC設計資料庫,則所得晶圓圖案可對應於設計者意欲印刷於晶圓上之圖案。視情況,將模型施加至後OPC資料庫所得之結果可與模型化影像搭配使用以改良熱點偵測。例如,後OPC資料庫之一模型僅考慮設計效應,所以可用於分離設計上之晶圓程序之效應與製造之遮罩上之晶圓程序之效應。來自遮罩近場之模型化圖案可與來自對應後OPC圖案之模型化晶圓圖案相比較。例如,當不同程序改變之一組模型化晶圓圖案匹配相同程序改變之對應模型化後OPC晶圓圖案時,可判定歸因於程序改變之晶圓圖案(或抗蝕劑圖案)中之改變係源自於可再設計或監測之設計圖案而非源自於遮罩圖案中之一缺陷。然而,若歸因於來自後OPC資料庫之程序變動之晶圓上之改變不同於歸因於來自恢復之遮罩(或遮罩近場)之相同程序變動之晶圓上之改變,則接著此等熱點被認為係源自於來自實際遮罩之可修復或監測之一熱點。 在操作228中,亦可判定是否修復倍縮光罩。可判定預期晶圓圖案變動係超出期望在微影程序期間使用之程序窗之規格。在某些情況中,倍縮光罩可含有在操作236中修復之一缺陷。接著,可再鑑定倍縮光罩之合格性。否則,若倍縮光罩不可修復,則在操作230中可丟棄該倍縮光罩。接著,可製造一新倍縮光罩且鑑定其合格性。 圖3B係根據本發明之一替代實施例之繪示一缺陷檢測程序350之一流程圖。首先,檢測倍縮光罩之缺陷。在操作352中,各測試倍縮光罩影像可與其對應參考影像對準。在一項實施例中,可達成一晶粒對晶粒或單元對單元對準。在另一實施例中,倍縮光罩影像與對應後OPC設計之一繪圖對準。例如,後OPC設計經處理以模仿倍縮光罩製作程序及此設計之成像。例如,隅角經修圓。 在操作354中,基於一相關聯之臨限值比較各對對準之測試及參考影像以定位倍縮光罩缺陷。任何適合之機構可用於使得臨限值與特定倍縮光罩區域相關聯,如以上進一步描述。 在操作356中,接著可針對各倍縮光罩缺陷將對應模仿之晶圓缺陷區域與其對應參考預OPC區域作比較。即,評估模仿之晶圓圖案以判定倍縮光罩缺陷是否導致隨預期設計改變之一晶圓缺陷。預OPC設計圖案亦可進一步經處理以模型化檢測工具操作以成像此等設計圖案。 再參考圖2B,在操作224中,接著可判定倍縮光罩設計是否有缺陷。例如,可判定一倍縮光罩缺陷之一模仿之晶圓圖案與其對應預OPC圖案之間之任何差異是否高於一預界定臨限值。程序200可繼續以判定是否監測晶圓熱點、修復倍縮光罩或再設計倍縮光罩,如以上所描述。 本發明之某些技術在開始晶圓製造之前提供遮罩圖案合格性鑑定及實體遮罩上之弱圖案或熱點之早期偵測。本發明之某些實施例不止提供遮罩近場恢復。除了基於倍縮光罩影像提供晶圓圖案之恢復外,可考量包含焦點及曝光之諸多設定之晶圓程序效應及晶圓抗蝕劑、蝕刻、CMP及任何其他晶圓程序之效應之一完整範圍。不需要遮罩之先前知識,因為在不使用倍縮光罩設計資料之情況下僅使用倍縮光罩影像恢復遮罩近場。由於遮罩圖案大體上係晶圓圖案之4倍,所以可判定相對於設計資料庫之圖案之確切位置。以上技術亦可延伸至任何適合類型之遮罩,諸如對EUV遮罩之圖案合格性鑑定。 本發明之技術可在硬體及/或軟體之任何適合之組合中實施。圖4係其中可實施本發明之技術之一實例性檢測系統400之一圖解表示。檢測系統400可接收來自一高NA檢測工具或仿製一掃描器(未展示)之一低NA檢測器之輸入402。該檢測系統亦可包含:一資料分佈系統(例如,404a及404b),其用於分佈接收之輸入402;一強度信號(或膜片)處理系統(例如,膜片處理器及倍縮光罩合格性鑑定系統(例如412)),其用於遮罩近場及晶圓恢復、處理模型化等等;一網路(例如,交換網路408),其用於允許檢測系統組件之間之通信;一視情況大量儲存器件416;及一或多個檢測控制及/或復檢站(例如410),其用於復檢識別之熱點、檢測結果等等。檢測系統400之各處理器通常可包含一或多個微處理器積體電路且亦可含有介面及/或記憶體積體電路且可另外耦合至一或多個共用及/或全域記憶體器件。 用於產生輸入資料402之檢測器或資料取得系統(未展示)可採取用於獲得一倍縮光罩之強度信號或影像之任何適合之儀器(例如,如本文進一步所描述)之形式。例如,低NA檢測器可建構一光學影像或基於經反射、透射或以其他方式引導至一或多個光感測器之偵測光之一部分而產生倍縮光罩之一部分之強度值。接著,低NA檢測器可輸出強度值或影像。 當一入射光學光束跨一倍縮光罩之各膜片掃描時,低NA檢測工具可操作以偵測且收集反射及/或透射光。如以上所提及,入射光學光束可跨各包括複數個膜片之倍縮光罩掃描帶掃描。回應於來自各膜片之複數個點或子區域之此入射光束而收集光。 低NA檢測工具大體上可操作以將此偵測之光轉換成對應於強度值之偵測之信號。該等偵測之信號可採取具有對應於倍縮光罩之不同位置處之不同強度值之振幅值之一電磁波形之形式。偵測之信號亦可採取強度值及相關聯之倍縮光罩點座標之一簡單列表之形式。偵測之信號亦可採取具有對應於倍縮光罩上之不同位置或掃描點之不同強度值之一影像之形式。可在倍縮光罩之所有位置皆經掃描且轉換成偵測之信號之後產生倍縮光罩之兩個或兩個以上影像,或可當各倍縮光罩部分經掃描有最終兩個或兩個以上影像時產生兩個或兩個以上影像之部分以在掃描完整倍縮光罩之後完成倍縮光罩。 偵測之信號亦可採取航空影像之形式。即,一航空成像技術可用於模仿光微影系統之光學效應以產生曝露於晶圓之光阻劑圖案之一航空影像。一般而言,模擬光微影工具之光學器件以基於來自倍縮光罩之偵測之信號而產生一航空影像。航空影像對應於自穿過光微影光學器件及倍縮光罩而至一晶圓之光阻劑層上之光產生之圖案。另外,亦可模擬特定類型之光阻劑材料之光阻劑曝露程序。 入射光或偵測之光可穿過任何適合之空間孔徑以在任何適合之入射角產生任何入射或偵測光輪廓。例如,可程式化照明或偵測孔徑可用於產生一特定光束輪廓,諸如雙極、四極、類星體、環面等等。在一特定實例中,可實施源極遮罩最佳化(SMO)或任何像素化照明技術。入射光亦可穿過一線性偏光鏡以使得在一或多個偏振中之照明光瞳之全部或一部分線性偏振。偵測之光可穿過切趾組件以用於阻擋集光光束之特定區域。 可藉由資料分佈系統經由網路408接收強度或影像資料402。資料分佈系統可與用於保持接收之資料402之至少一部分之一或多個記憶體器件(諸如RAM緩衝器)相關聯。較佳地,總記憶體足夠大以保持資料之一完整樣本。例如,記憶體之一個十億位元組對於100萬乘以1000像素或點之一樣本運作良好。 資料分佈系統(例如,404a及404b)亦可控制接收之輸入資料402之部分至處理器(例如,406a及406b)之分佈。例如,資料分佈系統可將用於一第一膜片之資料投送至一第一膜片處理器406a,且可將用於一第二膜片之資料投送至膜片處理器406b。亦可將用於多個膜片之多組資料投送至各膜片處理器。 膜片處理器可接收對應於倍縮光罩之至少一部分或膜片之強度值或一影像。膜片處理器亦可各耦合至一或多個記憶體器件(未展示)或與該一或多個記憶體器件整合,諸如提供局部記憶體功能(諸如保持所接收之資料部分)之DRAM器件。較佳地,記憶體足夠大以保持對應於倍縮光罩之一膜片之資料。例如,記憶體之八個百萬位元組對於對應於512乘以1024像素之一膜片之強度值或一影像運作良好。替代地,膜片處理器可共用記憶體。 各組輸入資料402可對應於倍縮光罩之一掃描帶。一或多組資料可儲存於資料分佈系統之記憶體中。可藉由資料分佈系統內之一或多個處理器控制此記憶體,且該記憶體可分為複數個分區。例如,資料分佈系統可將對應於一掃描帶之一部分之資料接收於一第一記憶體分區(未展示)內,且資料分佈系統可將對應於另一掃描帶之另一資料接收於一第二記憶體分區(未展示)內。較佳地,資料分佈系統之記憶體分區之各者僅保持將被投送至與此記憶體分區相關聯之一處理器之資料之部分。例如,資料分佈系統之第一記憶體分區可保持第一資料且將該第一資料投送至膜片處理器406a,且第二記憶體分區可保持第二資料且將該第二資料投送至膜片處理器406b。 資料分佈系統可基於資料之任何適合參數界定且分佈資料之各組資料。例如,可基於倍縮光罩上之膜片之對應位置界定且分佈資料。在一項實施例中,各掃描帶係與對應於該掃描帶內之像素之水平位置之行位置之一範圍相關聯。例如,掃描帶之行0至256可對應於一第一膜片,且此等行內之像素將包括投送至一或多個膜片處理器之第一影像或第一組強度值。類似地,掃描帶之行257至512可對應於一第二膜片,且此等行中之像素將包括投送至(若干)不同膜片處理器之第二影像或第二組強度值。 圖5A係根據某些實施例之可用於將一遮罩圖案自一光遮罩M轉印至一晶圓W上之一典型微影系統500之一簡化示意表示。此等系統之實例包含掃描器及步進電動機,更具體言之係購自荷蘭維荷芬中之ASML之TWINSCAN NXT:1970Ci步進及掃描系統。一般而言,一照明源503將一光束引導通過一照明光學器件507 (例如,透鏡505)至定位於一遮罩平面502中之一光遮罩M上。照明透鏡505於該平面502處具有一數值孔徑501。數值孔徑501之值影響光遮罩上之哪些缺陷係微影顯著缺陷且哪些缺陷不係微影顯著缺陷。穿過光遮罩M之光束之一部分形成經引導通過成像光學器件513且至一晶圓W上以啟動圖案轉印之一圖案化光學信號。 圖5B根據某些實施例提供具有照明光學器件551a且包含在一倍縮光罩平面552處具有一相對較大之數值孔徑551b之一成像透鏡之一實例性檢測系統550之一示意表示。所描繪之檢測系統550包含偵測光學器件553a及553b,包含經設計以提供(例如) 60-200X放大或更大放大以用於增強檢測之顯微鏡放大光學器件。例如,檢測系統之倍縮光罩平面552處之數值孔徑551b可比微影系統500之倍縮光罩平面502處之數值孔徑501大相當多,此將導致測試檢測影像與實際印刷影像之間之差異。 本文描述之檢測技術可在各種專門組態之檢測系統上實施,諸如在圖5B中示意地繪示之一者。繪示之系統550包含產生經引導通過照明光學器件551a至倍縮光罩平面552中之一光遮罩M上之一光束之一照明源560。光源之實例包含一同調雷射光源(例如,深UV或氣體雷射產生器)、一過濾燈、LED光源等等。在一項實例中,該光源係一193 nm雷射。如以上所解釋,檢測系統550可在倍縮光罩平面552處具有可等於或大於對應微影系統之一倍縮光罩平面數值孔徑(例如,圖5A中之元件501)之一數值孔徑551b。將待檢測之光遮罩M放置於倍縮光罩平面552處之一遮罩載物台上且曝露於光源。 將來自遮罩M之圖案化影像引導通過光學元件553a之一集合,該等光學元件553a將圖案化影像投影至一感測器554a上。在一反射系統中,光學元件(例如,分束器576及偵測透鏡578)引導且擷取自遮罩M至感測器554b上之反射光。儘管展示兩個感測器,但一單一感測器可用於在相同倍縮光罩區域之不同掃描期間偵測反射及透射光。適合之感測器包含電荷耦合器件(CCD)、CCD陣列、時間延遲積分(TDI)感測器、TDI感測器陣列、光倍增器(PMT)及其他感測器。 可相對於遮罩載物台及/或藉由任何適合之機構相對於一偵測器或相機移動之載物台移動照明光學器件管柱以掃描倍縮光罩之膜片。例如,可利用一馬達機構來移動該載物台。例如,可由一螺釘驅動器及步進馬達、具有反饋位置之線性驅動器或頻帶致動器及步進馬達形成該馬達機構。 可藉由一電腦系統573或更一般而言藉由一或多個信號處理器件處理由各感測器(例如,554a及/或554b)擷取之信號,該一或多個信號處理器件各可包含經組態以將來自各感測器之類比信號轉換為數位信號以用於處理之一類比對數位轉換器。電腦系統573通常具有經由合適匯流排或其他通信機構耦合至輸入/輸出埠及一或多個記憶體之一或多個處理器。 電腦系統573亦可包含一或多個輸入器件(例如,一鍵盤、滑鼠、操縱桿)以用於提供使用者輸入,諸如改變焦點及其他檢測配方參數。電腦系統573亦可連接至載物台以用於(例如)控制一樣本位置(例如,聚焦及掃描)且連接至其他檢測系統組件以用於控制此等檢測系統組件之其他檢測參數及組態。 電腦系統573可經組態(例如,利用程式化指令)以提供顯示所得強度值、影像及其他檢測結果之一使用者介面(例如,一電腦螢幕)。電腦系統573可經組態以分析反射及/或透射之感測光束之強度、相位及/或其他特性。電腦系統573可經組態(例如,利用程式化指令)以提供顯示所得強度值、影像及其他檢測特性之一使用者介面(例如,於一電腦螢幕上)。在某些實施例中,電腦系統573經組態以實施以上詳細描述之檢測技術。 由於此資訊及程式指令可在一專門組態之電腦系統上實施,所以此一系統包含用於執行本文描述之可儲存於一電腦可讀媒體上之各種操作之程式指令/電腦碼。機器可讀媒體之實例包含(但不限制於):磁性媒體,諸如硬碟、軟碟及磁帶;光學媒體,諸如CD-ROM碟;磁光媒體,諸如光碟;及經專門組態以儲存且執行程式指令之硬體器件,諸如唯讀記憶體器件(ROM)及隨機存取記憶體(RAM)。程式指令之實例包含(諸如)由一編譯器產生之機器碼及含有可由電腦使用一解譯器執行之較高階碼之檔案兩者。 在某些實施例中,用於檢測一光遮罩之一系統包含至少一記憶體及經組態以執行本文描述之技術之至少一處理器。一檢測系統之一個實例包含購自加利福尼亞州苗必達之KLA-Tencor之一經專門組態之TeraScanTM DUV檢測系統。 儘管已為清楚瞭解之目的在一些細節上描述以上發明,但將明白,可在隨附申請專利範圍之範疇內實踐某些改變及修改。應注意,存在實施本發明之程序、系統及裝置之諸多替代方式。據此,本實施例將被認為係繪示性及非限制性的,且未將本發明限制於本文給出之細節。
100‧‧‧模型校準程序 102‧‧‧操作 104‧‧‧操作 106‧‧‧操作 108‧‧‧操作 110‧‧‧操作 112‧‧‧操作 114‧‧‧操作 116‧‧‧操作 200‧‧‧倍縮光罩合格性鑑定程序 208‧‧‧操作 222‧‧‧操作 224‧‧‧操作 226‧‧‧操作 228‧‧‧操作 230‧‧‧操作 232‧‧‧操作 234‧‧‧操作 236‧‧‧操作 300‧‧‧程序 302‧‧‧操作 304‧‧‧操作 306‧‧‧操作 350‧‧‧缺陷檢測程序 352‧‧‧操作 354‧‧‧操作 356‧‧‧操作 400‧‧‧實例性檢測系統 402‧‧‧輸入/輸入資料 404a‧‧‧資料分佈系統 404b‧‧‧資料分佈系統 406a‧‧‧處理器/第一膜片處理器 406b‧‧‧處理器/膜片處理器 408‧‧‧交換網路 410‧‧‧檢測控制及/或復檢站 412‧‧‧倍縮光罩合格性鑑定系統 416‧‧‧大量儲存器件 500‧‧‧典型微影系統 501‧‧‧數值孔徑 502‧‧‧遮罩平面 503‧‧‧照明源 505‧‧‧透鏡 507‧‧‧照明光學器件 513‧‧‧成像光學器件 550‧‧‧檢測系統 551a‧‧‧照明光學器件 551b‧‧‧數值孔徑 552‧‧‧倍縮光罩平面 553a‧‧‧偵測光學器件 553b‧‧‧偵測光學器件 554a‧‧‧感測器 554b‧‧‧感測器 560‧‧‧照明源 573‧‧‧電腦系統 576‧‧‧分束器 578‧‧‧偵測透鏡 M‧‧‧光遮罩 W‧‧‧晶圓
圖1係根據本發明之一項實施例之繪示一模型校準程序之一流程圖。 圖2A及圖2B繪示根據本發明之一項實施例之表示一倍縮光罩合格性鑑定程序之一流程圖。 圖3A係根據本發明之一實例性應用之繪示用於判定倍縮光罩圖案穩定性之一程序之一流程圖。 圖3B係根據本發明之一替代實施例之繪示一缺陷檢測程序之一流程圖。 圖4係其中可實施本發明之技術之一實例性檢測系統之一圖解表示。 圖5A係根據某些實施例之用於將一遮罩圖案自一光遮罩轉印至一晶圓上之一微影系統之一簡化示意性表示。 圖5B根據某些實施例提供一光遮罩檢測裝置之一示意性表示。
100‧‧‧模型校準程序
102‧‧‧操作
104‧‧‧操作
106‧‧‧操作
108‧‧‧操作
110‧‧‧操作
112‧‧‧操作
114‧‧‧操作
116‧‧‧操作

Claims (29)

  1. 一種鑑定一光微影倍縮光罩合格性之方法,該方法包括: 使用一光學倍縮光罩檢測工具在不同成像組態處自一校準倍縮光罩之複數個圖案區域之各者取得複數個影像; 基於自該校準倍縮光罩之各圖案區域取得之該等影像恢復該校準倍縮光罩之該等圖案區域之各者之一倍縮光罩近場; 基於該倍縮光罩近場而使用該校準倍縮光罩之該恢復之倍縮光罩近場產生用於模仿複數個晶圓影像之一微影模型; 使用一光學倍縮光罩檢測工具在不同成像組態處自一測試倍縮光罩之複數個圖案區域之各者取得複數個影像; 基於自該測試倍縮光罩之各圖案區域取得之該等影像恢復該測試倍縮光罩之該等圖案區域之各者之一倍縮光罩近場; 將該所產生之模型施加至該測試倍縮光罩之該倍縮光罩近場以模仿複數個測試晶圓影像;且 分析該等模仿之測試晶圓影像以判定該測試倍縮光罩是否將可能導致一不穩定或缺陷晶圓。
  2. 如請求項1之方法,其中使用一擬牛頓或共軛梯度技術恢復該倍縮光罩近場以判定該倍縮光罩近場。
  3. 如請求項1之方法,其中藉由最小化複數個在該等所取得影像與自該倍縮光罩近場計算之複數個影像之間之差異的平方之一總和之一迴歸技術恢復該倍縮光罩近場。
  4. 如請求項1之方法,其中使用一霍普金斯(Hopkins)近似法恢復該倍縮光罩近場。
  5. 如請求項1之方法,其中在不使用用於製作該倍縮光罩之一設計資料庫之情況下恢復該倍縮光罩近場。
  6. 如請求項1之方法,其中該等取得之影像包含在經選擇以導致一相同倍縮光罩近場之不同成像條件下取得之至少三個影像。
  7. 如請求項6之方法,其中該等不同程序條件包含不同焦點設定、不同照明方向或圖案、一完整照明光瞳或一照明光瞳之不同部分之不同線性偏振、及/或遮蔽一集光光束之不同部分之不同切趾設定。
  8. 如請求項1之方法,其中該微影模型模仿一光微影程序,包含一特定光阻劑材料之效應。
  9. 如請求項1之方法,其中藉由比較源自該模型之複數個晶圓影像與使用該校準倍縮光罩製作之一晶圓之複數個參考影像且調整該模型之複數個模型參數直至最小化該等取得之影像與該等參考影像之間之一差異而產生該微影模型。
  10. 如請求項1之方法,其中亦使用該校準倍縮光罩校準一光學接近校正模型。
  11. 如請求項1之方法,其中在複數個不同微影程序條件下將該模型施加至該測試倍縮光罩近場且分析該等模仿之測試晶圓影像包含藉由比較具有不同程序條件且與一相同倍縮光罩區域相關聯之該等模仿測試影像而判定該測試倍縮光罩是否可能將在該等不同微影程序條件下導致一不穩定晶圓。
  12. 如請求項11之方法,其中當比較該等模仿之測試影像導致高於一預界定臨限值之一差異時,判定該測試倍縮光罩係不穩定的。
  13. 如請求項12之方法,其中不同倍縮光罩區域具有不同預界定臨限值。
  14. 如請求項1之方法,其進一步包括:基於該測試倍縮光罩將可能導致一不穩定或缺陷晶圓之一判定而修復該測試倍縮光罩;丟棄該測試倍縮光罩;或監測利用此測試倍縮光罩製作之一晶圓之特定區域。
  15. 如請求項1之方法,其中藉由比較該等模仿之測試影像與由該預OPC設計資料庫形成之複數個影像而分析該等模仿之測試影像以判定該測試倍縮光罩是否將可能導致一不穩定或缺陷晶圓。
  16. 一種用於鑑定一光微影倍縮光罩合格性之檢測系統,該系統包括: 一光源,其用於產生一入射光束; 一照明光學器件模組,其用於將該入射光束引導至一倍縮光罩上; 一集光光學器件模組,其用於將一輸出光束自該倍縮光罩之各圖案區域引導至至少一感測器; 至少一感測器,其用於偵測該輸出光束且基於該輸出光束產生一影像或信號;及 一控制器,其經組態以執行以下操作: 使得在不同成像組態處自一校準倍縮光罩之複數個圖案區域之各者取得複數個影像; 基於自該校準倍縮光罩之各圖案區域取得之該等影像恢復該校準倍縮光罩之該等圖案區域之各者之一倍縮光罩近場; 基於該倍縮光罩近場使用該校準倍縮光罩之該恢復之倍縮光罩近場產生用於模仿複數個晶圓影像之一微影模型; 使得在不同成像組態處自一測試倍縮光罩之複數個圖案區域之各者取得複數個影像; 基於自該測試倍縮光罩之各圖案區域取得之該等影像恢復該測試倍縮光罩之該等圖案區域之各者之一倍縮光罩近場; 將該所產生之模型施加至該測試倍縮光罩之該倍縮光罩近場以模仿複數個測試晶圓影像;且 分析該等模仿之測試晶圓影像以判定該測試倍縮光罩是否將可能導致一不穩定或缺陷晶圓。
  17. 如請求項16之系統,其中使用一擬牛頓或共軛梯度技術恢復該倍縮光罩近場以判定該倍縮光罩近場。
  18. 如請求項16之系統,其中藉由最小化複數個在該等所取得影像與自該倍縮光罩近場計算之複數個影像之間之差異的平方之一總和之一迴歸技術恢復該倍縮光罩近場。
  19. 如請求項16之系統,其中使用一霍普金斯(Hopkins)相位近似法恢復該倍縮光罩近場。
  20. 如請求項16之系統,其中在不使用用於製作該倍縮光罩之一設計資料庫之情況下恢復該倍縮光罩近場。
  21. 如請求項16之系統,其中該等取得之影像包含在經選擇以導致一相同倍縮光罩近場之不同成像條件下取得之至少三個影像。
  22. 如請求項21之系統,其中該等不同程序條件包含不同焦點設定、不同照明方向或圖案、一完整照明光瞳或一照明光瞳之不同部分之不同線性偏振、及/或遮蔽一集光光束之不同部分之不同切趾設定。
  23. 如請求項16之系統,其中該微影模型模仿一光微影程序,包含一特定光阻劑材料之效應。
  24. 如請求項16之系統,其中藉由比較源自該模型之複數個晶圓影像與使用該校準倍縮光罩製作之一晶圓之複數個參考影像且調整該模型之複數個模型參數直至最小化該等取得之影像與該等參考影像之間之一差異而產生該微影模型。
  25. 如請求項16之系統,其中亦使用該校準倍縮光罩校準一光學接近校正模型。
  26. 如請求項16之系統,其中在複數個不同微影程序條件下將該模型施加至該測試倍縮光罩近場且分析該等模仿之測試晶圓影像包含藉由比較具有不同程序條件且與一相同倍縮光罩區域相關聯之該等模仿之測試影像而判定該測試倍縮光罩是否可能將在該等不同微影程序條件下導致一不穩定晶圓。
  27. 如請求項26之系統,其中當比較該等模仿之測試影像導致高於一預界定臨限值之一差異時,判定該測試倍縮光罩係不穩定的。
  28. 如請求項27之系統,其中不同倍縮光罩區域具有不同預界定臨限值。
  29. 如請求項16之系統,其中藉由比較該等模仿之測試影像與由該預OPC設計資料庫形成之複數個影像而分析該等模仿之測試影像以判定該測試倍縮光罩是否將可能導致一不穩定或缺陷晶圓。
TW105125521A 2015-08-10 2016-08-10 用於鑑定光微影倍縮光罩之方法、檢測系統及成像系統 TWI695222B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/822,571 US9547892B2 (en) 2014-05-06 2015-08-10 Apparatus and methods for predicting wafer-level defect printability
US14/822,571 2015-08-10

Publications (2)

Publication Number Publication Date
TW201708942A true TW201708942A (zh) 2017-03-01
TWI695222B TWI695222B (zh) 2020-06-01

Family

ID=57984181

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105125521A TWI695222B (zh) 2015-08-10 2016-08-10 用於鑑定光微影倍縮光罩之方法、檢測系統及成像系統

Country Status (7)

Country Link
US (1) US10304180B2 (zh)
EP (1) EP3210189B1 (zh)
JP (3) JP6840129B2 (zh)
KR (1) KR20180030228A (zh)
CN (2) CN107851315B (zh)
TW (1) TWI695222B (zh)
WO (1) WO2017027366A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI662358B (zh) * 2017-10-30 2019-06-11 台灣積體電路製造股份有限公司 缺陷檢測方法與缺陷檢測系統
US20200401037A1 (en) * 2019-06-20 2020-12-24 Kla Corporation EUV In-Situ Linearity Calibration for TDI Image Sensors Using Test Photomasks
TWI737935B (zh) * 2017-09-27 2021-09-01 荷蘭商Asml荷蘭公司 器件製造程序中的方法、非暫時性電腦可讀媒體及經組態以執行該方法之系統
TWI803496B (zh) * 2017-05-26 2023-06-01 美商克萊譚克公司 用於測量穿過一層之光的相位及振幅之裝置及方法

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10395361B2 (en) 2015-08-10 2019-08-27 Kla-Tencor Corporation Apparatus and methods for inspecting reticles
CN107851315B (zh) 2015-08-10 2020-03-17 科磊股份有限公司 用于预测晶片级缺陷可印性的设备及方法
US10031997B1 (en) * 2016-11-29 2018-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Forecasting wafer defects using frequency domain analysis
TWI755453B (zh) * 2017-05-18 2022-02-21 美商克萊譚克公司 鑑定一光微影光罩合格性之方法及系統
US10691864B2 (en) * 2017-11-14 2020-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of post optical proximity correction (OPC) printing verification by machine learning
US10755405B2 (en) 2017-11-24 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for diagnosing a semiconductor wafer
US11257207B2 (en) * 2017-12-28 2022-02-22 Kla-Tencor Corporation Inspection of reticles using machine learning
US11514357B2 (en) * 2018-03-19 2022-11-29 Kla-Tencor Corporation Nuisance mining for novel defect discovery
KR20190111644A (ko) 2018-03-23 2019-10-02 (주)브릭 반복 패턴 영상의 결함 유형 분류 장치 및 방법
KR102055877B1 (ko) 2018-05-04 2019-12-13 (주) 브릭 반복 패턴 영상의 결함 위치 파악 장치 및 방법
WO2020043525A1 (en) * 2018-08-28 2020-03-05 Asml Netherlands B.V. Systems and methods of optimal metrology guidance
US10866197B2 (en) * 2018-09-20 2020-12-15 KLA Corp. Dispositioning defects detected on extreme ultraviolet photomasks
US10866505B2 (en) * 2018-09-21 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Mask process correction
US11430677B2 (en) * 2018-10-30 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer taping apparatus and method
US10990019B2 (en) * 2019-04-09 2021-04-27 Kla Corporation Stochastic reticle defect dispositioning
WO2020252727A1 (en) * 2019-06-20 2020-12-24 Yangtze Memory Technologies Co., Ltd. Methods for polysilicon characterization
EP4143637A1 (en) * 2020-04-30 2023-03-08 Photronics, Inc. System, method, and program product for manufacturing a photomask
TWI746320B (zh) 2020-12-18 2021-11-11 財團法人工業技術研究院 產生及更新定位分布圖的方法及其系統

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5795688A (en) 1996-08-14 1998-08-18 Micron Technology, Inc. Process for detecting defects in photomasks through aerial image comparisons
US6578188B1 (en) * 1997-09-17 2003-06-10 Numerical Technologies, Inc. Method and apparatus for a network-based mask defect printability analysis system
US7617474B2 (en) * 1997-09-17 2009-11-10 Synopsys, Inc. System and method for providing defect printability analysis of photolithographic masks with job-based automation
US6757645B2 (en) * 1997-09-17 2004-06-29 Numerical Technologies, Inc. Visual inspection and verification system
US7175940B2 (en) 2001-10-09 2007-02-13 Asml Masktools B.V. Method of two dimensional feature model calibration and optimization
JP2003330163A (ja) * 2002-05-13 2003-11-19 Sony Corp フォトマスクの検査装置および検査方法
US6902855B2 (en) 2002-07-15 2005-06-07 Kla-Tencor Technologies Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
EP1523696B1 (en) 2002-07-15 2016-12-21 KLA-Tencor Corporation Defect inspection methods that include acquiring aerial images of a reticle for different lithographic process variables
WO2004040372A1 (en) 2002-11-01 2004-05-13 Systems On Silicon Manufacturing Co. Pte. Ltd. Multi-image reticles
KR100488543B1 (ko) 2002-11-05 2005-05-11 삼성전자주식회사 포토리소그래피 공정용 레티클 제작방법
US6768958B2 (en) * 2002-11-26 2004-07-27 Lsi Logic Corporation Automatic calibration of a masking process simulator
US8111898B2 (en) * 2002-12-06 2012-02-07 Synopsys, Inc. Method for facilitating automatic analysis of defect printability
JP4311713B2 (ja) * 2003-03-10 2009-08-12 キヤノン株式会社 露光装置
US8151220B2 (en) 2003-12-04 2012-04-03 Kla-Tencor Technologies Corp. Methods for simulating reticle layout data, inspecting reticle layout data, and generating a process for inspecting reticle layout data
JP4758358B2 (ja) * 2004-01-29 2011-08-24 ケーエルエー−テンカー コーポレイション レチクル設計データにおける欠陥を検出するためのコンピュータに実装される方法
US7603648B2 (en) * 2004-02-27 2009-10-13 Intel Corporation Mask design using library of corrections
US7313780B2 (en) * 2005-03-10 2007-12-25 Chartered Semiconductor Manufacturing Ltd. System and method for designing semiconductor photomasks
US7769225B2 (en) 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
JP2007071678A (ja) * 2005-09-07 2007-03-22 Hitachi High-Technologies Corp 検査システム
US8102408B2 (en) 2006-06-29 2012-01-24 Kla-Tencor Technologies Corp. Computer-implemented methods and systems for determining different process windows for a wafer printing process for different reticle designs
WO2008039674A2 (en) * 2006-09-20 2008-04-03 Luminescent Technologies, Inc. Photo-mask and wafer image reconstruction
US7512927B2 (en) 2006-11-02 2009-03-31 International Business Machines Corporation Printability verification by progressive modeling accuracy
US8120753B2 (en) 2006-11-08 2012-02-21 Asml Masktools B.V. Method, program product and apparatus for generating a calibrated pupil kernel and method of using the same in a lithography simulation process
US7873204B2 (en) 2007-01-11 2011-01-18 Kla-Tencor Corporation Method for detecting lithographically significant defects on reticles
US7995832B2 (en) 2007-01-11 2011-08-09 Kla-Tencor Corporation Photomask inspection and verification by lithography image reconstruction using imaging pupil filters
US8103086B2 (en) 2007-01-11 2012-01-24 Kla-Tencor Corporation Reticle defect inspection with model-based thin line approaches
US8213704B2 (en) * 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
JP4538021B2 (ja) * 2007-05-31 2010-09-08 株式会社東芝 光近接効果の補正方法
US7703069B1 (en) 2007-08-14 2010-04-20 Brion Technologies, Inc. Three-dimensional mask model for photolithography simulation
JP5216509B2 (ja) * 2008-03-05 2013-06-19 株式会社日立製作所 走査プローブ顕微鏡およびこれを用いた試料の観察方法
WO2009152046A1 (en) * 2008-06-11 2009-12-17 Kla-Tencor Corporation Systems and methods for detecting design and process defects on a wafer, reviewing defects on a wafer, selecting one or more features within a design for use as process monitoring features, or some combination thereof
US8161421B2 (en) 2008-07-07 2012-04-17 International Business Machines Corporation Calibration and verification structures for use in optical proximity correction
US7932004B1 (en) 2008-10-02 2011-04-26 Kla-Tencor Corporation Feature identification for metrological analysis
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
JP2010156866A (ja) * 2008-12-27 2010-07-15 Toshiba Corp 特徴量抽出方法、テストパターン選択方法、レジストモデル作成方法および設計回路パターン検証方法
US8209161B2 (en) * 2008-12-31 2012-06-26 Cadence Design Systems, Inc. Method, system, and computer program product for lithography simulation in electronic design automation
CN102484084B (zh) 2009-07-17 2014-12-10 克拉-坦科股份有限公司 使用设计和缺陷数据的扫描仪性能比较和匹配
FR2948491B1 (fr) * 2009-07-21 2011-09-09 St Microelectronics Crolles 2 Simulation de l'image projetee par un masque
CN101969025B (zh) 2009-07-27 2013-07-24 中芯国际集成电路制造(上海)有限公司 形成自对准硅化物区域阻挡膜图案的方法
JP5684028B2 (ja) * 2011-03-31 2015-03-11 Hoya株式会社 転写用マスクの製造方法および半導体デバイスの製造方法
US8572520B2 (en) 2012-03-01 2013-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Optical proximity correction for mask repair
EP2828646B1 (en) * 2012-03-20 2022-05-04 KLA-Tencor Corporation Using reflected and transmission maps to detect reticle degradation
WO2013158573A1 (en) * 2012-04-18 2013-10-24 D2S, Inc. Method and system for forming patterns using charged particle beam lithograph
US9091935B2 (en) 2013-03-11 2015-07-28 Kla-Tencor Corporation Multistage extreme ultra-violet mask qualification
US9612541B2 (en) * 2013-08-20 2017-04-04 Kla-Tencor Corporation Qualifying patterns for microlithography
CN104423142B (zh) 2013-08-22 2020-05-05 中芯国际集成电路制造(上海)有限公司 用于光学邻近校正模型的校准数据收集方法和系统
US9977618B2 (en) * 2013-12-27 2018-05-22 Intel Corporation Pooling of memory resources across multiple nodes
US9547892B2 (en) 2014-05-06 2017-01-17 Kla-Tencor Corporation Apparatus and methods for predicting wafer-level defect printability
US9478019B2 (en) * 2014-05-06 2016-10-25 Kla-Tencor Corp. Reticle inspection using near-field recovery
CN107851315B (zh) 2015-08-10 2020-03-17 科磊股份有限公司 用于预测晶片级缺陷可印性的设备及方法
JP2020097524A (ja) * 2017-03-07 2020-06-25 Spiber株式会社 精製されたタンパク質を製造する方法
JP2020097525A (ja) * 2017-03-10 2020-06-25 出光興産株式会社 化合物、有機エレクトロルミネッセンス素子用材料、有機エレクトロルミネッセンス素子、及び電子機器
TWI755453B (zh) 2017-05-18 2022-02-21 美商克萊譚克公司 鑑定一光微影光罩合格性之方法及系統

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI803496B (zh) * 2017-05-26 2023-06-01 美商克萊譚克公司 用於測量穿過一層之光的相位及振幅之裝置及方法
TWI737935B (zh) * 2017-09-27 2021-09-01 荷蘭商Asml荷蘭公司 器件製造程序中的方法、非暫時性電腦可讀媒體及經組態以執行該方法之系統
US11513442B2 (en) 2017-09-27 2022-11-29 Asml Netherlands B.V. Method of determining control parameters of a device manufacturing process
US11768442B2 (en) 2017-09-27 2023-09-26 Asml Netherlands B.V. Method of determining control parameters of a device manufacturing process
TWI662358B (zh) * 2017-10-30 2019-06-11 台灣積體電路製造股份有限公司 缺陷檢測方法與缺陷檢測系統
US10964014B2 (en) 2017-10-30 2021-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Defect detecting method and defect detecting system
US20200401037A1 (en) * 2019-06-20 2020-12-24 Kla Corporation EUV In-Situ Linearity Calibration for TDI Image Sensors Using Test Photomasks
US11733605B2 (en) * 2019-06-20 2023-08-22 Kla Corporation EUV in-situ linearity calibration for TDI image sensors using test photomasks

Also Published As

Publication number Publication date
CN107851315B (zh) 2020-03-17
US20170309008A1 (en) 2017-10-26
JP7262423B2 (ja) 2023-04-21
CN111340762A (zh) 2020-06-26
EP3210189A1 (en) 2017-08-30
CN111340762B (zh) 2021-06-25
JP2020166282A (ja) 2020-10-08
JP2020166283A (ja) 2020-10-08
JP2018532139A (ja) 2018-11-01
TWI695222B (zh) 2020-06-01
EP3210189A4 (en) 2018-06-06
KR20180030228A (ko) 2018-03-21
JP6840129B2 (ja) 2021-03-10
US10304180B2 (en) 2019-05-28
CN107851315A (zh) 2018-03-27
WO2017027366A1 (en) 2017-02-16
EP3210189B1 (en) 2022-03-23
JP7116757B2 (ja) 2022-08-10

Similar Documents

Publication Publication Date Title
JP7116757B2 (ja) ウエハレベル欠陥の転写性を予測する装置および方法
US9547892B2 (en) Apparatus and methods for predicting wafer-level defect printability
TWI618976B (zh) 於微影製程中使圖案合格
US10395361B2 (en) Apparatus and methods for inspecting reticles
JP7440580B2 (ja) レチクルを検査する装置および方法
JP4940056B2 (ja) リソグラフィマスク用の検査方法及び装置
US9875534B2 (en) Techniques and systems for model-based critical dimension measurements
WO2018156442A1 (en) Inspection of photomasks by comparing two photomasks