TWI618976B - 於微影製程中使圖案合格 - Google Patents

於微影製程中使圖案合格 Download PDF

Info

Publication number
TWI618976B
TWI618976B TW103128721A TW103128721A TWI618976B TW I618976 B TWI618976 B TW I618976B TW 103128721 A TW103128721 A TW 103128721A TW 103128721 A TW103128721 A TW 103128721A TW I618976 B TWI618976 B TW I618976B
Authority
TW
Taiwan
Prior art keywords
pattern
patterns
mask
wafer
main
Prior art date
Application number
TW103128721A
Other languages
English (en)
Other versions
TW201514617A (zh
Inventor
如方 石
馬克 瓦格納
Original Assignee
克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 克萊譚克公司 filed Critical 克萊譚克公司
Publication of TW201514617A publication Critical patent/TW201514617A/zh
Application granted granted Critical
Publication of TWI618976B publication Critical patent/TWI618976B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10056Microscopic image
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Theoretical Computer Science (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本發明揭示用於使一光微影主光罩合格之方法及設備。一主光罩檢測工具用以自該主光罩之各圖案區域獲取不同成像組態之至少兩個影像。基於來自該主光罩之各圖案區域之至少兩個影像重新建構一主光罩圖案。針對各重新建構之主光罩圖案,在此重新建構之主光罩圖案上模型化具有兩種或更多種不同程序條件之一微影程序以產生兩個或更多個對應模型化測試晶圓圖案。各自分析兩個或更多個模型化測試晶圓圖案以識別該等主光罩圖案之熱點圖案,該等熱點圖案易受改變由此等熱點圖案形成之晶圓圖案之該等不同程序條件之影響。

Description

於微影製程中使圖案合格 [相關申請案的交叉參考]
本申請案根據35 U.S.C.§119主張由Rui-Fang Shi等人於2013年8月20日申請之標題為「Qualifying Patterns for Microlithographic Fabrication Prior to High Volume Manufacturing」之先前美國臨時申請案第61/867,939號之優先權,該申請案之全文針對全部目的以引用的方式併入本文中。
本發明大體上係關於主光罩檢測之領域。更特定言之,本發明係關於圖案合格性檢定。
一般而言,半導體製造產業涉及使用分層堆放至及圖案化至一基板(諸如矽)上之半導體材料來製造積分電路之高度複雜技術。歸因於大規模之電路積體化及半導體裝置逐漸減小之大小,所製造之裝置對缺陷愈來愈敏感。即,引起裝置之故障之缺陷變得愈來愈小。在運送至最終使用者或顧客之前,裝置係無故障的。
一積體電路通常由複數個主光罩製造。最初,電路設計者將描述一特定積體電路(IC)設計之電路圖案資料提供至一主光罩生產系統或主光罩寫入器。電路圖案資料通常呈所製造IC裝置之實體層之一代表性佈局之形式。代表性佈局針對IC裝置之各實體層(例如,閘極氧化物、多晶矽、鍍金屬等)包含一代表性層,其中各代表性層由定義 該特定IC裝置之一層之圖案化之複數個多邊形組成。主光罩寫入器使用電路圖案資料以寫入(例如,通常使用一電子束寫入器或雷射掃描器以曝光一主光罩圖案)稍後將用以製造特定IC設計之複數個主光罩。
一主光罩或光罩係含有至少透明及不透明區域且有時含有半透明及相移區域(其等一起定義一電子裝置(諸如一積體電路)中之共面特徵之圖案)之一光學元件。在光微影期間使用主光罩以定義一半導體晶圓之指定區域以進行蝕刻、離子植入或其他製程。
在製造各主光罩或主光罩群組之後,通常使各新主光罩合格以用於晶圓製造。例如,主光罩圖案需要無可印刷缺陷。因此,不斷需要經改良之主光罩檢測及合格性檢定技術。
以下呈現本發明之一簡化概述以提供對本發明之某些實施例之一基本理解。本概述並非本發明之一廣泛綜述,且其未識別本發明之關鍵/重要元素或描繪本發明之範疇。本概述之唯一目的係以一簡化形式呈現本文中揭示之某些概念而作為稍後呈現之更詳細描述之一前奏。
在一實施例中,揭示一種使一光微影主光罩合格之方法。一主光罩檢測工具用以自該主光罩之各圖案區域獲取不同成像組態之至少兩個影像。一主光罩圖案係基於來自該主光罩之各圖案區域之至少兩個影像而重新建構。對於各重新建構之主光罩圖案,在此重新建構之主光罩圖案上模型化具有兩種或更多種不同晶圓程序條件之一微影程序以產生兩個或更多個對應模型化測試晶圓圖案。各自分析兩個或更多個模型化測試晶圓圖案以識別主光罩圖案之熱點圖案,該等熱點圖案易受改變由此等熱點圖案形成之晶圓圖案之不同程序條件之影響。
在一特定實例中,運用一高解析度顯微鏡獲取經反射及經透射 影像。在另一實例中,獲取具有不同瞳孔形狀及/或不同焦點條件之兩個或更多個經反射影像。此實例尤其適用於EUV(極紫外線)光罩。在又另一態樣中,不同成像條件下之至少兩個影像包含可(例如)透過數學迭代程序自其判定對應主光罩之圖案區域之振幅及相位之資訊。在一特定實施方案中,兩種或更多種不同程序條件包含來自一焦點曝光矩陣(FEM)之兩種或更多種不同曝光及焦點設定或複數種曝光及焦點設定。在一進一步態樣中,模型化一光阻材料。在又一進一步態樣中,模型化一蝕刻或化學機械平坦化(CMP)程序。
在一實施方案中,在運用此主光罩之大量晶圓製造開始之前或在運用此主光罩之任何晶圓製造之前識別熱點圖案。在一實施例中,該方法包含:(i)基於複數個後OPC(光學近接校正)設計圖案而模擬複數個參考主光罩圖案;及(ii)對各參考主光罩圖案執行模型化操作以產生兩個或更多個對應模型化參考晶圓圖案。在此實施方案中,分析包含:比較各模型化測試晶圓圖案與其對應參考晶圓圖案;及當源自此比較之一差異超過一預定臨限值時,識別此模型化測試圖案之一熱點圖案。在一進一步態樣中,識別參考及測試主光罩圖案之複數個初始熱點位置,且產生僅對應於初始熱點位置之模型化測試及參考晶圓圖案。
在一實施方案中,當各熱點圖案之對應兩個或更多個模型化測試晶圓圖案針對兩種或更多種不同程序條件變化達一預定量時,識別該熱點圖案。在一替代實施例中,各自分析兩個或更多個模型化測試晶圓圖案包含分析複數個對應後OPC設計圖案以區分兩種或更多種不同程序條件對用以製造主光罩之一設計之作用與該兩種或更多種不同程序條件對該主光罩之作用。在另一態樣中,該方法包含以低於未對應於經識別熱點圖案之晶圓圖案之一臨限值來檢測由對應於經識別熱點圖案之此主光罩製造之晶圓圖案。在一進一步應用中,修改對應於 一經識別熱點圖案之一設計圖案,且基於此經修改設計圖案而製造一新主光罩。
在某些實施例中,本發明係關於一種使一光微影主光罩合格之檢測系統。該系統包含用於產生一入射光束之一光源及用於將該入射光束引導至一樣本上之一照明光學模組。該系統亦具有一收集光學模組,該收集光學模組用於回應於入射光束而以不同成像組態將兩個或更多個輸出光束自主光罩之各圖案區域引導至至少一感測器。該至少一感測器經組態以偵測該兩個或更多個輸出光束且產生該兩個或更多個輸出光束之兩個或更多個影像或信號。該系統進一步包含一控制器,該控制器經組態以執行上述操作之至少一些者。在其他實施例中,本發明係關於其上儲存有用於執行上述操作之至少一些者之指令之電腦可讀媒體。
下文參考圖而進一步描述本發明之此等態樣及其他態樣。
100‧‧‧主光罩合格性檢定程序
101‧‧‧操作
102‧‧‧操作
103‧‧‧操作
104‧‧‧操作
106‧‧‧操作
108‧‧‧操作
110‧‧‧操作
112‧‧‧操作
200‧‧‧熱點檢視程序
202‧‧‧操作
203‧‧‧操作
204‧‧‧操作
206‧‧‧操作
208‧‧‧操作
210‧‧‧操作
212‧‧‧操作
214‧‧‧操作
300‧‧‧晶圓檢測程序
302‧‧‧操作
304‧‧‧操作
306‧‧‧操作
308‧‧‧操作
310‧‧‧操作
312‧‧‧操作
400‧‧‧檢測系統
402‧‧‧輸入/輸入資料/強度資料/影像資料
404a‧‧‧資料分配系統
404b‧‧‧資料分配系統
406a‧‧‧區塊處理器及記憶體/第一區塊處理器
406b‧‧‧區塊處理器及記憶體/區塊處理器
408‧‧‧交換式網路
410‧‧‧檢測控制站/檢視站
412‧‧‧熱點識別器系統
416‧‧‧大容量儲存裝置
500‧‧‧微影系統
501‧‧‧數值孔徑
502‧‧‧遮罩平面/主光罩平面/平面
503‧‧‧照明源
505‧‧‧照明透鏡/透鏡
507‧‧‧照明光學器件
513‧‧‧成像光學器件
550‧‧‧檢測系統/系統
551a‧‧‧照明光學器件
551b‧‧‧數值孔徑
552‧‧‧主光罩平面
553a‧‧‧偵測光學器件/光學元件
553b‧‧‧偵測光學器件
554a‧‧‧感測器
554b‧‧‧感測器
560‧‧‧照明源
573‧‧‧電腦系統
576‧‧‧光束分離器
578‧‧‧偵測透鏡
M‧‧‧光罩
W‧‧‧晶圓
圖1係繪示根據本發明之一實施例之用於識別熱點之一主光罩合格性檢定程序之一流程圖。
圖2係表示根據本發明之一實施例之一熱點檢視程序之一流程圖。
圖3係繪示根據本發明之一實施例之一晶圓檢測程序之一流程圖。
圖4係其中可實施本發明之技術之一例示性檢測系統之一圖示。
圖5A係根據某些實施例之用於將一遮罩圖案自一光罩轉印至一晶圓上之一微影系統之一簡化示意圖示。
圖5B提供根據某些實施例之一光罩檢測設備之一示意圖示。
在以下描述中,闡述多種具體細節以提供對本發明之一全文理 解。可在無此等特定細節之一些或全部之情況下實踐本發明。在其他例項中,未詳細描述熟知程序操作或設備組件以免不必要地致使本發明不清楚。雖然將結合特定實施例來描述本發明,但將理解,並不意欲將本發明限制於該等實施例。
術語「主光罩」大體上包含其上形成有一不透明材料層之一透明基板(諸如玻璃、硼矽玻璃、石英或熔融矽石)。不透明(或實質上不透明)材料可包含完全或部分阻擋光微影光(例如,深UV)之任何適合材料。例示性材料包含鉻、矽化鉬(MoSi)、矽化鉭、矽化鎢、玻璃上不透明MoSi(OMOG)等。亦可在不透明層與透明基板之間添加多晶矽膜以改良黏著性。一低反射性膜(諸如氧化鉬(MoO2)、氧化鎢(WO2)、氧化鈦(TiO2)或氧化鉻(CrO2))可形成於不透明材料上方。
術語主光罩係指不同類型的主光罩,包含(但不限於)清透場(clear-field)主光罩、暗場主光罩、二元主光罩、相移遮罩(PSM)、交替式PSM、衰減或半色調PSM、三元衰減PSM、無鉻相位微影PSM及無鉻相位微影(CPL)。一清透場主光罩具有透明之場或背景區域,且一暗場主光罩具有不透明之場或背景區域。二元主光罩係具有透明或不透明之圖案化區域之一主光罩。例如,可使用由一透明熔融矽石坯料製成之具有由鉻金屬吸附膜定義之一圖案之一光罩。二元主光罩不同於相移遮罩(PSM),相移遮罩(PSM)之一類型可包含僅部分透射光之膜,且此等主光罩通常可稱為半色調或嵌入式相移遮罩(EPSM)(諸如ArF及KrF遮罩)。若將一相移材料放置於一主光罩之交替淨空間上,則該光罩稱為一交替式PSM、一ALT PSM或一Levenson PSM。應用於任意佈局圖案之一類型之相移材料稱為一衰減或半色調PSM,其可藉由用一部分透射或「半色調」膜替換不透明材料而製造。三元衰減PSM係亦包含完全不透明特徵之一衰減PSM。
隨著積體電路(IC)之密度及複雜度不斷增加,檢測光微影遮罩圖 案逐漸變得愈來愈有挑戰性。每一新世代之IC具有當前達到且超過微影系統之光學限制之較密集且較複雜圖案。為克服此等光學限制,已引入各種解析度增強技術(RET),諸如光學近接校正(OPC)。例如,OPC有助於藉由修改光罩圖案使得所得印刷圖案對應於原始所要圖案而克服某些繞射限制。此等修改可包含對主要IC特徵(亦即,可印刷特徵)之大小及邊緣之擾動。其他修改涉及將襯線添加至圖案隅角及/或在附近提供次解析度輔助特徵(SRAF),並不期望該等特征產生印刷特徵且因此將該等特征稱為不可印刷特徵。期望此等不可印刷特徵取消原本將在印刷程序期間出現之圖案擾動。然而,OPC使得遮罩圖案甚至更複雜且通常與所得晶圓影像極其不同。此外,OPC缺陷通常未轉譯成可印刷缺陷。光罩圖案之增加的複雜度及並非期望全部圖案元素皆直接影響印刷圖案之事實使得檢測有意義圖案缺陷之光罩之任務更加困難。
一缺陷之重要性之一量測係其MEEF或遮罩誤差增強因子。此因子使遮罩平面中之缺陷之大小與其將對印刷影像具有之影響之量值相關。高MEEF缺陷對印刷圖案具有高影響;低MEEF缺陷對印刷圖案具有極小影響或不具影響。一圖案之一密集細線部分中之一過小主要圖案特徵係具有高MEEF之一缺陷之一實例,其中一小遮罩平面大小的誤差可引起印刷圖案之一完全崩潰。一孤立小針孔係具有低MEEF之一缺陷之一實例,其中缺陷本身過小而無法印刷且其距最近主要圖案邊緣足夠遠以便不影響如何印刷該邊緣。如此等實例展示,一缺陷之MEEF在某種程度上係缺陷類型及其中定位缺陷之圖案內容之一複雜函數。
除較高MEEF遮罩缺陷引起較顯著晶圓缺陷外,某些設計圖案及對應遮罩圖案對於程序改變可比其他設計及遮罩圖案更穩健。當製程開始自最佳程序條件偏離時,某些遮罩圖案可導致更顯著晶圓圖案擾 動及缺陷。
本發明之某些實施例提供用於識別更受程序變動影響之遮罩圖案之區域(本文中稱為「熱點」,一遮罩圖案在熱點處可並未良好印刷於晶圓上)之機制。存在熱點之許多不同定義。一定義係圖案在標稱焦點曝光條件下良好印刷於晶圓上,但在無法避免之程序窗變動之邊緣處將不良好印刷。一典型OPC驗證引擎可預測此等熱點之一些者。然而,OPC驗證引擎之不足在於其無法預測歸因於遮罩製造程序變動之熱點,尤其在高MEEF區域中。或者,OPC驗證引擎可產生過多熱點候選而使使用者無法關於其等做任何事。
本發明之一實施例係考慮實際實體遮罩而重新給熱點排名。圖1係繪示根據本發明之一實施例之用於識別熱點之一主光罩合格性檢定程序100之一流程圖。可在運用一特定主光罩製造任何晶圓之前或在開始大量晶圓製造之前針對此主光罩執行以下熱點圖案識別程序。最初,在操作102中使用一主光罩檢測工具獲取至少兩個主光罩影像。選擇不同成像組態以提供可自其計算遮罩圖案之振幅之影像。例如,成像組態(例如,對於ArF遮罩)可包含高解析度影像,諸如經透射影像及經反射影像。在另一實施例中,(例如,對於EUV遮罩)可獲得具有不同瞳孔形狀及/或不同焦點條件之兩個經反射影像。
運用不同成像組態之獲取可係同時的或循序的。在某些成像組態中,所獲取之影像係自其可推斷遮罩圖案之振幅及相位資訊兩者(其等導致更精確之後續預測)之影像。所獲取之影像不必在場平面處。可在瞳孔平面處獲取兩個或更多個影像。一實例係熟知的Gerchberg-Saxton演算法,其中場平面影像與瞳孔平面繞射級之一組合可用以求解物件之振幅及相位兩者。
接著,在操作104中,可使該兩個或更多個影像與彼此對準或可使各影像與後OPC資料庫對準。此對準可涉及匹配多個影像之(若干) 檢測系統之光學性質。例如,就經透射影像及經反射影像而言,可進行影像之某一調整以補償兩個各自信號之光學路徑之差異。對準調整可取決於所使用之一檢測系統之特定幾何形狀。與後OPC資料庫之對準通常可藉由以下步驟完成:首先推斷一組關鍵系統參數;將資料庫模型化至一理論光學影像中;及接著經由空域或頻域方法使實驗量測光學影像與理論光學影像對準。
一經對準,在操作106中便可基於該兩個或更多個對準影像而重新建構遮罩影像。使重新建構遮罩影像大體上復原以使其無用以獲取遮罩影像之成像系統之特性。在一特定實施方案中,首先使點影像(亦稱為一頻帶受限之遮罩影像)自該兩個或更多個影像復原。在以下描述中,利用經反射及經透射影像,然而其他類型的影像組態可用於該兩個或更多個主光罩影像。
例如,用於建構一點影像之程序可包含實質上消除來自經透射影像及經反射影像之光學雜訊以獲得一所得點影像。一般而言,實質上減小或消除高頻效應。例如,在點影像中移除歸因於檢測系統之光學效應而形成於特定主光罩圖案周圍之環。點影像可經形成而具有量減小之過量及欠量。經反射及經透射影像可以一線性組合與選定係數組合,使得高頻項彼此抵消。因此,點影像係遮罩圖案影像之一頻帶受限之低通版本。
在一方法中,部分相干光學成像可模型化為兩個或更多個相干系統之一總和,此進一步更詳細說明於Wihl等人之美國專利7,873,204中,該案針對描述操作106之目的而以引用方式併入本文中。在此例示性實施方案中,可使用部分相干成像之霍普金斯(Hopkins)方程式以形成一透射交叉係數(TCC)矩陣。接著,可將此矩陣分解成充當相干系統之核之對應特徵向量。來自此等相干系統之各者之強度比重之特徵向量加權總和產生可用以表示經透射信號之強度之影像強度。在某 些實施例中,可僅用稱為頻帶受限之遮罩振幅函數之線性項來表示測試影像之經反射強度及經透射強度。方程式1中呈現此函數之一實例。
其中 a R 係遮罩前景色調與背景色調之間之差異之複合反射振幅; I T (x,y) 描述使用檢測系統之一遮罩之透射強度影像; C T 係遮罩之背景色調之複合透射振幅(例如,在石英與鉻之二元遮罩中, C T 可描述鉻圖案之性質); a T 係遮罩前景色調與背景色調之間之差異之複合透射振幅(例如,使用如上文之相同遮罩,a T 可描述石英與鉻之間之差異之光學性質;當然,c T a T 取決於所描述之材料層之性質而變化); I R (x,y) 描述使用檢測系統之一遮罩之反射強度影像; C R 係遮罩之背景色調之複合反射振幅,且 a R 係遮罩前景色調與背景色調之間之差異之複合反射振幅; Re(x) 表示x之實分量; P(x,y) 定義所檢測之光罩之遮罩圖案; E i λ i 分別係指與檢測工具相關聯之一透射交叉係數(TCC)成像矩陣之相關聯元素之特徵向量及特徵值; D i E i 之DC增益。
頻帶受限之遮罩圖案 M(x,y) 由以一函數卷積之遮罩圖案 P(x,y) 定義,此稱為一「復原核」。因此,頻帶受限之遮罩圖案係遮罩圖案函數P(x,y)之一修改版本。
儘管組合經反射影像與經透射影像導致影像歸因於光學效應之一些高頻部分被消除,然因為一些像差保持於點影像中,所以點影像建構仍係「真實」遮罩(例如,無像差)之一近似。在一進一步實施例中,所建構之點影像可經處理以補償某些像差。例如,可使用一方法以離線校準靜態像差且接著自點影像過濾像差效應。一般而言,可在一校準遮罩上製造多種圖案且接著使其等成像。接著,可針對不同圖 案提取校準影像中之像差項。接著,可自點影像之類似圖案之影像減去經提取像差項,使得自點影像移除此等像差項。
在建構點影像之後,可執行一還原反轉程序以獲得一還原遮罩圖案。即,以一反轉程序自點影像導出一更「真實」或似二元遮罩圖案。例如,可能因光學器件而變模糊之點影像中之圖案經銳化以形成一遮罩圖案。
亦可在操作101中獲得後OPC設計圖案。在操作103中可預先處理後OPC設計圖案以產生參考或理想遮罩圖案。即,後OPC設計圖案可經處理以在其等用以製造一遮罩時模擬遮罩製造程序及對設計圖案之改變。例如,與設計圖案相比,模擬參考遮罩圖案中之設計圖案隅角係經圓化的。
在其中兩個影像皆經反射(適用於EUV遮罩)或經透射(用以模仿步進照明及成像條件之低數值孔徑(NA)成像條件)之情況中,可藉由以下方程式判定帶有相位及振幅資訊之經復原遮罩(亦稱為近場遮罩m)
在上文方程式中, I α 係影像平面α之經量測光學影像,係描述光學成像系統之一特徵向量集合,係光學成像系統之一對應特徵值集合,且 c α 係介於0與1之間之一非負加權因子。可透過(舉例而言)諸如共軛梯度之方法迭代求解上文方程式。可謹慎小心以確保最小化數學病態風險。
視需要,在操作108中可識別參考及測試遮罩圖案兩者中之一初始熱點集合。例如,一設計者可提供一熱點座標清單。以下程序將導致將此等初始熱點排名或過濾成一最終熱點集合。另外,如下文進一步描述,整個遮罩可經處理以定位熱點。
接著,在操作110中,可用複數種不同程序條件模型化微影程序。即,在兩種或更多種程序條件下關於測試及參考遮罩圖案兩者模 型化微影程序。各程序條件大體上對應於特性化或部分特性化晶圓程序之一組晶圓製程參數。例如,焦點及曝光之一特定設定可定義為一程序條件。模型化至少兩種程序條件。一組典型的程序條件可包含一「焦點曝光矩陣」(FEM)之條目。對於各程序條件,在重新建構之遮罩影像上模擬程序條件之作用。此等模擬導致由重新建構遮罩或近場遮罩形成之一模擬晶圓或光阻圖案影像或模型集合。該模型可僅包含光微影掃描器之作用,及/或其亦可包含光阻、蝕刻、CMP或任何其他晶圓程序之作用。一例示性程序模擬工具係可購自加利福尼亞州苗比達市(Milpitas)之KLA-Tencor公司之Prolith。
在操作112中,可比較在不同程序條件下模擬之模型化影像之對應測試及參考部分以識別熱點。當任何對之測試及參考影像部分之間之一差異針對任何處理條件超出一預定義臨限值(諸如一臨界參數之一8%或10%改變)時,可定義一熱點。額外地或替代地,僅在一測試遮罩圖案改變達一預定義量時識別熱點圖案,而無關於如何比較此改變與自後OPC資料導出之參考晶圓圖案。換言之,實體遮罩圖案在不同程序條件下之一顯著改變可指示期望設計圖案之一問題。對應模型化影像部分之間之差異表示程序條件對所設計圖案與所製造遮罩之作用之差異。與一特定設計圖案相關聯之差異通常稱為「設計熱點」或僅稱為「熱點」,且表示設計中相對於已檢查之特定程序條件(亦可相對於所製造遮罩)之弱點。針對不同程序條件可發現於模型化影像之間之差異之種類之實例係CD(臨界尺寸)或EPE(邊緣放置誤差)。
亦可使用任何適合機制以依任何適合形式儲存及/或顯示一熱點圖(hot spot map)。例如,可以一熱點位置清單之形式文本地表示一熱點圖。可在對應熱點座標旁邊列出列出針對程序條件之一或多個圖案差異。亦可藉由諸如圖案差異值之標準差或方差之一度量來表示晶圓圖案改變之變化量。替代地或額外地,可視覺地表示一熱點圖,使得 以不同視覺方式(諸如經不同著色主光罩區域、不同條形圖高度、不同圖表值或三維表示等)展示不同圖案變動值或範圍。
圖2係表示根據本發明之一實施例之一熱點檢視程序200之一流程圖。後OPC資料庫表示設計者期望印刷在晶圓上之圖案。可選擇地,後OPC資料庫可配合模型化影像使用以改良熱點偵測。例如,後OPC資料庫之一模型僅考慮設計作用,且因而可用以區分晶圓程序對設計之作用與晶圓程序對所製造遮罩之作用。在所繪示之實例中,在操作202中,可比較熱點模型化圖案與來自對應後OPC圖案之模型化晶圓影像。例如,當針對不同程序改變之一組熱點模型化晶圓圖案匹配針對相同程序改變之對應模型化後OPC晶圓圖案時,可判定晶圓圖案(或光阻圖案)歸因於程序改變之改變係源自設計圖案而非源自遮罩圖案中之一缺陷。然而,若晶圓上歸因於程序變動之來自後OPC資料庫之改變不同於晶圓上歸因於相同程序變動之來自復原遮罩(或近場遮罩)之改變,則將此等熱點視為源自來自實際遮罩之一熱點。
接著,在操作204中,可判定設計是否有缺陷。在一實施例中,判定設計圖案在程序條件(或程序窗)之一指定範圍下是否導致無法接受的晶圓圖案變動。若判定設計有缺陷,則可在操作203中修改設計。若不認為設計有缺陷,則接著可在操作206中判定是否可監測熱點。若熱點待監測,則接著(例如,如下文進一步描述)可在操作208中在晶圓程序期間監測熱點。例如,可在晶圓製造期間監測熱點圖案以判定程序是否已偏離出規範及是否已引起對應晶圓圖案具有改變至無法接受的值之重要參數。一實施方案可涉及針對對應熱點之主光罩及/或晶圓圖案之檢測設定一相對較高MEEF位準。隨著條件進一步遠離標稱程序條件,CD或EPE可變大且可危及晶圓製程之完整性。
在操作210中,亦可判定是否應修復主光罩。可判定預期熱點晶圓圖案變動針對期望在微影程序期間使用之程序窗而言不符規範。在 某些情況中,主光罩可含有在操作212中修復之一缺陷。接著可重新使主光罩合格。否則,若該主光罩無法修復,則可將其丟棄(參見操作214)。接著,可製造一新主光罩且重新使其合格。
圖3係繪示根據本發明之一實施例之一晶圓檢測程序300之一流程圖。在識別一主光罩之熱點區域之後,此主光罩可於一或多個光微影程序中用以製造一或多個晶圓。最初,在操作302中,可獲取一晶圓之複數個測試影像,且可基於經識別熱點而使檢測臨限值與各影像相關聯。例如,定義為熱點之區域可被指派一偵測臨限值,而非熱點區域可被指派一較高臨限值(針對缺陷偵測)。此區別可用以最佳化檢測資源。
在操作304中,可針對各測試影像獲得一參考影像。例如,獲得晶圓上之一晶粒區域之另一影像以用於一晶粒對晶粒類型檢測。在一晶粒對資料庫檢測中,基於設計資料庫產生一參考影像。例如,檢測光學器件經模型化且應用於設計圖案以獲得一參考影像。在操作306中,各測試影像可相對於其對應參考影像對準。
在操作308中,基於相關聯臨限值比較各測試影像與其對應參考影像。例如,可將測試影像及參考影像分成由熱點之存在與否識別之多個區域。可個別地檢測含有一測試影像區域及一對應參考影像區域之各區域集合。在此操作中,可使用各區域所特有的MEEF、相關聯臨限值、一幾何圖(geometrical map)及其他資訊。
接著,在操作310中可基於比較結果而判定晶圓是否通過檢測。若晶圓通過,則檢測程序可結束,且可進一步處理晶圓。若晶圓未通過,則在操作312中可修復或丟棄該晶圓且檢測結束。
本發明之某些技術在開始晶圓製造之前提供遮罩圖案合格性檢定及實體遮罩上之弱圖案或熱點之早期偵測。可區分設計、主光罩製造作用與晶圓製造作用。此外,晶圓程序作用之一全範圍包含焦點及 曝光之許多設定,且可考量晶圓光阻、蝕刻、CMP及任何其他晶圓程序之作用。亦可基於熱點識別而對晶圓實行更穩健圖案合格性檢定。由於遮罩圖案之大小大體上比晶圓圖案之大小大4倍,故可判定圖案相對於設計資料庫之更準確位置。上文技術亦可延伸至任何適合類型的遮罩,諸如對EUV遮罩之圖案合格性檢定。
可避免遮罩重新建構步驟之一替代方法係設計已捕獲某一晶圓程序作用之成像組態。通常,此等成像組態稱為「空中成像」。若干空中成像技術進一步描述於由Ingrid Peterson等人於2008年8月26日申請之美國專利7,418,124中,該專利之整體針對全部目的以引用的方式併入本文中。
本發明之技術可實施於硬體及/或軟體之任何適合組合中。圖4係其中可實施本發明之技術之一例示性檢測系統400之一圖示。檢測系統400可自一高NA檢測工具或模仿一掃描器之一低NA檢測器(未展示)接收輸入402。檢測系統亦可包含用於分配所接收輸入402之一資料分配系統(例如404a及404b)、用於處理所接收輸入402之特定部分/區塊之一強度信號(或區塊)處理系統(例如區塊處理器及記憶體406a及406b)、用於識別熱點之一熱點識別器系統(例如,412)、用於容許檢測系統組件之間之通信之一網路(例如交換式網路408)、一選用大容量儲存裝置416及用於檢視經識別熱點、檢測結果等之一或多個檢測控制及/或檢視站(例如,410)。檢測系統400之各處理器通常可包含一或多個微處理器積體電路且亦可含有介面及/或記憶體積體電路且可額外地耦合至一或多個共用及/或全域記憶體裝置。
用於產生輸入資料402之檢測器或資料獲取系統(未展示)可採取用於獲得一主光罩之強度信號或影像之任何適合儀器之形式(例如,如本文中進一步描述)。例如,低NA檢測器可構建一光學影像或基於被反射、透射或以其他方式引導至一或多個光感測器之偵測光之一部 分而產生主光罩之一部分之強度值。接著,低NA檢測器可輸出該等強度值或可自該低NA檢測器輸出影像。
低NA檢測工具可操作以在一入射光束掃描橫跨一主光罩之各區塊時偵測及收集反射及/或透射光。如上文所提及,該入射光束可掃描橫跨各自包括複數個區塊之主光罩掃描帶。回應於此入射光束,自各區塊之複數個點或子區域收集光。
低NA檢測工具大體上可操作以將此偵測光轉換為對應於強度值之偵測信號。該等偵測信號可採取具有對應於主光罩之不同位置處之不同強度值之振幅值之一電磁波形之形式。該等偵測信號亦可採取強度值及相關聯主光罩點座標之一簡單列表之形式。該等偵測信號亦可採取具有對應於主光罩上之不同位置或掃描點之不同強度值之一影像之形式。可在主光罩之全部位置經掃描且轉換為偵測信號之後產生主光罩之兩個或更多個影像,或可在用掃描整個主光罩之後完成之主光罩之最後兩個或更多個影像掃描各主光罩部分時產生兩個或更多個影像之部分。
偵測信號亦可採用空中影像之形式。即,一空中成像技術可用於模擬光微影系統之光學效應以便產生曝光於晶圓上之光阻圖案之一空中影像。一般而言,光微影工具之光學器件經仿真以便基於來自主光罩之偵測信號而產生一空中影像。該空中影像對應於由通過光微影光學器件及主光罩而至一晶圓之光阻層上之光產生之圖案。此外,亦可仿真特定類型的光阻材料之光阻曝光程序。
可使入射光或偵測光通過任何適合空間孔徑以依任何適合入射角產生任何入射或偵測光輪廓。例如,可程式化照明或偵測孔徑可用以產生一特定光束輪廓,諸如偶極、四極、類星體、圓環等。在一特定實例中,可實施源遮罩最佳化(SMO)或任何像素化照明技術。
可由資料分配系統經由網路408接收強度或影像資料402。資料 分配系統可與一或多個記憶體裝置(諸如RAM緩衝器)相關聯以保持所接收資料402之至少一部分。較佳地,總記憶體足夠大以保持資料之一整個樣本。例如,一個十億位元組之記憶體良好工作以用於1百萬×1000個像素或點之一樣本。
資料分配系統(例如404a及404b)亦可控制所接收輸入資料402之若干部分至處理器(例如406a及406b)之分配。例如,資料分配系統可將一第一區塊之資料投送至一第一區塊處理器406a,且可將一第二區塊之資料投送至區塊處理器406b。亦可將多個區塊之多個資料集合投送至各區塊處理器。
區塊處理器可接收對應於主光罩之至少一部分或區塊之強度值或一影像。區塊處理器亦可各自耦合至一或多個記憶體裝置(未展示)(諸如提供局部記憶體功能之DRAM裝置)或與該一或多個記憶體裝置整合以(諸如)保持所接收之資料部分。較佳地,記憶體足夠大以保持對應於主光罩之一區塊之資料。例如,八兆位元組之記憶體良好工作以用於對應於512×1024個像素之一區塊之強度值或一影像。替代地,區塊處理器可共用記憶體。
各輸入資料402集合可對應於主光罩之一掃描帶。一或多個資料集合可儲存於資料分配系統之記憶體中。此記憶體可受控於資料分配系統內之一或多個處理器,且可將該記憶體分成複數個分區。例如,資料分配系統可將對應於一掃描帶之一部分之資料接收至一第一記憶體分區(未展示)中,且資料分配系統可將對應於另一掃描帶之另一資料接收至一第二記憶體分區(未展示)中。較佳地,資料分配系統之記憶體分區之各者僅保持待投送至與此記憶體分區相關聯之一處理器之資料之若干部分。例如,資料分配系統之第一記憶體分區可保持第一資料且將該第一資料投送至區塊處理器406a,且第二記憶體分區可保持第二資料且將該第二資料投送至區塊處理器406b。
資料分配系統可基於資料之任何適合參數而定義及分配資料之各資料集合。例如,可基於主光罩上之區塊之對應位置而定義及分配資料。在一實施例中,各掃描帶與對應於該掃描帶內之像素之水平位置之行位置之一範圍相關聯。例如,掃描帶之行0至行256可對應於一第一區塊,且此等行內之像素將包括投送至一或多個區塊處理器之第一影像或強度值集合。同樣地,掃描帶之行257至行512可對應於一第二區塊,且此等行中之像素將包括投送至(若干)不同區塊處理器之第二影像或強度值集合。
圖5A係根據某些實施例之可用以將一遮罩圖案自一光罩M轉印至一晶圓W上之一典型微影系統500之一簡化示意圖示。此等系統之實例包含掃描器及步進器,更明確而言,可購自荷蘭的費爾德霍芬(Netherlands)之ASML之TWINSCAN NXT:1970Ci步進-掃描系統。一般而言,一照明源503透過一照明光學器件507(例如透鏡505)而將一光束引導至定位於一遮罩平面502中之一光罩M上。照明透鏡505在該平面502處具有一數值孔徑501。數值孔徑501之值影響該光罩上何種缺陷係微影顯著缺陷及何種缺陷並非微影顯著缺陷。通過光罩M之光束之一部分形成透過成像光學器件513而引導至一晶圓W以起始圖案轉印之一圖案化光學信號。
圖5B提供根據某些實施例之具有照明光學器件551a之一例示性檢測系統550之一示意圖示,照明光學器件551a包含在一主光罩平面552處具有一相對較大數值孔徑551b之一成像透鏡。所描繪之檢測系統550包含偵測光學器件553a及553b,其等包含經設計以針對增強型檢測提供(例如)60至200倍放大率或更高之顯微鏡放大光學器件。例如,與微影系統500之主光罩平面502處之數值孔徑501相比,檢測系統之主光罩平面552處之數值孔徑551b可相當大,此將導致測試檢測影像與實際印刷影像之間之差異。
可在各種經特殊組態之檢測系統(諸如圖5B中示意性繪示之一系統)上實施本文中所描述之檢測技術。所繪示之系統550包含一照明源560,該照明源560產生透過照明光學器件551a而引導至主光罩平面552中之一光罩M上之一光束。光源之實例包含一相干雷射光源(例如,深UV或氣體雷射產生器)、一濾光燈、LED光源等。在一實例中,光源係193奈米雷射。如上文說明,檢測系統550在主光罩平面552處可具有一數值孔徑551b,該數值孔徑551b可大於對應微影系統之一主光罩平面數值孔徑(例如,圖5A中之元件501)。待檢測之光罩M放置於主光罩平面552處之一遮罩平台上且曝光於光源。
透過若干光學元件553a而引導來自遮罩M之圖案化影像,該等光學元件553a將圖案化影像投影至一感測器554a上。在一反射系統中,光學元件(例如,光束分離器576及偵測透鏡578)將反射光引導且捕獲至感測器554b上。儘管展示兩個感測器,然一單一感測器可用以在相同光罩區域之不同掃描期間偵測反射及透射光。適合感測器包含電荷耦合裝置(CCD)、CCD陣列、時延積分(TDI)感測器、TDI感測器陣列、光電倍增管(PMT)及其他感測器。
可藉由任何適合機構相對於遮罩平台移動照明光學器件行及/或相對於一偵測器或相機移動平台以便掃描主光罩之區塊。例如,一馬達機構可用以移動平台。例如,該馬達機構可由一螺桿驅動及步進馬達、具有回饋位置之線性驅動或帶致動器及步進馬達形成。
可由一電腦系統573或(更一般而言)由一或多個信號處理裝置處理由各感測器(例如,554a及/或554b)捕獲之信號,該一或多個信號處理裝置可各自包含經組態以將來自各感測器之類比信號轉換為數位信號以進行處理之一類比至數位轉換器。電腦系統573通常具有經由適當匯流排或其他通信機構耦合至輸入/輸出埠及一或多個記憶體之一或多個處理器。
電腦系統573亦可包含用於提供使用者輸入(諸如改變焦點及其他檢測配方參數)之一或多個輸入裝置(例如,鍵盤、滑鼠、操縱桿)。電腦系統573亦可連接至用於控制(例如)一樣本位置(例如,聚焦及掃描)之平台,且可連接至其他檢測系統組件以用於控制此等檢測系統組件之其他檢測參數及組態。
電腦系統573可經組態(例如,用程式化指令)以提供用於顯示所得強度值、影像及其他檢測結果之一使用者介面(例如,一電腦螢幕)。電腦系統573可經組態以分析反射及/或透射感測光束之強度、相位及/或其他特性。電腦系統573可經組態(例如,用程式化指令)以提供用於顯示所得強度值、影像及其他檢測特性之一使用者介面(例如,在一電腦螢幕上)。在某些實施例中,電腦系統573經組態以實行上文詳述之檢測技術。
因為可在一經特殊組態之電腦系統上實施此等資訊及程式指令,所以此一系統包含可儲存於一電腦可讀媒體上之用於執行本文中描述之各種操作之程式指令/電腦碼。機器可讀媒體之實例包含(但不限於):磁性媒體,諸如硬碟、軟碟及磁帶;光學媒體,諸如CD-ROM光碟;磁光媒體,諸如光碟;及硬體裝置,其經特殊組態以儲存及執行程式指令,諸如唯讀記憶體(ROM)裝置及隨機存取記憶體(RAM)。程式指令之實例包含(諸如)由一編譯器產生之機器碼及含有可由電腦使用一解譯器執行之更高級別代碼之檔案兩者。
在某些實施例中,用於檢測一光罩之一系統包含至少一記憶體及至少一處理器,其等經組態以執行本文中描述之技術。一檢測系統之一實例包含可購自加利福尼亞州苗比達市(Milpitas)之KLA-Tencor公司之一經特殊組態之TeraScanTM DUV檢測系統。
儘管已為清楚理解之目的而相當詳細地描述前述發明,然應瞭解,在隨附申請專利範圍之範疇內可實踐某些改變及修改。應注意, 存在實施本發明之程序、系統及設備之許多替代方式。相應地,本實施例應被視為具繪示性且非限制性,且本發明不限於本文中給出之細節。

Claims (25)

  1. 一種使一光微影主光罩合格之方法,該方法包括:使用一光學主光罩檢測工具自該主光罩之各圖案區域獲取不同成像組態之至少兩個影像;各自基於來自該主光罩之各圖案區域之至少兩個影像而重新建構一主光罩圖案;針對各重新建構之主光罩圖案而在此重新建構之主光罩圖案上模型化具有兩種或更多種不同程序條件之一微影程序以產生兩個或更多個對應模型化測試晶圓圖案;及各自分析兩個或更多個模型化測試晶圓圖案以識別該等主光罩圖案之熱點圖案,該等熱點圖案易受改變由此等熱點圖案形成之晶圓圖案之該等不同程序條件之影響。
  2. 如請求項1之方法,其中不同成像條件下之該至少兩個影像包含一經反射及經透射影像。
  3. 如請求項1之方法,其中不同成像條件下之該至少兩個影像包含具有不同瞳孔形狀及/或不同焦點條件之至少兩個經反射影像。
  4. 如請求項1之方法,其中不同成像條件下之該至少兩個影像包含可透過數學迭代程序自其判定遮罩之一圖案之振幅及相位之資訊。
  5. 如請求項1之方法,其中該兩種或更多種不同程序條件包含兩種或更多種不同曝光及焦點設定。
  6. 如請求項5之方法,其中該兩種或更多種不同曝光及焦點設定包含來自一焦點曝光矩陣(FEM)之複數個曝光及焦點設定。
  7. 如請求項5之方法,其中模型化包含模型化一光阻材料。
  8. 如請求項7之方法,其中模型化包含模型化一蝕刻或化學機械平 坦化(CMP)程序。
  9. 如請求項1之方法,其中在運用此主光罩之大量晶圓製造開始之前或在運用此主光罩之任何晶圓製造之前識別熱點圖案。
  10. 如請求項1之方法,其進一步包括:基於複數個後OPC(光學近接校正)設計圖案而模擬複數個參考主光罩圖案;及對各參考主光罩圖案執行該模型化操作以產生兩個或更多個對應模型化參考晶圓圖案,其中分析包含比較各模型化測試晶圓圖案與其對應參考晶圓圖案,及當源自此比較之一差異超過一預定臨限值時,識別此模型化測試圖案之一熱點圖案。
  11. 如請求項10之方法,其進一步包括針對該等參考及測試主光罩圖案識別複數個初始熱點位置,及產生僅對應於初始熱點位置之模型化測試及參考晶圓圖案。
  12. 如請求項1之方法,其中在各熱點圖案之對應兩個或更多個模型化測試晶圓圖案針對該兩種或更多種不同程序條件變化達一預定量時識別該熱點圖案。
  13. 如請求項1之方法,其中各自分析兩個或更多個模型化測試晶圓圖案包含分析複數個對應後OPC設計圖案以區分該兩種或更多種不同程序條件對用以製造該主光罩之一設計之作用與該兩種或更多種不同程序條件對該主光罩之作用。
  14. 如請求項1之方法,其進一步包括以低於未對應於經識別熱點圖案之晶圓圖案之一臨限值來檢測由對應於該經識別熱點圖案之此主光罩製造之晶圓圖案。
  15. 如請求項1之方法,其進一步包括修改對應於一經識別熱點圖案之一設計圖案及基於此經修改設計圖案而製造一新主光罩。
  16. 一種用於使一光微影主光罩合格之檢測系統,該系統包括:一光源,其用於產生一入射光束;一照明光學模組,其用於將該入射光束引導至一主光罩上;一收集光學模組,其用於回應於該入射光束而以不同成像組態將兩個或更多個輸出光束自該主光罩之各圖案區域引導至至少一感測器;至少一感測器,其用於偵測該兩個或更多個輸出光束及產生該兩個或更多個輸出光束之兩個或更多個影像或信號;及一控制器,其經組態以執行以下操作:各自基於來自該主光罩之各圖案區域之至少兩個影像而重新建構一主光罩圖案;針對各重新建構之主光罩圖案而在此重新建構之主光罩圖案上模型化具有兩種或更多種不同程序條件之一微影程序以產生兩個或更多個對應模型化測試晶圓圖案;及各自分析兩個或更多個模型化測試晶圓圖案以識別該等主光罩圖案之熱點圖案,該等熱點圖案易受改變由此等熱點圖案形成之晶圓圖案之該等不同程序條件之影響。
  17. 如請求項16之系統,其中不同成像條件下之該至少兩個影像包含一經反射及經透射影像。
  18. 如請求項16之系統,其中不同成像條件下之該至少兩個影像包含具有不同瞳孔形狀及/或不同焦點條件之至少兩個經反射影像。
  19. 如請求項16之系統,其中不同成像條件下之該至少兩個影像包含可透過數學迭代程序自其判定遮罩之一圖案之振幅及相位之資訊。
  20. 如請求項16之系統,其中該兩種或更多種不同曝光及焦點設定 包含來自一焦點曝光矩陣(FEM)之複數個曝光及焦點設定,且模型化包含模型化一光阻材料。
  21. 如請求項16之系統,其中在運用此主光罩之大量晶圓製造開始之前或在運用此主光罩之任何晶圓製造之前識別熱點圖案。
  22. 如請求項16之系統,其中該控制器進一步經組態以:基於複數個後OPC(光學近接校正)設計圖案而模擬複數個參考主光罩圖案;及對各參考主光罩圖案執行該模型化操作以產生兩個或更多個對應模型化參考晶圓圖案,其中分析包含比較各模型化測試晶圓圖案與其對應參考晶圓圖案,及當源自此比較之一差異超過一預定臨限值時,識別此模型化測試圖案之一熱點圖案。
  23. 如請求項22之系統,其中該控制器進一步經組態以針對該等參考及測試主光罩圖案識別複數個初始熱點位置,且產生僅對應於初始熱點位置之模型化測試及參考晶圓圖案。
  24. 如請求項16之系統,其中當各熱點圖案之對應兩個或更多個模型化測試晶圓圖案針對該兩種或更多種不同程序條件變化達一預定量時識別該熱點圖案。
  25. 如請求項16之系統,其中各自分析兩個或更多個模型化測試晶圓圖案包含分析複數個對應後OPC設計圖案以區分該兩種或更多種不同程序條件對用以製造該主光罩之一設計之作用與該兩種或更多種不同程序條件對該主光罩之作用。
TW103128721A 2013-08-20 2014-08-20 於微影製程中使圖案合格 TWI618976B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361867939P 2013-08-20 2013-08-20
US61/867,939 2013-08-20
US14/461,638 2014-08-18
US14/461,638 US9612541B2 (en) 2013-08-20 2014-08-18 Qualifying patterns for microlithography

Publications (2)

Publication Number Publication Date
TW201514617A TW201514617A (zh) 2015-04-16
TWI618976B true TWI618976B (zh) 2018-03-21

Family

ID=52480003

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103128721A TWI618976B (zh) 2013-08-20 2014-08-20 於微影製程中使圖案合格

Country Status (6)

Country Link
US (1) US9612541B2 (zh)
JP (1) JP6594876B2 (zh)
KR (1) KR102112901B1 (zh)
CN (1) CN105593984B (zh)
TW (1) TWI618976B (zh)
WO (1) WO2015026942A1 (zh)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9395622B2 (en) * 2014-02-20 2016-07-19 Globalfoundries Inc. Synthesizing low mask error enhancement factor lithography solutions
US9183656B2 (en) * 2014-03-11 2015-11-10 Fei Company Blend modes for mineralogy images
US9478019B2 (en) 2014-05-06 2016-10-25 Kla-Tencor Corp. Reticle inspection using near-field recovery
US10312161B2 (en) * 2015-03-23 2019-06-04 Applied Materials Israel Ltd. Process window analysis
US10030965B2 (en) * 2015-05-08 2018-07-24 Kla-Tencor Corporation Model-based hot spot monitoring
US10395361B2 (en) 2015-08-10 2019-08-27 Kla-Tencor Corporation Apparatus and methods for inspecting reticles
EP3210189B1 (en) * 2015-08-10 2022-03-23 KLA - Tencor Corporation Apparatus and methods for predicting wafer-level defect printability
US9747408B2 (en) * 2015-08-21 2017-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Generating final mask pattern by performing inverse beam technology process
JP2017053674A (ja) * 2015-09-08 2017-03-16 株式会社ニューフレアテクノロジー パターン幅寸法のずれ量測定方法及びパターン検査装置
TWI581213B (zh) * 2015-12-28 2017-05-01 力晶科技股份有限公司 物品缺陷檢測方法、影像處理系統與電腦可讀取記錄媒體
US10346740B2 (en) * 2016-06-01 2019-07-09 Kla-Tencor Corp. Systems and methods incorporating a neural network and a forward physical model for semiconductor applications
US9929045B2 (en) * 2016-07-14 2018-03-27 Taiwan Semiconductor Manufacturing Company Ltd. Defect inspection and repairing method and associated system and non-transitory computer readable medium
TWI755453B (zh) * 2017-05-18 2022-02-21 美商克萊譚克公司 鑑定一光微影光罩合格性之方法及系統
US10599046B2 (en) 2017-06-02 2020-03-24 Samsung Electronics Co., Ltd. Method, a non-transitory computer-readable medium, and/or an apparatus for determining whether to order a mask structure
DE102018125109B4 (de) 2017-11-14 2022-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Optische Nahbereichskorrektur
JP2019139008A (ja) * 2018-02-08 2019-08-22 東芝メモリ株式会社 マスクパターン検証方法及びそのプログラム
KR102459381B1 (ko) * 2018-02-23 2022-10-26 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 리소그래피를 위한 머신 러닝 모델을 트레이닝시키기 위한 방법
CN112384860B (zh) * 2018-06-15 2023-12-08 Asml荷兰有限公司 基于机器学习的逆光学邻近效应校正和过程模型校准
CN110579937B (zh) * 2019-09-23 2023-01-24 长江存储科技有限责任公司 测试掩模版及其形成方法、测试掩模版的形成装置
CN113791526B (zh) * 2021-10-25 2023-09-15 福建省晋华集成电路有限公司 多重图形化的光刻顺序的确定方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6902855B2 (en) * 2002-07-15 2005-06-07 Kla-Tencor Technologies Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
TW200827939A (en) * 2006-10-10 2008-07-01 Asml Netherlands Bv Lithographic apparatus, and device manufacturing method
US7418124B2 (en) * 2002-07-15 2008-08-26 Kla-Tencor Technologies Corp. Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
US7646906B2 (en) * 2004-01-29 2010-01-12 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting defects in reticle design data

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004040372A1 (en) 2002-11-01 2004-05-13 Systems On Silicon Manufacturing Co. Pte. Ltd. Multi-image reticles
KR100488543B1 (ko) 2002-11-05 2005-05-11 삼성전자주식회사 포토리소그래피 공정용 레티클 제작방법
JP4943304B2 (ja) * 2006-12-05 2012-05-30 株式会社 Ngr パターン検査装置および方法
US8611637B2 (en) * 2007-01-11 2013-12-17 Kla-Tencor Corporation Wafer plane detection of lithographically significant contamination photomask defects
US7995832B2 (en) 2007-01-11 2011-08-09 Kla-Tencor Corporation Photomask inspection and verification by lithography image reconstruction using imaging pupil filters
US8103086B2 (en) 2007-01-11 2012-01-24 Kla-Tencor Corporation Reticle defect inspection with model-based thin line approaches
US7873204B2 (en) * 2007-01-11 2011-01-18 Kla-Tencor Corporation Method for detecting lithographically significant defects on reticles
JP2008242112A (ja) * 2007-03-28 2008-10-09 Toshiba Corp マスクパターン評価装置及びフォトマスクの製造方法
JP4958616B2 (ja) * 2007-04-20 2012-06-20 株式会社日立ハイテクノロジーズ ホットスポット絞り込み装置、ホットスポット絞り込み方法、ホットスポット絞り込みプログラム、ホットスポット検査装置、および、ホットスポット検査方法
CN101126905B (zh) * 2007-09-19 2011-12-07 芯硕半导体(合肥)有限公司 具有对焦机构的直写光刻装置
CN101241319B (zh) * 2008-03-06 2010-08-25 上海微电子装备有限公司 具有对准标记体系的机器视觉对准系统及其对准方法
JP2009294123A (ja) * 2008-06-06 2009-12-17 Advanced Mask Inspection Technology Kk パターン識別装置、パターン識別方法及び試料検査装置
US7932004B1 (en) 2008-10-02 2011-04-26 Kla-Tencor Corporation Feature identification for metrological analysis
US8463016B2 (en) * 2010-02-05 2013-06-11 Luminescent Technologies, Inc. Extending the field of view of a mask-inspection image
US8285030B2 (en) * 2010-03-15 2012-10-09 Synopsys, Inc. Determining calibration parameters for a lithographic process
CN102468199B (zh) * 2010-11-05 2015-04-29 中芯国际集成电路制造(北京)有限公司 一种检测掩膜版雾状缺陷的方法
JP5342537B2 (ja) * 2010-11-09 2013-11-13 株式会社ニューフレアテクノロジー マスク検査装置
CN102789133B (zh) * 2011-05-16 2014-09-03 中芯国际集成电路制造(上海)有限公司 一种显影后检查方法
US8855400B2 (en) 2012-03-08 2014-10-07 Kla-Tencor Corporation Detection of thin lines for selective sensitivity during reticle inspection using processed images
CN104303048B (zh) 2012-03-20 2018-05-04 科磊股份有限公司 使用反射及透射图来检测光罩劣化
US9189705B2 (en) * 2013-08-08 2015-11-17 JSMSW Technology LLC Phase-controlled model-based overlay measurement systems and methods

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6902855B2 (en) * 2002-07-15 2005-06-07 Kla-Tencor Technologies Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
US7418124B2 (en) * 2002-07-15 2008-08-26 Kla-Tencor Technologies Corp. Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
US7646906B2 (en) * 2004-01-29 2010-01-12 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting defects in reticle design data
TW200827939A (en) * 2006-10-10 2008-07-01 Asml Netherlands Bv Lithographic apparatus, and device manufacturing method

Also Published As

Publication number Publication date
WO2015026942A1 (en) 2015-02-26
CN105593984B (zh) 2018-12-04
KR102112901B1 (ko) 2020-05-19
JP6594876B2 (ja) 2019-10-23
TW201514617A (zh) 2015-04-16
KR20160044568A (ko) 2016-04-25
JP2016532902A (ja) 2016-10-20
US20150054940A1 (en) 2015-02-26
US9612541B2 (en) 2017-04-04
CN105593984A (zh) 2016-05-18

Similar Documents

Publication Publication Date Title
TWI618976B (zh) 於微影製程中使圖案合格
US12094101B2 (en) Inspection of reticles using machine learning
JP7116757B2 (ja) ウエハレベル欠陥の転写性を予測する装置および方法
US10451563B2 (en) Inspection of photomasks by comparing two photomasks
US10395361B2 (en) Apparatus and methods for inspecting reticles
US9547892B2 (en) Apparatus and methods for predicting wafer-level defect printability
US9875534B2 (en) Techniques and systems for model-based critical dimension measurements
JP7440580B2 (ja) レチクルを検査する装置および方法