JP7116757B2 - ウエハレベル欠陥の転写性を予測する装置および方法 - Google Patents

ウエハレベル欠陥の転写性を予測する装置および方法 Download PDF

Info

Publication number
JP7116757B2
JP7116757B2 JP2020097525A JP2020097525A JP7116757B2 JP 7116757 B2 JP7116757 B2 JP 7116757B2 JP 2020097525 A JP2020097525 A JP 2020097525A JP 2020097525 A JP2020097525 A JP 2020097525A JP 7116757 B2 JP7116757 B2 JP 7116757B2
Authority
JP
Japan
Prior art keywords
reticle
wafer
different
images
test
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020097525A
Other languages
English (en)
Other versions
JP2020166283A (ja
Inventor
アブドゥラフマン セズジナー
ルイ-ファン シー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/822,571 external-priority patent/US9547892B2/en
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2020166283A publication Critical patent/JP2020166283A/ja
Application granted granted Critical
Publication of JP7116757B2 publication Critical patent/JP7116757B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/0006Industrial image inspection using a design-rule based approach
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N2021/95676Masks, reticles, shadow masks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10016Video; Image sequence
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10141Special mode during image acquisition
    • G06T2207/10144Varying exposure
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10141Special mode during image acquisition
    • G06T2207/10152Varying illumination
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Quality & Reliability (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • General Health & Medical Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Pathology (AREA)
  • Immunology (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Biochemistry (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Description

本発明は、一般に、レチクル検査に関する。より詳細には、本発明は、パターン評価に関する。
関連出願の相互参照
本出願は、2014年5月6日に出願した米国仮出願第61/988,909号、および2014年9月23日に出願した米国仮出願第62/054,185号の優先権を主張するアブドゥラフマン セズジナー(Abdurrahman Sezginer)らが2015年5月1日に出願した先の米国特許出願第14/702,336号の優先権を主張するものであり、一部継続出願である。これらの出願は、あらゆる目的のために全体が参照により本明細書に組み込まれる。
一般に、半導体製造産業は、シリコンなどの基板上に層状に重ねられた、パターンの付いている半導体材料を用いて集積回路を製造する非常に複雑な技法を伴う。回路集積が大規模になり、半導体デバイスのサイズが減少しているために、製造されるデバイスは、欠陥に対してますます敏感になってきている。すなわち、デバイスの故障を引き起こす欠陥は、次第に小さくなっている。デバイスは、エンドユーザまたは取引先に出荷する前に故障がないものである。
典型的には、集積回路は、複数のレチクルから製造される。最初に、回路設計者は、レチクル生産システムまたはレチクルライタに、特定の集積回路(IC)設計を記述する回路パターンデータを与える。典型的には、回路パターンデータは、製造されるICデバイスの物理的レイヤの表現レイアウトの形態である。この表現レイアウトは、ICデバイス(例えば、ゲート酸化物、ポリシリコン、メタライゼーションなど)の物理的レイヤごとの表現レイヤを含み、各表現レイヤは、特定のICデバイスのレイヤのパターニングを定める複数の多角形で構成される。レチクルライタは、回路パターンデータを使用して、特定のIC設計を製造するために後で使用される複数のレチクルを書き込む(例えば、典型的には、電子ビームライタまたはレーザスキャナを使用してレチクルパターンを露光する)。
レチクルまたはフォトマスクは、少なくとも透明領域および不透明領域、さらに場合によっては半透明領域および位相シフト領域を含む光学要素であり、これらは共に、集積回路などの電子ICデバイスにおける同一平面上の特徴のパターンを定める。レチクルは、フォトリソグラフィ中に、エッチングプロセス、イオン注入プロセス、または他の製造プロセスのために半導体ウエハの特定の領域を定めるのに使用される。
米国特許出願公開第2011/0276935号
各レチクルまたは一群のレチクルの製造後、典型的には、新しい各レチクルは、ウエハ製造に使用するために評価される。例えば、レチクルパターンは、転写性のある欠陥がないことが必要である。したがって、改良されたレチクル検査および評価技法が継続的に必要とされている。
以下のものは、本発明のいくつかの実施形態の基本的理解をもたらすために本開示の簡単な概要を説明する。この概要は、本開示の包括的な概観ではなく、本発明の重要な/不可欠の要素を特定するものでも、本発明の範囲を画定するものでもない。その唯一の目的は、本明細書中に開示したいくらかの概念を、後で示されるより詳細な説明への導入部として簡単な形態で提示することである。
一実施形態では、フォトリソグラフィのレチクルを評価する方法が開示される。光学的レチクル検査ツールを使用して、較正レチクルの複数のパターンエリアの各々から異なるイメージング構成で画像を取得する。較正レチクルのパターンエリアごとのレチクルの近距離場は、較正レチクルの各パターンエリアから取得した画像に基づいて再現される。再現された較正レチクルについてのレチクルの近距離場を使用して、レチクルの近距離場に基づいてウエハ画像をシミュレートするためのリソグラフィモデルを生成する。光学的レチクル検査ツールも、テストレチクルの複数のパターンエリアの各々から異なるイメージング構成で画像を取得するために使用される。テストレチクルのパターンエリアごとのレチクルの近距離場は、テストレチクルの各パターンエリアから取得した画像に基づいて再現される。次いで、生成されたモデルをテストレチクルについてのレチクルの近距離場に適用して、テストウエハ画像をシミュレートする。次いで、シミュレートされたテストウエハ画像を解析して、テストレチクルが不安定なウエハまたは欠陥ウエハになる可能性があるかを決定することができる。
特定の実施では、レチクルの近距離場は、レチクルの近距離場を決定するために準ニュートン法または共役勾配法を用いて再現される。別の態様では、レチクルの近距離場は、取得した画像とレチクルの近距離場から計算される画像の間の複数の自乗差の合計を最小にする回帰技法によって再現される。別の実施形態では、レチクルの近距離場は、ホプキンス近似を用いて再現される。別の例では、レチクルの近距離場は、レチクルを製造するのに使用された設計データベースを用いることなく再現される。一態様では、取得した画像は、同じレチクルの近距離場になるように選択される異なるイメージング条件で取得される少なくとも3つの画像を含む。さらなる態様では、異なるプロセス条件は、コレクションビームの異なる部分をぼんやりさせるために、異なる焦点設定、異なる照明方向もしくは照明パターン、照明瞳の全体もしくは様々な照明瞳の部分についての異なる直線偏光、および/または異なるアポダイゼイション設定を含む。
別の実施形態では、リソグラフィモデルは、特定のフォトレジスト材料の影響を含めてフォトリソグラフィプロセスをシミュレートする。一態様では、リソグラフィモデルは、モデルに由来するウエハ画像と較正レチクルを用いて製造されたウエハの参照画像とを比較し、取得した画像と参照画像の間の差が最小にされるまでモデルのモデルパラメータを調整することによって生成される。別の態様では、較正レチクルは、光近接効果補正(OPC)モデルを較正するためにも用いられる。特定の実施では、モデルは、異なるリソグラフィプロセス条件下でテストレチクルの近距離場に適用される。この態様では、シミュレートされたテストウエハ画像の解析は、異なるプロセス条件を有するとともに同じレチクルエリアに関連しているシミュレートされたテスト画像同士を比較することによってテストレチクルが異なるリソグラフィプロセス条件下で不安定なウエハになる可能性があるかを決定することを含む。さらなる態様では、テストレチクルは、シミュレートされたテスト画像同士の比較が予め定められた閾値を上回る差になるときに不安定であると決定される。またさらなる態様では、異なるレチクルエリアは、異なる予め定められた閾値を有する。
さらなる応用では、上記方法は、テストレチクルが不安定なウエハまたは欠陥ウエハになる可能性があるという決定に基づいて、テストレチクルを修理するステップ、テストレチクルを廃棄するステップ、またはそのようなテストレチクルを用いて製造されるウエハの特定のエリアを監視するステップを含む。別の態様では、シミュレートされたテスト画像は、テストレチクルが不安定なウエハまたは欠陥ウエハになる可能性があるかを決定するために、シミュレートされたテスト画像と事前OPCの設計データベースから形成された画像とを比較することによって解析される。
代替実施形態では、本発明は、フォトリソグラフィのレチクルを評価する検査システムに関係する。このシステムは、入射ビームを生成する光源と、入射ビームをレチクル上へ向ける照明光学系モジュールとを備える。このシステムは、レチクルの各パターンエリアからの出力ビームを少なくとも1つのセンサへ向ける集光光学モジュールと、出力ビームを検出し、出力ビームに基づいて画像または信号を生成する少なくとも1つのセンサとをさらに備える。システムは、以下の動作、すなわち、(i)較正レチクルの複数のパターンエリアの各々から異なるイメージング構成で複数の画像を取得させ、(ii)較正レチクルの各パターンエリアから取得した画像に基づいて較正レチクルのパターンエリアごとのレチクルの近距離場を再現し、(iii)較正レチクルについて再現されたレチクルの近距離場を用いて、レチクルの近距離場に基づいて複数のウエハ画像をシミュレートするためのリソグラフィモデルを生成し、(iv)テストレチクルの複数のパターンエリアの各々から異なるイメージング構成で複数の画像を取得させ、(v)テストレチクルの各パターンエリアから取得した画像に基づいてテストレチクルのパターンエリアごとにレチクルの近距離場を再現し、(vi)生成されたモデルをテストレチクルについてのレチクルの近距離場に適用して、複数のテストウエハ画像をシミュレートし、(vii)シミュレートされたテストウエハ画像を解析して、テストレチクルが不安定なウエハまたは欠陥ウエハになる可能性があるかを決定することを実行するように構成されているコントローラをさらに備える。コントローラおよびシステムはさらに、上記方法のいずれかを行うように構成することもできる。
本発明のこれらおよび他の態様は、図を参照することにより以下に説明される。
本発明の一実施形態によるモデル較正手順を示す流れ図である。 本発明の一実施形態によるレチクル評価プロセスを表す流れ図である。 本発明の一実施形態によるレチクル評価プロセスを表す流れ図である。 本発明の一応用例によるレチクルパターンの安定性を決定するプロセスを示す流れ図である。 本発明の代替実施形態による欠陥検査手順を示す流れ図である。 本発明の技法を実施することができる検査システムの一例の概略図である。 いくつかの実施形態によるフォトマスクからウエハへマスクパターンを転写するリソグラフィシステムの簡略化した概略図である。 いくつかの実施形態によるフォトマスク検査装置の概略図である。
以下の記載には、本発明に対する徹底的な理解を可能にするために、具体的な詳細が多数記載されている。本発明は、これらの特定の詳細の一部またはその全部なしで実施することができる。他の例では、本発明を不必要にあいまいにさせないように、よく知られているプロセスの動作または装置の構成要素は、詳細には説明されていない。本発明は特定の実施形態との関連で説明されるが、本発明をこれらの実施形態に限定することは意図されていないことが理解されよう。
用語「レチクル」、「マスク」、および「フォトマスク」は、本明細書中では交換可能に使用されており、一般的に、各々には、ガラス、ホウケイ酸ガラス、石英、または溶融シリカなどの透明基板であって、不透明材料のレイヤをその表面に形成した透明基板が包含され得る。不透明な(または実質的に不透明な)材料には、フォトリソグラフィ光(例えば遠紫外光)を完全にまたは部分的に遮断する任意の適切な材料が含まれ得る。材料の例には、クロム、ケイ化モリブデン(MoSi)、ケイ化タンタル、ケイ化タングステン、ガラス上の不透明なMoSi(OMOG)等が含まれる。接着性を改善するために、不透明な層と透明基板との間にポリシリコン膜が加えられてもよい。不透明材料の上に、酸化モリブデン(MoO)、酸化タングステン(WO)、酸化チタン(TiO)、または酸化クロム(CrO)などの低反射膜が形成されてもよい。
レチクルという用語は、限定するものではないが、クリアフィールドレチクル、ダークフィールドレチクル、バイナリレチクル、位相シフトマスク(PSM:phase shift mask)、交互PSM、減衰型またはハーフトーン型PSM、ターナリ減衰型PSM、クロムレス位相リソグラフィPSM、およびクロムレス位相リソグラフィ(CPL:chromeless phase lithography)を含む様々な種類のレチクルを指す。クリアフィールドレチクルは、透明なフィールドエリアまたはバックグラウンドエリアを有し、ダークフィールドレチクルは、不透明なフィールドエリアまたはバックグラウンドエリアを有する。バイナリレチクルは、透明または不透明であるパターンの付いたエリアを有するレチクルである。例えば、クロム金属を吸着する膜によって規定されるパターンを有する透明な溶融シリカのブランクから作製されたフォトマスクを使用することができる。バイナリレチクルは、位相シフトマスク(PSM)とは異なり、その一種は、光を一部だけ透過させる膜が含み得、これらのレチクルは、一般に、ArFマスクおよびKrFマスクなどのハーフトーン型位相シフトマスクまたは埋め込み型位相シフトマスク(EPSM:embedded phase-shift mask)と呼ばれることがある。位相シフト材料が、レチクルの交互のクリアなスペース上に配置される場合、レチクルは、交互PSM、ALT PSM、またはレベンソン型PSMと呼ばれる。任意のレイアウトパターンに適用される位相シフト材料の種類の1つは、減衰型PSMまたはハーフトーンPSMと呼ばれ、これは不透明材料を部分的に透過膜、または「ハーフトーン」膜に置換することによって製造することができる。ターナリ減衰型PSMは、完全に不透明なフィーチャも含む減衰型PSMである。
そのようなマスクを用いてウエハを製造する前に、または製造施設へマスクを出荷する前に、各マスクの欠陥を検出することは有益である。本発明の一実施形態は、検査ツールから得られるそのようなレチクルの画像を用いてウエハレベルの挙動を予測することによってマスクを評価する技法を含む。まず、ウエハレベルの挙動を予測するモデルは、較正レチクルなどのレチクルからのレチクル画像から生成され、次いで、そのようなモデルは、同じまたは他のレチクルのレチクル画像に基づいてウエハレベルにおける欠陥検出に使用することができる。
較正の実施形態:
本発明のいくつかの実施形態は、較正マスクから再現されるマスクの近距離場(近接場)に基づいてリソグラフィモデルを較正する技法を提供し、この較正されたモデルは、後で、モデル使用の実施形態に関してさらに後述される欠陥検出または他の目的の間に使用することができる。そのような較正プロセスは、設計データベースに基づいている較正プロセスなどの他の技法と比較してより正確なリソグラフィモデルになる。設計データベースの手法は、設計データベース中の幾何学的形状が製造されるマスク上のパターンを正確に表すと仮定するが、典型的には、これは事実と異なる。他の較正技法は、製造されるマスクのパターンおよび材料は予め選択された3次元プロファイルおよび1セットの材料特性の説明によって正確に表すことができるという仮定を行う。対照的に、本明細書中に記載されるようないくつかの較正の実施形態は、リソグラフィモデルを正確に較正するように実際のレチクルから再現されるマスクの近距離場を利用することによってこれらのモデリングの不完全性を回避する。
図1は、本発明の一実施形態によるモデル較正手順100を示す流れ図である。特定のレチクルまたは1セットのレチクルについての以下の較正プロセス100は、そのようなレチクルを用いて何らかのウエハを製造する前に、または大量のウエハの製造の開始前に実行することができる。
動作102において、最初、較正マスクの少なくとも3つの画像が、マスク検査ツールを用いて異なるイメージング構成で取得される。代替として、2つの画像が使用されてもよいが、3つの画像の使用がよく機能することが分かっている。本明細書中に記載されているいくつかの実施形態では、この較正プロセスの結果は、最終的に、レチクル画像に基づく他のレチクルについての欠陥検出に使用することができる。したがって、較正レチクルの画像は、他のレチクルの検査に使用されるレチクル検査システムの検出器、または同様に構成されたレチクル検査システム(例えば、検査に使用されるレチクル検査システムと同じ型およびモデルの異なるレチクル検査システム)の同様に構成された検出器を用いて取得されることが好ましい。言い換えれば、較正に使用することができる画像は、検査に使用されるのと同じ光学的条件の下で取得されるのが好ましい。このようにして、レチクルと照明用の電磁波の相互作用は、できる限り直接的に測定することができる。
較正レチクルは、欠陥検出のために検査されるまたは計量目的のために測定されるレチクルとほぼ同様である特徴を有するべきである。例えば、較正レチクルおよびテストレチクルは、ほぼ同じ厚さおよび組成を有するほぼ同じ材料から製造されることが好ましい。加えて、2つのレチクルは、同じプロセスを用いて形成されているとよい。2つのレチクルは、レチクル上のパターンがほぼ同じ(例えば、線が同様の幅を有する等)であるセグメントに分けることができる限り、必ずしも同じパターンがその上に印刷されていなくてもよい。加えて、検査されるレチクルおよび画像を取得するために使用されるレチクルは、全く同一のレチクルとすることができる。
次いで、動作104において、3つ以上の画像を互いに位置合わせすることができ、または各画像を、事後OPCのデータベースに対して位置合わせすることができる。例えば、取得した画像は、空間領域または周波数領域の方法によって位置合わせすることができる。位置合わせの調整は、使用される検査システムの特定の幾何学的形状に依存し得る。異なる画像が異なる収集経路を用いて得られる場合、画像の一部調整は、光路の差を補償するように行われ得る。
リソグラフィおよび検査では、様々なパターンを有するレチクルは、多くの方向から入射する電磁(EM)波によって照明される。この入射光は、様々に互いに干渉する異なる電磁場の位相でマスクパターンの様々な点から回折させられる。レチクルの近距離場は、レチクルから数波長の近距離にある電磁場である。
集光光学系は、一般に、画像を形成するために、レチクルから検出器(またはウエハ)の方へ回折が制限された部分の光を向ける。検出器は、マスクの近距離場による干渉の結果である強度を検出するが、位相を検出しない。
遠距離場の強度が検出された信号に得られるが、振幅および位相を含むマスクの近距離場を再現することが望ましい。例示の実施形態では、動作106に示されるように、マスクの近距離場は、そのような取得した較正マスク画像に基づいて再現され、記憶される。複数の画像(または信号)は、一般に、位相成分と振幅成分の両方を含むマスクの近距離場を再現するのに使用される。近距離場のデータは、レチクルから取得される画像に基づいて回帰技法によって決定することができる。例えば、レチクルの選択部分の近距離場は、検出器平面で記録される画像のその取得した光学的な画像または強度から準ニュートン法または共役勾配法を用いて再現する(回帰する)ことができる。加えて、任意の他の適切な回帰方法および/またはアルゴリズムが、1つまたは複数の実際の画像から近距離場のデータを決定するのに使用されてもよい。
特に、その強度の画像からレチクルの近距離場を再現することは、逆問題または回帰問題である。近距離場は、コスト関数(例えば、エネルギーまたはペナルティ関数)を最小にすることによって繰り返し再現することができる。最小化されるペナルティは、取得した画像とマスクの近距離場から計算される検出器での強度の画像の間の自乗差の合計であり得る。言い換えれば、強度の画像は、光学系の特性の様々なセットについて最終的なマスクの近距離場から計算することができ、これらの計算された画像は、マスクの近距離場が見出されるとき、取得した画像に最もぴったり適合する。マスクの近距離場を再現する方法論に関するさらなる詳細については米国特許出願第14/702,336号を参照されたい。
様々な光学的条件下で複数の画像が取得される場合には、再現された近距離場のマスクmは、位相および振幅の情報を運ぶものであり、以下の式によって決定することができる。
Figure 0007116757000001
上記の式において、Iαは、イメージング条件αについて測定された画像であり、
Figure 0007116757000002
は、検査イメージングシステムを記述する1セットの固有ベクトルであり、
Figure 0007116757000003
は、イメージングシステムについての1セットの対応する固有値であり、cαは、0から1の間の非負の重み係数である。上記の式は、例えば、準ニュートンまたは共役勾配などの方法によって繰り返し解くことができる。
照明構成および/または集光構成の様々な適切な組み合わせを利用することができる。一般に、様々なイメージング構成が、マスクの近距離場を計算することができる画像を与えるように選択される。任意の適切なイメージングまたは光学構成が、マスクの近距離場が様々な動作条件の下で同じままであるように選択され得る。例には、コレクションビーム等の異なる部分をぼんやりさせるために、異なる焦点設定、異なる照明方向もしくは照明パターン、照明瞳の全体または様々な照明瞳の部分についての異なる直線偏光、異なるアポダイゼイション設定が含まれる。例えば、照明瞳の異なる四分円は、異なる偏光設定を有することができる。別の例では、イメージング構成は、異なる瞳の形状および/または異なる焦点条件を用いた透過画像などの高解像度の画像を含むことができる(例えば、ArFマスクの場合)。別の実施形態では、異なる瞳の形状および/または異なる焦点条件を用いた3つ以上の反射画像を得ることができる(例えば、EUVマスクの場合)。
レチクルは、比較的低いNA(例えば、0.5未満)を用いてイメージングすることができる。対照的に、「実質的に高解像度の画像」は、一般に、レチクル上にプリントされた特徴が(画像を生成するのに使用されるレチクル検査システムの光学的限界内で)それらがレチクル上に形成されているものとして実質的に現れるレチクルの画像を指す。例えば、レチクルの「実質的に高解像度の画像」は、実質的に高解像度のレチクル検査システム(例えば、0.8よりも大きい開口数(NA))を用いてレチクル平面で物理的なレチクルをイメージングすることによって生成される画像である。対照的に、レチクルの画像を生成するのに使用される「実質的に低いNA」は、0.5未満であるNAであり得る。加えて、レチクルの画像を生成するのに使用される「実質的に低いNA」は、レチクルの画像をウエハ上へ投影するために露光システムによって使用されるレチクル側のNAとほぼ同じとすることができ、それによってレチクル上の特徴をウエハ上へ転写する。したがって、実質的に低いNAの画像(またLNI)では、レチクルの特徴は、実際のレチクルの特徴とはかなり異なる外観を有し得る。例えば、レチクルの特徴は、特徴のLNIにおいて、レチクル上に形成されている実際の特徴よりも丸い角を有するように現れ得る。
異なるイメージング構成を用いた取得は、同時または連続的であってもよい。取得した画像は、場の平面にある必要はない。2つ以上の画像が、瞳平面で取得されてもよい。一例は、場の平面の画像と瞳平面の回折次数の組み合わせが対象の振幅と位相の両方を解くのに利用され得るGerchberg-Saxtonアルゴリズムである。
一実施形態では、マスクの近距離場は、ホプキンス近似によって取得した画像に基づいて決定することができる。別の実施形態では、回帰は薄いマスクの近似(thin-mask approximation)を含まない。例えば、レチクルの近距離場は、垂直に入射する平面波によってレチクルが照明されるときにレチクルの表面近くに存在するように計算される電磁場である。リソグラフィおよび検査では、レチクルは、多くの方向から入射する平面波によって照明される。入射の方向が変わるとき、ホプキンス近似によれば、回折次数の方向は変わるが、それらの振幅および位相はほぼ変わらないままである。本明細書中に記載された実施形態は、ホプキンスの位相近似を使用することができるが、いわゆる薄いマスクまたはキルヒホッフの近似を行わない。
実際のマスクは、マスク書き込みプロセスにより意図した設計パターンから変わり得る。マスクの画像から近距離場のマスクを得ることは、そのような近距離場のマスクが、設計データベースではなく、実際の物理的なマスクから得られることを意味する。すなわち、マスクの近距離場は、設計データベースを用いることなく再現することができる。
近距離場のマスクが再現されると、それは、任意の個数の応用に使用することができる。欠陥検出の場合、ウエハ上のレチクル欠陥の転写性が重要であり、レチクル欠陥の転写性は、レチクル近距離場およびリソグラフィシステムに直接依存する。興味深い留意点として、より高いNAの結果としてのマスクの電磁場のベクトルの干渉は、より高いNAについてより広い範囲の光の入射角および関連した干渉する電場成分により、(低いNAの検査システムよりも)大きくなる。
一実施形態では、レチクル評価は、再現されたマスクの近距離場が、シミュレートされたウエハの製造条件の下でウエハのパターンの欠陥になる可能性があるかを推定することによって行われる。例示の手順では、動作108において、フォトリソグラフィプロセスおよびフォトレジストは、近距離場のマスクに関して最初の1セットのモデルパラメータを用いてモデル化することができる。モデルは、フォトリソグラフィスキャナの影響だけを含むことができ、および/またはモデルは、レジスト、エッチング、CMP、または任意の他のウエハプロセスの影響も含むことができる。プロセスをシミュレートするツールの一例は、カリフォルニア州ミルピタス(Milpitas)のKLA-Tencor Corpから入手可能なProlithである。
モデルのための入力およびそのモデルパラメータは、1セットのプロセス条件を含む。すなわち、このモデルは、再構築された近距離場のマスクに関する様々なセットのプロセス条件をシミュレートするように構成されている。それぞれの1セットのプロセス条件は、一般に、マスクからウエハパターンを形成するためのウエハプロセスを特徴付けるか、または一部を特徴付ける1セットのウエハ製造のプロセスパラメータに対応する。例えば、焦点および露光の特定の設定をモデルに入力することができる。様々なセットのプロセス条件を有するそのようなモデルの使用は、異なる処理条件下で再構築された近距離場のマスクによって形成された1セットのシミュレートされたウエハまたはレジストパターンの画像になり得るとともに、これらのシミュレートされたウエハ画像は、本明細書中にさらに説明されるように欠陥検出に使用することができる。
較正レチクルも、較正ウエハを製造するのに使用され、動作116において、そこから実際の画像が得られる。一例では、実際の画像は、測長(CD:critical dimension)走査型電子顕微鏡(SEM)を用いて取得される。他のイメージングツールが利用されてもよいが、高分解能のツールが好ましい。
概して、較正ウエハは、任意の個数の構造を含み、この構造は、幅広く変わり得る。この構造は、典型的には周期的である格子の形態であり得る。各格子は、例えば、ライン空間の格子として一方向(XまたはY)に周期的とすることができ、または各格子は、例えば、グリッド空間の格子として二方向(XおよびY)に周期的であり得る。グリッド空間の格子の例は、Y方向にラインのアレイを含みことができ、各ラインは、X方向に区分されている。別のグリッド空間の例は、ドット構造のアレイである。すなわち、各構造は、ライン空間の格子、グリッド空間の格子、チェッカ盤のパターン構造等の形態をとることができる。構造の設計特徴には、それぞれ、ライン幅(特定の高さでの幅)、ライン空間の幅、ライン長さ、形状、側壁角度、高さ、ピッチ、格子の向き、上部プロファイル(上部丸みまたはTトッピングの程度)、下部プロファイル(フッティング(footing))等が含まれ得る。較正ウエハは、これらの特徴の特性の様々な組み合わせを有する構造を含むことができる。恐らく理解されるように、異なる構造の特性(異なる幅、間隔、形状、ピッチ等など)は、合焦に異なる応答を示し、したがって好ましくは、較正マスクは、異なる特性を有する異なる構造を含む。
代替実施形態では、較正ウエハは、異なる処理条件を受けた異なる測定箇所を有する「実験計画(DOE:Design of Experiments)」ウエハの形態をとることができる。より一般的な実施形態では、プロセスパラメータの変動は、(DOEウエハと呼ばれる)半導体ウエハの表面上であるパターンに組織化される。このようにして、測定箇所は、様々な関連したプロセスパラメータ値を有するウエハ表面上の様々な位置に対応する。一例では、DOEパターンは、焦点/露光のマトリックス(FEM: Focus/Exposure Matrix)のパターンである。典型的には、FEMパターンを示すDOEウエハは、グリッドパターンの測定箇所を含む。1つのグリッド方向(例えば、x方向)において、露光量は、焦点の深さが一定に保持される間に変化させられる。直交したグリッド方向(例えば、y方向)において、焦点の深さは、露光量が一定に保持される間に変化させられる。このようにして、FEMウエハから収集された測定データは、焦点および照射量のプロセスパラメータの知られている変動に関連したデータを含む。
FEM測定箇所は、一般に、焦点露光のマトリックスウエハ(focus exposure matrix wafer)全体にわたって設けられている。実際には、一般に、場ごとに1つまたは複数の測定箇所が存在し得る。各場は、焦点と露光の様々な組み合わせを用いて形成することができる(または焦点もしくは露光だけであってもよい)。例えば、第1の場は、第1の組み合わせを用いて発生させることができ、第2の場は、第1の組み合わせとは異なる第2の組み合わせを用いて発生させることができる。複数の組み合わせは、変化する焦点と変化する露光、変化する焦点と一定の露光、一定の焦点と変化する露光、などを用いて発生させることができる。
測定箇所の個数も異なってもよい。場あたりの箇所の個数は、一般に、製品ウエハ上のリアルエステート(real estate)がとても価値があるので、製品ウエハ上ではより少ない。また、生産時の時間的制約により、FEMウエハ上よりも製品ウエハ上でより少ない測定が行われる。一実施形態では、場ごとに単一の箇所が測定される。別の実施形態では、場ごとに複数の箇所が測定される。
大部分のFEMの場合には、測定箇所の構造は、異なる処理パラメータを用いて同様に設計されたパターンから形成される。しかしながら、異なる焦点露光のマトリックスは、異なる構造を有し得ることに留意されたい。例えば、第1のマトリックスは、第1の格子タイプを用いて実行することができ、第2のマトリックスは、第1の格子タイプとは異なる第2の格子タイプを用いて実行することができる。
一般に、任意の1セットのプロセスパラメータ、構造パラメータ、または両方の知られている変動に関連した光信号データが考えられる。形態にかかわらず、較正ウエハの構造は、様々な異なるウエハの層にプリントされ得る。詳細には、プリントされた構造は、概して、標準的なリソグラフィプロセスを用いて(例えば、レチクルを通じてフォトレジストがコーティングされたシリコンウエハ上へ回路イメージを投影して)フォトレジストの層にプリントされる。ウエハは、テストプロセスにおけるそのステップで製品ウエハに典型的に存在する材料に対応する材料の層を有する較正ウエハであり得る。プリントされた構造は、下に横たわる層内の他の構造の上にプリントすることができる。較正ウエハは、ワーキングデバイス(working device)をもたらす潜在性を有する製品ウエハであり得る。較正ウエハは、モデルを較正するためだけに使用される単純なウエハであり得る。較正ウエハは、OPC設計モデルを較正するのに使用される同じウエハであり得る。2つ以上の較正ウエハが、リソグラフィモデルを較正するのに使用されてもよい。複数の較正ウエハを用いるとき、同じ較正レチクルが使用されても、異なる較正レチクルが使用されてもよい。異なる較正レチクルは、より幅広い範囲の画像データを生成するように異なる寸法を有するパターンを有することができる。
較正の構造を形成するのに使用されるプロセスパラメータは、一般に、所望の仕様内に較正の構造の特性を保つように設定されている。較正の構造は、較正手順の一部として較正ウエハ上にプリントすることができ、または較正の構造は、生産中に製品ウエハ上にプリントすることができる。生産中、典型的には、較正の構造は、製品ウエハに配設されたデバイスエリア同士(例えば、ICを画定するダイ同士)間にラインを刻み付ける際にプリントされる。測定箇所は、デバイス構造のまわりに配設された専用の較正の構造であり得、または測定箇所は、デバイス構造(例えば、周期的な部分)の一部であり得る。恐らく理解されるように、デバイス構造の一部を使用することは、より困難であり得るが、それはデバイス構造の一部であるので、それはより正確となる傾向となる。別の実施形態では、較正の構造は、較正ウエハ全体にわたってプリントすることができる。
図1に戻ると、動作110において、対応するモデル化された画像および較正の画像が比較され得る。次いで、モデルパラメータが動作112において調整されるべきか決定することができる。モデルパラメータが調整されるべき場合、動作114において、モデルパラメータが調整され、手順100によって、調整されたパラメータを用いてリソグラフィプロセス(およびレジスト)をモデル化するために動作108を繰り返す。モデルパラメータは、モデルと較正の画像の間の差が予め定められた閾値未満でもある最小値に到達するまで調整することができる。最小化される量は、取得した較正の画像とシミュレートされた画像の間の自乗差の合計とすることができる。このプロセス100の出力は、リソグラフィ/レジストのモデルおよびその最終的なモデルパラメータである。この1セットのモデルパラメータは、マスクの近距離場を用いることの本質によって、マスクのプロセスモデリングおよびマスクの3Dトポグラフィモデルリングに関連した技術的ハードルを克服する。
モデル使用の実施形態:
特定のプロセスのための最終的な較正済みのリソグラフィ/レジストのモデルが得られた後、そのようなモデルは、そのようなマスクを用いてウエハを製造する前に(例えば、現像後またはエッチング後に)マスクから正確なウエハ平面のレジスト画像を生成するのに使用することができる。これらのレジスト画像は、高い忠実度でおよび異なる焦点および露光の設定によって任意の検査パターンについてウエハ画像を人が査定することを可能にする。この評価プロセスはウエハ製造前に行うことができるので、評価および欠陥検出のサイクルはかなり短縮することができる。
図2Aおよび図2Bは、本発明の一実施形態によるレチクル評価プロセス200を表す流れ図を示す。一般に、マスクの近距離場は、そのような特定のレチクルから取得された画像に基づいて、動作102、104、および106において、特定のレチクルについて得られる。これらの動作は、図1の同じ参照される動作と同様である。マスクの近距離場が得られた後、動作208において、リソグラフィプロセス(およびレジスト)は、計算された近距離のマスク場に関連した最終的なモデルパラメータを用いてモデル化することができる。例えば、較正ウエハを用いて較正されたモデルは、マスクの近距離場を用いてウエハ画像をモデル化するために使用される。
図2Bを参照すると、次いで、動作222において、レチクルが不安定なウエハパターンまたは欠陥ウエハパターンになる可能性があるか決定され得る。一実施形態では、モデルは、様々なプロセス条件下でレチクルの設計安定性を査定するために、焦点および照射量などの複数の異なるプロセス条件を用いてマスクの近距離場に単に適用することができる。図3Aは、本発明の一応用例によるレチクルパターンの安定性を決定するためのプロセス300を示す流れ図である。動作302において、最初、モデルによって生成される各テスト画像は、異なる1セットのプロセス条件下でシミュレートされた参照画像であって、1つまたは複数のウエハパターンの差を得るように同じウエハエリアに対応するその対応する参照画像と位置合わせすることができる。
動作304において、位置合わせされた画像の各ペアは、1つまたは複数のウエハパターンの差を得るために、互いに比較することができる。次いで、動作306において、閾値は、各ウエハパターンの差に関連付けることができる。閾値は、レチクルの様々なエリアに割り当てることができ、それによってウエハパターンに対応する。閾値は、全て同じであってもよく、あるいは構造タイプ、割り当てられたMEEF(または以下にさらに説明されるようなマスクエラー増大因子(Mask Error Enhancement Factor))レベル、またはホットスポットの特定等などの様々な要因に基づいて異なってもよい。例えば、異なる構造タイプは、異なる閾値を与えることができる。適宜、最初の1セットのホットスポットは、参照マスクパターンとテストマスクパターンの両方で特定され得る。例えば、設計者は、ホットスポットの座標のリストを用意することができる。例えば、ホットスポットとして定められたエリアは、1つの検出閾値を割り当てることができ、一方、非ホットスポットのエリアは、(欠陥検出のために)より高い閾値を割り当てることができる。この差別化は、検査リソースを最適化するために使用することができる。
集積回路(IC)の密度および複雑度は、増加し続けているので、フォトリソグラフィのマスクパターンの検査は、ますますより困難になっている。ICの全ての新しい世代は、現在リソグラフィシステムの光学的限界に到達し超えるより密度が高くより複雑なパターンを有する。これらの光学的限界を克服するために、光近接効果補正(OPC)などの様々な解像度を向上する技法(RET:Resolution Enhancement Technique)が、導入されている。例えば、OPCは、結果として得られるパターンが元の所望のパターンに対応するようにフォトマスクパターンを改善することによって、いくらかの回折限界を克服するのを助ける。そのような改善は、メインのIC特徴、すなわち転写性のある特徴のサイズおよびエッジの乱れを含むことができる。他の改善は、パターンの角へのセリフの付加を伴い、および/または近くでサブレゾリューションアシストフィーチャ(SRAF:sub-resolution assist feature)を与えるものであり、これらは、プリントされた特徴になることが予期されず、したがってプリント可能でない特徴と呼ばれる。これらのプリント可能でない特徴は、さもなければプリントプロセス中に生じてしまうパターン乱れをキャンセルすることが期待される。しかしながら、OPCは、マスクパターンを一層より複雑にさせ、通常、結果として得られるウエハ画像を非常に類似しないものにする。さらに、OPCの欠陥は、多くの場合、転写性のある欠陥に変化することはない。フォトマスクパターンの複雑度の増加、および全てのパターンの要素がプリントされるパターンに直接影響を及ぼすことが予期されるとは限らないことは、有意義なパターン欠陥についてのフォトマスクの検査の作業をよりずっと難しいものにさせる。半導体産業が一層より小さい特徴へ移るときに、最先端の製造業者は、逆リソグラフィ技術(ILT:inverse lithography technology)などのさらに新型のOPCの使用を始めており、これによって、マスク上のパターンが非常に複雑になっている。したがって、ウエハを物理的に作製する前に、マスク書き込みの忠実度、およびそのウエハのプリント品質を知ることが非常に望ましい。
欠陥の重要性の基準の1つは、そのMEEFすなわちマスクエラー増大因子である。この因子は、マスク平面内の欠陥のサイズを、それがプリントされた画像上で有する衝撃の大きさに関連付ける。高いMEEFの欠陥は、プリントされたパターンに大きな影響を与え、低いMEEFの欠陥は、プリントされたパターンにあまり影響を与えない、または少しも影響を与えない。パターンの密集した細かいラインの部分における小型のメインパターンの特徴は、高いMEEFを有する欠陥の一例であり、小さいマスク平面のサイジングエラーは、プリントされたパターンの完全な崩壊を引き起こし得る。隔離された小さいピンホールは、低いMEEFを有する欠陥の一例であり、欠陥自体があまりに小さくてプリントできず、そのエッジのプリントされ方に影響を及ぼさないように最も近いメインパターンのエッジから十分に距離がある。これらの例が示すように、欠陥のMEEFは、欠陥タイプおよび欠陥が位置するパターンの状況のいく分複雑な関数である。
よりかなり大きいウエハ欠陥を引き起こすより高いMEEFのマスク欠陥に加えて、ある設計パターン、および対応するマスクパターンは、プロセスの変化に対して他の設計およびマスクパターンよりも頑健であり得る。製造プロセスが最適なプロセス条件から漂い始めるときに、ある種のマスクパターンは、よりかなり大きいウエハパターンの乱れおよび欠陥になり得る。
図2Bに戻ると、次いで、動作224において、設計に欠陥があるかを決定することができる。一実施形態では、特定の範囲のプロセス条件(またはプロセスウィンドウ)の下で設計パターンが容認できないウエハパターンの変化になるのか決定される。プロセスの変わりやすさによりかなり大きな差が存在するか決定される。異なったように処理されたウエハパターンの間の差が対応する閾値よりも大きい場合、そのようなウエハパターンは、欠陥とみなされ得る。設計に欠陥があると決定される場合、動作232において、設計が改善され得る。
設計に欠陥があるとみなされない場合、動作226において、ホットスポットを監視できるかを決定することができる。ホットスポットが監視されるべき場合、例えば、以下にさらに説明されるように、動作234において、ホットスポットは、ウエハプロセス中に監視することができる。例えば、ホットスポットのパターンは、ウエハの製造中に監視することができ、それによってプロセスが仕様から外れ、対応するウエハパターンに、容認できない値に変化する重要なパラメータを有するようにさせたかが判断される。一実施は、対応するホットスポットのレチクルおよび/またはウエハパターンの検査のために比較的高いMEEFのレベルを設定することを含み得る。条件が公称のプロセス条件からさらに離れるのにつれ、CDまたはEPEはより大きくなる可能性があり、ウエハ製造プロセスの完全性を危うくさせ得る。
ホットスポットのパターンは、そのような変化が元の意図された設計(例えば、事前OPCのデータ)とどのように比較するのかにかかわらず、テストマスクパターンが予め定められた量だけ変化するときに単に特定することができる。言い換えれば、異なるプロセス条件下での物理的なマスクパターンのかなり大きな変化は、意図された設計パターンに関する問題を示し得る。対応するモデル化された画像部分間の差は、設計されたパターンおよび製造されたマスクへのプロセス条件の影響の差を表す。特定の設計パターンに関連した差は、一般に、「設計のホットスポット」、または単に「ホットスポット」と呼ばれ、恐らくやはり、製造されたマスクに関して試験された特定のプロセス条件に関しての設計の弱点を表す。異なるプロセス条件についてモデル化された画像間で見つかり得る差の種類の例は、CD(critical dimension)またはEPE(edge placement error)である。
別の実施形態では、モデルが事後OPCの設計データベースに適用される場合、結果として得られるウエハパターンは、設計者によってウエハ上にプリントされることが意図されるパターンに対応し得る。適宜、モデルを事後OPCのデータベースに適用することから得られる結果を使用することができ、モデル化された画像は、ホットスポットの検出を改善する。例えば、事後OPCのデータベースのモデルは、設計の影響だけを考慮に入れ、したがって設計へのウエハプロセスの影響と製造されたマスクへのウエハプロセスの影響を分離するために使用することができる。マスクの近距離場からのモデル化されたパターンは、対応する事後OPCのパターンからのモデル化されたウエハ画像と比較することができる。例えば、様々なプロセスの変化についての1セットのモデル化されたウエハパターンが、同じプロセスの変化についての対応するモデル化された事後OPCのウエハパターンに適合するとき、プロセスの変化によるウエハパターン(またはレジストパターン)の変化は、マスクパターン中の欠陥からではなく設計パターンから生じることが決定され得、これは、再設計または監視され得る。しかしながら、事後OPCのデータベースからのプロセスの変動によるウエハ上の変化が、再現されたマスク(またはマスクの近距離場)からの同じプロセスの変動によるウエハ上の変化とは異なる場合、これらのホットスポットは、実際のマスクからのホットスポットから生じるとみなされ、これは、修理または監視することができる。
動作228において、レチクルが修理されるべきかを決定することもできる。予想されるウエハパターンの変動は、リソグラフィプロセス中に使用されることが予期されるプロセスウィンドウについての仕様外であることが決定され得る。いくつかの場合では、動作236において、レチクルは、修理される欠陥を含み得る。次いで、レチクルが評価され得る。さもなければ、レチクルは、修理可能でない場合、動作230において廃棄され得る。次いで、新しいレチクルが製造および評価され得る。
図3Bは、本発明の代替実施形態による欠陥検査手順350を示す流れ図である。最初、レチクルは、欠陥がないか検査される。動作352において、各テストレチクル画像を、その対応する参照画像と位置合わせすることができる。一実施形態では、ダイツーダイ(die-to-die)またはセルツーセル(cell-to-cell)の位置合わせが達成され得る。別の実施形態では、レチクル画像は、対応する事後OPCの設計の描画と位置合わせされる。例えば、事後OPCの設計は、レチクルの製造プロセスおよびそのような設計のイメージングをシミュレートするように処理される。例えば、角は、丸められる。
動作354において、位置合わせされたテスト画像および参照画像の各対は、レチクルの欠陥の位置を突き止めるために関連した閾値に基づいて比較される。さらに上述されるように、任意の適切なメカニズムを使用して閾値を特定のレチクルエリアに関連付けることができる。
次いで、動作356において、レチクルの欠陥ごとに、対応するシミュレートされたウエハの欠陥のエリアは、その対応する参照事前OPCのエリアと比較することができる。すなわち、シミュレートされたウエハパターンは、レチクルの欠陥が意図された設計から変わるウエハの欠陥になるか決定するために査定される。事前OPCの設計パターンは、そのような設計パターンをイメージングするための検査ツールの動作をモデル化するようにさらに処理することもできる。
図2Bに戻ると、次いで、動作224において、レチクルの設計に欠陥があるかを決定することができる。例えば、レチクルの欠陥についてのシミュレートされたウエハパターンとその対応する事前OPCのパターンの間の何らかの差が予め定められた閾値を上回るかを決定することができる。手順200は、上述したようにウエハのホットスポットを監視するか、レチクルを修理するか、またはレチクルを再設計するか決定するように続けることができる。
本発明のいくつかの技法は、ウエハの製造前に、マスクパターン評価、および物理的なマスク上の弱いパターンまたはホットスポットの早期検出を行う。本発明のいくつかの実施形態は、マスクの近距離場の再現を上回るものをもたらす。レチクル画像に基づくウエハパターンの再現の実現に加えて、焦点および露光の多くの設定を含む全範囲のウエハプロセスの影響と、ウエハのレジスト、エッチング、CMP、および任意の他のウエハプロセスの影響とが検討され得る。マスクの近距離場がレチクルの設計データを用いることなくレチクル画像だけを用いて再現されるので、マスクの従前の知識は必要とされない。マスクパターンは、概して、ウエハパターンよりも4倍大きいので、設計データベースに対するパターンのより正確な位置が決定され得る。上記の技法は、EUVマスクに対するパターン評価のように、任意の適切なタイプのマスクに拡張することもできる。
本発明の技法は、ハードウェアおよび/またはソフトウェアの任意の適切な組み合わせで実現することができる。図4は、本発明の技法を実施することができる検査システム400の一例の概略図である。検査システム400は、高いNAの検査ツールまたはスキャナを模した低いNAのインスペクタ(図示せず)から入力402を受信することができる。検査システムは、受信した入力402を配信するデータ配信システム(例えば、404aおよび404b)と、マスクの近距離場およびウエハ再現、プロセスモデリングなどのための強度信号(またはパッチ)処理システム(例えば、パッチプロセッサ)およびレチクル評価システム(例えば、412)と、検査システムコンポーネント間の通信を可能にするためのネットワーク(例えば交換ネットワーク408)と、任意的な大容量記憶装置416と、特定されたホットスポット、検査結果等をレビューするための1つまたは複数の検査制御および/またはレビューステーション(例えば、410)を含むこともできる。検査システム400の各プロセッサは、典型的には、1つまたは複数のマイクロプロセッサの集積回路を備えることができ、インタフェースおよび/またはメモリの集積回路を含むこともでき、1つまたは複数の共有および/またはグローバルメモリデバイスにさらに結合することができる。
入力データ402を生成するインスペクタまたはデータ取得システム(図示せず)は、レチクルの強度信号または画像を得るための(例えば本明細書中にさらに説明されるような)任意の適切な機器の形態をとることができる。例えば、低いNAのインスペクタは、反射し、透過し、または他の方法で1つまたは複数の光センサに向けられた検出された光の一部分に基づいて、光学的な画像を構成し、またはレチクルの一部分の強度値を生成することができる。次いで、低いNAのインスペクタは、強度値または画像を出力することができる。
低いNAの検査ツールは、レチクルの各パッチにわたっての入射光学ビームがスキャンするとき、反射光および/または透過光を検出し集光するよう動作可能であり得る。上述したように、入射光学ビームは、各々が複数のパッチで構成されているレチクルの帯にわたってスキャンすることができる。光は、各パッチの複数の点またはサブエリアからこの入射ビームに応じて集光される。
低いNAの検査ツールは、概して、そのような検出された光を強度値に対応する検出された信号に変換するように動作可能であり得る。検出された信号は、レチクルの異なる位置で異なる強度値に対応する振幅値を有する電磁波形の形態をとることができる。検出された信号は、強度値および関連するレチクル点の座標の簡単なリストの形態をとることもできる。検出された信号は、レチクル上の異なる位置または走査点に対応する異なる強度値を有する画像の形をとることもできる。レチクルの2つ以上の画像は、レチクルの全ての位置がスキャンされ検出された信号に変換された後で生成することがき、あるいは2つ以上の画像の部分は、各レチクルの部分がスキャンされ、レチクル全体のスキャンの後で、レチクルについての最終的な2つ以上の画像が完成されるときに、生成することができる。
検出された信号は、空間像の形態をとることもできる。すなわち、空間イメージング技術を使用して、ウエハ上で露光されるフォトレジストパターンの空間像を生成するように、フォトリソグラフィシステムの光学的効果をシミュレートすることができる。一般に、フォトリソグラフィツールの光学系は、レチクルからの検出された信号に基づいて空間像を生成するようにエミュレートされる。空間像は、ウエハのフォトレジスト層の上へのフォトリソグラフィ光学系およびレチクルを通過した光から生成されるパターンに対応する。さらに、特定のタイプのフォトレジスト材料についてのフォトレジスト露光プロセスもエミュレートすることができる。
入射光または検出された光は、任意の適切な入射角で任意の入射光または検出された光のプロファイルを生成するように、任意の適切な空間的開口を通過することができる。一例として、プログラマブル照明または検出開口は、ダイポール、四重極、クエーサー、アニュラス等の特定のビームプロファイルを生成するために利用することができる。特定の例では、ソースマスク最適化(SMO:Source Mask Optimization)または任意の画素化照明技術が実装されてもよい。入射光は、1つまたは複数の偏光における照明瞳の全部または一部を直線偏光するための直線偏光子を通過することもできる。検出された光は、コレクションビームの特定の領域を阻止するためにアポダイゼイション構成要素を通過させてもよい。
強度データまたは画像データ402は、ネットワーク408を介してデータ配信システムによって受信することができる。データ配信システムは、受信されたデータ402の少なくとも一部を保持するために、RAMバッファなどの1つまたは複数のメモリデバイスと関連付けされてもよい。好ましくは、メモリの合計は、データの見本全体を保持するのに十分大きい。例えば、1ギガバイトのメモリは、100万×1000画素または点である見本に対して十分に働く。
データ配信システム(例えば、404aおよび404b)は、受信した入力データ402の一部をプロセッサ(例えば、406aおよび406b)へ配信する制御を行うこともできる。例えば、データ配信システムは、第1のパッチのためのデータを第1のパッチプロセッサ406aへルーティングしてもよく、第2のパッチのためのデータをパッチプロセッサ406bへルーティングしてもよい。また、複数のパッチについての複数のデータセットは、各パッチプロセッサへルーティングすることもできる。
パッチプロセッサは、レチクルの少なくとも一部またはパッチに対応する強度値または画像を受信することができる。パッチプロセッサは、それぞれ、受信されたデータ部分を保持するなどのローカルメモリ機能を与えるDRAMデバイスなどの1つまたは複数のメモリデバイス(図示せず)に結合するか、またはこれと一体化することもできる。好ましくは、メモリは、レチクルのパッチに対応するデータを保持するのに十分大きい。例えば、8メガバイトのメモリは、512×1024画素であるパッチに対応する強度値または画像についてよく働く。代替的に、パッチプロセッサは、メモリを共有してもよい。
入力データ402の各セットは、レチクルの帯に対応することができる。1つまたは複数のデータセットは、データ配信システムのメモリに記憶することができる。このメモリは、データ配信システム内の1つまたは複数のプロセッサによって制御することができ、メモリは、複数のパーティションに分割されてもよい。例えば、データ配信システムは、帯の一部に対応するデータを第1のメモリパーティション(図示せず)内に受け入れることができ、データ配信システムは、別の帯に対応する別のデータを第2のメモリパーティション(図示せず)内に受け入れることができる。好ましくは、データ配信システムの各メモリパーティションは、そのようなメモリパーティションと関連付けされたプロセッサへルーティングされるデータの一部を単に保持する。例えば、データ配信システムの第1メモリパーティションは、第1のデータを保持してパッチプロセッサ406aへルーティングすることができ、第2のメモリパーティションは、第2のデータを保持してパッチプロセッサ406bへルーティングすることができる。
データ配信システムは、データの任意の適切なパラメータに基づいてデータの各データセットを定義および配信することができる。例えば、データは、レチクル上のパッチの対応する位置に基づいて定義および配信することができる。一実施形態においては、各帯は、帯内の画素の水平位置に対応する列位置の範囲と関連付けされている。例えば、帯の列0~列256は、第1のパッチに対応することができ、これらの列内の画素は、1つまたは複数のパッチプロセッサへルーティングされる第1の画像または第1のセットの強度値を含む。同様に、見本の列257~列512は、第2のパッチに対応することができ、これらの列における画素は、異なるパッチプロセッサへルーティングされる第2の画像または第2のセットの強度値を含む。
図5Aは、いくつかの実施形態によるマスクパターンをフォトマスクMからウエハWの上へ転写するのに使用することができる典型的なリソグラフィシステム500の簡略化した概略図である。そのようなシステムの例には、スキャナおよびステッパが含まれ、より具体的には、オランダ国フェルトホーフェンのASML社から入手可能なTWINSCAN NXT:1970Ci Step-and-Scanシステムが含まれる。一般に、照明源503は、光ビームを、照明光学系507(例えばレンズ505)を通じて、マスク平面502に位置するフォトマスクMの上へ向ける。照明レンズ505は、平面502において開口数501を有する。開口数501の値は、フォトマスク上のどの欠陥がリソグラフィ的にかなり大きい欠陥であり、どの欠陥がそうではないかに影響を与える。フォトマスクMを通過するビームの一部は、パターン転写を開始するために結像光学系513を通じてウエハW上へ向けられるパターン化された光学信号を形成する。
図5Bは、いくつかの実施形態による、照明光学系551aを有するとともにレチクル平面552で比較的大きい開口数551bを有する結像レンズ系を備える検査システム550の一例の概略図を示す。示された検査システム550は、検出光学系553aおよび553bを備え、強化された検査を行うために、例えば60~200倍以上の倍率を与えるように設計された顕微鏡の拡大光学系を含む。例えば、検査システムのレチクル平面552における開口数551bは、リソグラフィシステム500のレチクル平面502における開口数501よりもかなり大きくすることができ、これにより、試験検査画像と実際の印刷画像との間の差になる。
本明細書中に記載された検査技術は、図5Bに概略的に示されたシステムなどの様々な特別に構成された検査システムに実装することができる。例示されたシステム550は、照明光学系551aを通じてレチクル平面552内のフォトマスクM上へ向けられる光ビームを生成する照明源560を備える。光源の例には、コヒーレントレーザ光源(例えば遠紫外線またはガスレーザ発生装置)、フィルタ付きランプ、LED光源等が含まれる。一例として、光源は193nmレーザである。上述した通り、検査システム550は、対応するリソグラフィシステムのレチクル平面の開口数(例えば、図5Aおける要素501)に等しいまたはそれよりも大きいものとすることができる開口数551bをレチクル平面552に有することもできる。検査されるフォトマスクMは、レチクル平面552におけるマスクステージの上に配置され、光源に対して露光される。
マスクMからのパターン化された画像は、光学的要素553aの集光部を通じて向けられ、この光学的要素553aはパターン化された画像をセンサ554a上へ投影する。反射システムにおいて、光学的要素(例えばビームスプリッタ576および検出レンズ578)は、マスクMからの反射光をセンサ554b上へ向け、取り込む。2つのセンサが図示されているが、同一のレチクルエリアの異なるスキャン中に単一のセンサを用いて、反射光および透過光を検出することができる。適切なセンサには、電荷結合素子(CCD)、CCDアレイ、時間遅延積分(TDI)センサ、TDIセンサアレイ、光電子増倍管(PMT)、および他のセンサが含まれる。
照明光学系の列は、レチクルのパッチをスキャンするように任意の適切な機構により、マスクステージに対して移動することができ、および/またはステージを検出器またはカメラに対して移動することができる。例えば、ステージを移動させるために、モータ機構を利用することができる。モータ機構は、例として、ねじ駆動装置およびステッピングモータ、フィードバックポジション付きリニアドライブ、またはバンドアクチュエータおよびステッピングモータによって構成することができる。
各センサ(例えば554aおよび/または554b)によって取り込まれる信号は、コンピュータシステム573によって処理されることができ、またはより一般的には、1つまたは複数の信号処理装置によって処理されることができ、これらはそれぞれ、各センサからのアナログ信号を処理のためにデジタル信号に変換するように構成されたアナログ/デジタルコンバータを備える。典型的には、コンピュータシステム573は、入出力ポートに結合された1つまたは複数のプロセッサを有するとともに、適切なバスまたは他の通信機構を介して1つまたは複数のメモリを有する。
また、コンピュータシステム573は、焦点および他の検査法のパラメータを変更するなどのユーザ入力を行うための1つまたは複数の入力装置(例えばキーボード、マウス、ジョイスティック)を備えることもできる。さらに、コンピュータシステム573は、例えば、試料位置(例えばピント合わせおよびスキャン)を制御するためのステージに接続することもできるとともに、他の検査パラメータ、およびそのような他の検査システムの構成要素の構成を制御するために他の検査システムの構成要素に接続することもできる。
コンピュータシステム573は、結果として得られた強度値、画像および他の検査結果を表示するためにユーザインタフェース(例えば、コンピュータ画面)を設けるように(例えば、プログラミング命令により)構成することができる。コンピュータシステム573は、反射および/または透過した検知された光ビームの強度、位相、および/または他の特性を解析するように構成することができる。コンピュータシステム573は、結果として得られた強度値、画像、および他の検査特性を表示するためのユーザインタフェースを(例えばコンピュータ画面上に)設けるように(例えば、プログラミング命令により)構成することができる。いくつかの実施形態では、コンピュータシステム573は、上記詳述した検査技術を実行するように構成されている。
そのような情報およびプログラム命令は、特別に構成されたコンピュータシステム上で実施され得るので、そのようなシステムは、コンピュータ読み取り可能媒体に格納することができる本明細書に記載された様々な処理を実行するためのプログラム命令/コンピュータコードを備える。機械可読媒体の例には、これらに限定されるものではないが、ハードディスク、フロッピー(登録商標)ディスク、磁気テープのような磁気媒体、CD-ROMディスクなどの光学的媒体、光学ディスクなどの光磁気媒体、および読出し専用メモリデバイス(ROM)およびランダムアクセスメモリ(RAM)などのプログラム命令を格納および実行するように特別に構成されたハードウェアデバイスが含まれる。プログラム命令の例には、コンパイラにより生成されるような機械コードと、インタープリタを用いてコンピュータによって実行することができるより高いレベルのコードを含むファイルとの双方が含まれる。
いくつかの実施形態では、フォトマスクを検査するシステムは、本明細書に記載された技術を実行するように構成された少なくとも1つのメモリと少なくとも1つのプロセッサとを備える。検査システムの一例には、特別に構成された、カリフォルニア州ミルピタスのKLA-Tencor社から入手可能なTeraScan(商標)DUV検査システムが含まれる。
前述の発明は、理解しやすいようにいくらか詳細に説明されたが、添付の特許請求の範囲内で変更および修正を行うことができることは明らかであろう。本発明のプロセス、システム、および装置を実施する多くの代替的なやり方が存在することを理解されたい。したがって、本実施形態は、例示とみなされるべきであって、限定ではなく、本発明は本明細書に記載した詳細事に限定されるものでもない。

Claims (14)

  1. フォトリソグラフィのレチクルを評価する方法であって、
    ストレチクルの複数のパターンエリアの各々から、レチクルの画像をウエハ上へ投影する際に使用される開口数と同じ開口数であって、0.5未満の開口数を有するレンズを用いて複数の画像を取得するステップと、
    リソグラフィモデルを前記複数の画像に基づいて再現されたレチクル近接場に適用して複数のテストウエハ画像をシミュレートし互いに異なるプロセス条件下でシミュレートされた複数のテストウエハ画像を互いに比較して、ウエハパターンの差が閾値よりも大きい場合、当該ウエハパターンを欠陥とみなすステップと、
    を含むことを特徴とする方法。
  2. 請求項1に記載の方法であって、取得した前記画像は、異なるイメージング条件で取得される少なくとも3つの反射画像を含み、前記異なるイメージング条件が、異なる焦点設定、異なる結像系の瞳形状、または異なる照明構成を含み、前記異なる照明構成が、異なるソース強度分布と偏光設定の少なくともいずれかを含むことを特徴とする方法。
  3. 請求項1に記載の方法であって、取得した前記画像は、異なるイメージング条件で取得される少なくとも3つの透過画像を含み、前記異なるイメージング条件が、異なる焦点設定、異なる結像系の瞳形状、または異なる照明構成を含み、前記異なる照明構成が、異なるソース強度分布と偏光設定の少なくともいずれかを含むことを特徴とする方法。
  4. 請求項1に記載の方法であって、さらに、
    リソグラフィモデルを前記テストレチクルについての前記レチクル近接場に適用して、複数のテストウエハ画像をシミュレートするステップと、
    シミュレートされた前記テストウエハ画像を解析して、前記テストレチクルが不安定なウエハまたは欠陥ウエハになる可能性があるかを決定するステップと、
    を含み、
    前記リソグラフィモデルが、フォトリソグラフィプロセスをシミュレートするように構成されていることを特徴とする方法。
  5. 請求項に記載の方法であって、前記リソグラフィモデルが較正レチクルのためのデザインデータベースから描画された画像で較正されることを特徴とする方法。
  6. 請求項に記載の方法であって、前記リソグラフィモデルが較正レチクルから取得された画像で較正されることを特徴とする方法。
  7. 請求項に記載の方法であって、前記リソグラフィモデルが、複数の異なるリソグラフィプロセス条件下で、前記テストレチクルに対して再現された前記レチクル近接場に適用されることと、シミュレートされた前記テストウエハ画像の解析が、異なるプロセス条件と同じレチクルエリアとに関連しているシミュレートされた前記テストウエハ画像の部分同士を比較することによって、前記テストレチクルが前記異なるリソグラフィプロセス条件下で不安定なウエハになる可能性があるかを決定することを含むこと、を特徴とする方法。
  8. フォトリソグラフィのレチクルを評価するイメージングシステムであって、
    入射ビームを生成する光源と、
    前記入射ビームをレチクル上へ向ける照明光学系モジュールと、
    前記レチクルの各パターンエリアからの出力ビームを少なくとも1つのセンサへ向ける集光光学モジュールと、
    前記出力ビームを検出し、前記出力ビームに基づいて画像または信号を生成する少なくとも1つのセンサと、
    コントローラであって、以下の動作、すなわち、
    テストレチクルの複数のパターンエリアの各々から、レチクルの画像をウエハ上へ投影する際に使用される開口数と同じ開口数であって、0.5未満の開口数を有するレンズを用いて複数の画像を取得させ、
    リソグラフィモデルを前記複数の画像に基づいて再現されたレチクル近接場に適用して複数のテストウエハ画像をシミュレートし互いに異なるプロセス条件下でシミュレートされた複数のテストウエハ画像を互いに比較して、ウエハパターンの差が閾値よりも大きい場合、当該ウエハパターンを欠陥とみなす、ことを実行するように構成されているコントローラと
    を備えることを特徴とするシステム。
  9. 請求項に記載のシステムであって、取得した前記画像が、異なるイメージング条件で取得される少なくとも3つの反射画像を含み、前記異なるイメージング条件が、異なる焦点設定と異なる結像系の瞳形状とを含むことを特徴とするシステム。
  10. 請求項に記載のシステムであって、取得した前記画像が、異なるイメージング条件で取得される少なくとも3つの透過画像を含み、前記異なるイメージング条件が、異なる焦点設定と異なる結像系の瞳形状とを含むことを特徴とするシステム。
  11. 請求項に記載のシステムであって、前記コントローラがさらに、
    リソグラフィモデルを前記テストレチクルについての前記レチクル近接場に適用して、複数のテストウエハ画像をシミュレートし、
    シミュレートされた前記テストウエハ画像を解析して、前記テストレチクルが不安定なウエハまたは欠陥ウエハになる可能性があるかを決定する、ように構成され、
    前記リソグラフィモデルは、フォトリソグラフィプロセスをシミュレートするように構成されていることを特徴とするシステム。
  12. 請求項11に記載のシステムであって、前記リソグラフィモデルが較正レチクルのためのデザインデータベースから描画された画像で較正されることを特徴とするシステム。
  13. 請求項11に記載のシステムであって、前記リソグラフィモデルが較正レチクルから取得された画像で較正されることを特徴とするシステム。
  14. 請求項11に記載のシステムであって、前記リソグラフィモデルが、複数の異なるリソグラフィプロセス条件下で、前記テストレチクルに対して再現された前記レチクル近接場に適用されることと、シミュレートされた前記テストウエハ画像の解析が、異なるプロセス条件と同じレチクルエリアとに関連しているシミュレートされた前記テストウエハ画像の部分同士を比較することによって、前記テストレチクルが前記異なるリソグラフィプロセス条件下で不安定なウエハになる可能性があるかを決定することを含むこと、を特徴とするシステム。
JP2020097525A 2015-08-10 2020-06-04 ウエハレベル欠陥の転写性を予測する装置および方法 Active JP7116757B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/822,571 2015-08-10
US14/822,571 US9547892B2 (en) 2014-05-06 2015-08-10 Apparatus and methods for predicting wafer-level defect printability

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2018506864A Division JP6840129B2 (ja) 2015-08-10 2016-08-05 ウエハレベル欠陥の転写性を予測する装置および方法

Publications (2)

Publication Number Publication Date
JP2020166283A JP2020166283A (ja) 2020-10-08
JP7116757B2 true JP7116757B2 (ja) 2022-08-10

Family

ID=57984181

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2018506864A Active JP6840129B2 (ja) 2015-08-10 2016-08-05 ウエハレベル欠陥の転写性を予測する装置および方法
JP2020097524A Active JP7262423B2 (ja) 2015-08-10 2020-06-04 ウエハレベル欠陥の転写性を予測する装置および方法
JP2020097525A Active JP7116757B2 (ja) 2015-08-10 2020-06-04 ウエハレベル欠陥の転写性を予測する装置および方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2018506864A Active JP6840129B2 (ja) 2015-08-10 2016-08-05 ウエハレベル欠陥の転写性を予測する装置および方法
JP2020097524A Active JP7262423B2 (ja) 2015-08-10 2020-06-04 ウエハレベル欠陥の転写性を予測する装置および方法

Country Status (7)

Country Link
US (1) US10304180B2 (ja)
EP (1) EP3210189B1 (ja)
JP (3) JP6840129B2 (ja)
KR (1) KR20180030228A (ja)
CN (2) CN107851315B (ja)
TW (1) TWI695222B (ja)
WO (1) WO2017027366A1 (ja)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10395361B2 (en) 2015-08-10 2019-08-27 Kla-Tencor Corporation Apparatus and methods for inspecting reticles
CN107851315B (zh) 2015-08-10 2020-03-17 科磊股份有限公司 用于预测晶片级缺陷可印性的设备及方法
US10031997B1 (en) * 2016-11-29 2018-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Forecasting wafer defects using frequency domain analysis
TWI755453B (zh) * 2017-05-18 2022-02-21 美商克萊譚克公司 鑑定一光微影光罩合格性之方法及系統
US11131629B2 (en) * 2017-05-26 2021-09-28 Kla-Tencor Corporation Apparatus and methods for measuring phase and amplitude of light through a layer
CN111149063B (zh) 2017-09-27 2022-04-22 Asml荷兰有限公司 确定器件制造工艺的控制参数的方法
US10964014B2 (en) 2017-10-30 2021-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Defect detecting method and defect detecting system
US10691864B2 (en) * 2017-11-14 2020-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of post optical proximity correction (OPC) printing verification by machine learning
US10755405B2 (en) * 2017-11-24 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for diagnosing a semiconductor wafer
US11257207B2 (en) * 2017-12-28 2022-02-22 Kla-Tencor Corporation Inspection of reticles using machine learning
US11514357B2 (en) * 2018-03-19 2022-11-29 Kla-Tencor Corporation Nuisance mining for novel defect discovery
KR20190111644A (ko) 2018-03-23 2019-10-02 (주)브릭 반복 패턴 영상의 결함 유형 분류 장치 및 방법
KR102055877B1 (ko) 2018-05-04 2019-12-13 (주) 브릭 반복 패턴 영상의 결함 위치 파악 장치 및 방법
CN112689802B (zh) 2018-08-28 2024-03-29 Asml荷兰有限公司 最佳量测指导的系统和方法
US10866197B2 (en) * 2018-09-20 2020-12-15 KLA Corp. Dispositioning defects detected on extreme ultraviolet photomasks
US10866505B2 (en) * 2018-09-21 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Mask process correction
US11430677B2 (en) * 2018-10-30 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer taping apparatus and method
US10990019B2 (en) * 2019-04-09 2021-04-27 Kla Corporation Stochastic reticle defect dispositioning
US11733605B2 (en) * 2019-06-20 2023-08-22 Kla Corporation EUV in-situ linearity calibration for TDI image sensors using test photomasks
WO2020252727A1 (en) 2019-06-20 2020-12-24 Yangtze Memory Technologies Co., Ltd. Methods for polysilicon characterization
EP4143637A1 (en) * 2020-04-30 2023-03-08 Photronics, Inc. System, method, and program product for manufacturing a photomask
TWI746320B (zh) * 2020-12-18 2021-11-11 財團法人工業技術研究院 產生及更新定位分布圖的方法及其系統

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015026942A1 (en) 2013-08-20 2015-02-26 Kla-Tencor Corporation Qualifying patterns for microlithography
JP2020166282A (ja) 2015-08-10 2020-10-08 ケーエルエー コーポレイション ウエハレベル欠陥の転写性を予測する装置および方法

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5795688A (en) 1996-08-14 1998-08-18 Micron Technology, Inc. Process for detecting defects in photomasks through aerial image comparisons
US7617474B2 (en) * 1997-09-17 2009-11-10 Synopsys, Inc. System and method for providing defect printability analysis of photolithographic masks with job-based automation
US6578188B1 (en) * 1997-09-17 2003-06-10 Numerical Technologies, Inc. Method and apparatus for a network-based mask defect printability analysis system
US6757645B2 (en) * 1997-09-17 2004-06-29 Numerical Technologies, Inc. Visual inspection and verification system
KR100576752B1 (ko) 2001-10-09 2006-05-03 에이에스엠엘 마스크툴즈 비.브이. 2차원 피처모델 캘리브레이션 및 최적화 방법
JP2003330163A (ja) * 2002-05-13 2003-11-19 Sony Corp フォトマスクの検査装置および検査方法
KR100979484B1 (ko) 2002-07-15 2010-09-02 케이엘에이-텐코 코포레이션 다른 리소그래픽 과정 변수들을 위한 레티클의 가상 이미지를 얻는 것을 포함하는 결점 조사 방법
US6902855B2 (en) 2002-07-15 2005-06-07 Kla-Tencor Technologies Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
AU2002347753A1 (en) 2002-11-01 2004-05-25 Systems On Silicon Manufacturing Co. Pte. Ltd. Multi-image reticles
KR100488543B1 (ko) 2002-11-05 2005-05-11 삼성전자주식회사 포토리소그래피 공정용 레티클 제작방법
US6768958B2 (en) * 2002-11-26 2004-07-27 Lsi Logic Corporation Automatic calibration of a masking process simulator
US8111898B2 (en) * 2002-12-06 2012-02-07 Synopsys, Inc. Method for facilitating automatic analysis of defect printability
JP4311713B2 (ja) * 2003-03-10 2009-08-12 キヤノン株式会社 露光装置
US8151220B2 (en) 2003-12-04 2012-04-03 Kla-Tencor Technologies Corp. Methods for simulating reticle layout data, inspecting reticle layout data, and generating a process for inspecting reticle layout data
JP4758358B2 (ja) * 2004-01-29 2011-08-24 ケーエルエー−テンカー コーポレイション レチクル設計データにおける欠陥を検出するためのコンピュータに実装される方法
US7603648B2 (en) * 2004-02-27 2009-10-13 Intel Corporation Mask design using library of corrections
US7313780B2 (en) * 2005-03-10 2007-12-25 Chartered Semiconductor Manufacturing Ltd. System and method for designing semiconductor photomasks
US7769225B2 (en) 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
JP2007071678A (ja) * 2005-09-07 2007-03-22 Hitachi High-Technologies Corp 検査システム
US8102408B2 (en) 2006-06-29 2012-01-24 Kla-Tencor Technologies Corp. Computer-implemented methods and systems for determining different process windows for a wafer printing process for different reticle designs
WO2008039674A2 (en) * 2006-09-20 2008-04-03 Luminescent Technologies, Inc. Photo-mask and wafer image reconstruction
US7512927B2 (en) 2006-11-02 2009-03-31 International Business Machines Corporation Printability verification by progressive modeling accuracy
JP4707701B2 (ja) 2006-11-08 2011-06-22 エーエスエムエル マスクツールズ ビー.ブイ. 瞳を有する光学結像システムの結像性能をシミュレーションするモデルを生成する方法およびコンピュータプログラム
US7873204B2 (en) 2007-01-11 2011-01-18 Kla-Tencor Corporation Method for detecting lithographically significant defects on reticles
US8103086B2 (en) 2007-01-11 2012-01-24 Kla-Tencor Corporation Reticle defect inspection with model-based thin line approaches
US7995832B2 (en) 2007-01-11 2011-08-09 Kla-Tencor Corporation Photomask inspection and verification by lithography image reconstruction using imaging pupil filters
US8213704B2 (en) * 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
JP4538021B2 (ja) * 2007-05-31 2010-09-08 株式会社東芝 光近接効果の補正方法
US7703069B1 (en) * 2007-08-14 2010-04-20 Brion Technologies, Inc. Three-dimensional mask model for photolithography simulation
JP5216509B2 (ja) * 2008-03-05 2013-06-19 株式会社日立製作所 走査プローブ顕微鏡およびこれを用いた試料の観察方法
WO2009152046A1 (en) * 2008-06-11 2009-12-17 Kla-Tencor Corporation Systems and methods for detecting design and process defects on a wafer, reviewing defects on a wafer, selecting one or more features within a design for use as process monitoring features, or some combination thereof
US8161421B2 (en) 2008-07-07 2012-04-17 International Business Machines Corporation Calibration and verification structures for use in optical proximity correction
US7932004B1 (en) 2008-10-02 2011-04-26 Kla-Tencor Corporation Feature identification for metrological analysis
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
JP2010156866A (ja) * 2008-12-27 2010-07-15 Toshiba Corp 特徴量抽出方法、テストパターン選択方法、レジストモデル作成方法および設計回路パターン検証方法
US8209161B2 (en) * 2008-12-31 2012-06-26 Cadence Design Systems, Inc. Method, system, and computer program product for lithography simulation in electronic design automation
US8594823B2 (en) 2009-07-17 2013-11-26 KLA—Tencor Corporation Scanner performance comparison and matching using design and defect data
FR2948491B1 (fr) * 2009-07-21 2011-09-09 St Microelectronics Crolles 2 Simulation de l'image projetee par un masque
CN101969025B (zh) 2009-07-27 2013-07-24 中芯国际集成电路制造(上海)有限公司 形成自对准硅化物区域阻挡膜图案的方法
JP5684028B2 (ja) * 2011-03-31 2015-03-11 Hoya株式会社 転写用マスクの製造方法および半導体デバイスの製造方法
US8719739B2 (en) * 2011-09-19 2014-05-06 D2S, Inc. Method and system for forming patterns using charged particle beam lithography
US8572520B2 (en) 2012-03-01 2013-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Optical proximity correction for mask repair
WO2013142079A1 (en) * 2012-03-20 2013-09-26 Kla-Tencor Corporation Using reflected and transmission maps to detect reticle degradation
US9091935B2 (en) 2013-03-11 2015-07-28 Kla-Tencor Corporation Multistage extreme ultra-violet mask qualification
CN104423142B (zh) 2013-08-22 2020-05-05 中芯国际集成电路制造(上海)有限公司 用于光学邻近校正模型的校准数据收集方法和系统
US9977618B2 (en) * 2013-12-27 2018-05-22 Intel Corporation Pooling of memory resources across multiple nodes
US9478019B2 (en) * 2014-05-06 2016-10-25 Kla-Tencor Corp. Reticle inspection using near-field recovery
US9547892B2 (en) 2014-05-06 2017-01-17 Kla-Tencor Corporation Apparatus and methods for predicting wafer-level defect printability
JP2020097524A (ja) * 2017-03-07 2020-06-25 Spiber株式会社 精製されたタンパク質を製造する方法
JP2020097525A (ja) * 2017-03-10 2020-06-25 出光興産株式会社 化合物、有機エレクトロルミネッセンス素子用材料、有機エレクトロルミネッセンス素子、及び電子機器
TWI755453B (zh) 2017-05-18 2022-02-21 美商克萊譚克公司 鑑定一光微影光罩合格性之方法及系統

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015026942A1 (en) 2013-08-20 2015-02-26 Kla-Tencor Corporation Qualifying patterns for microlithography
JP2020166282A (ja) 2015-08-10 2020-10-08 ケーエルエー コーポレイション ウエハレベル欠陥の転写性を予測する装置および方法

Also Published As

Publication number Publication date
CN107851315A (zh) 2018-03-27
US20170309008A1 (en) 2017-10-26
EP3210189A1 (en) 2017-08-30
US10304180B2 (en) 2019-05-28
JP6840129B2 (ja) 2021-03-10
TW201708942A (zh) 2017-03-01
WO2017027366A1 (en) 2017-02-16
EP3210189A4 (en) 2018-06-06
JP7262423B2 (ja) 2023-04-21
CN111340762B (zh) 2021-06-25
JP2020166282A (ja) 2020-10-08
CN111340762A (zh) 2020-06-26
TWI695222B (zh) 2020-06-01
JP2018532139A (ja) 2018-11-01
CN107851315B (zh) 2020-03-17
KR20180030228A (ko) 2018-03-21
JP2020166283A (ja) 2020-10-08
EP3210189B1 (en) 2022-03-23

Similar Documents

Publication Publication Date Title
JP7116757B2 (ja) ウエハレベル欠陥の転写性を予測する装置および方法
US9547892B2 (en) Apparatus and methods for predicting wafer-level defect printability
JP7440580B2 (ja) レチクルを検査する装置および方法
US10395361B2 (en) Apparatus and methods for inspecting reticles
JP6594876B2 (ja) フォトリソグラフィレチクル認定方法及びシステム
JP7422208B2 (ja) モデルベースの限界寸法測定の方法およびシステム

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200624

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200624

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20200702

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20200707

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200811

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20201106

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210104

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20210216

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210614

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20210614

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20210623

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20210629

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20210716

C211 Notice of termination of reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C211

Effective date: 20210727

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20211130

C13 Notice of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: C13

Effective date: 20220215

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20220412

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220509

C23 Notice of termination of proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C23

Effective date: 20220607

C03 Trial/appeal decision taken

Free format text: JAPANESE INTERMEDIATE CODE: C03

Effective date: 20220705

C30A Notification sent

Free format text: JAPANESE INTERMEDIATE CODE: C3012

Effective date: 20220705

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220729

R150 Certificate of patent or registration of utility model

Ref document number: 7116757

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150