JP2016532902A - マイクロリソグラフィパターン認定 - Google Patents

マイクロリソグラフィパターン認定 Download PDF

Info

Publication number
JP2016532902A
JP2016532902A JP2016536411A JP2016536411A JP2016532902A JP 2016532902 A JP2016532902 A JP 2016532902A JP 2016536411 A JP2016536411 A JP 2016536411A JP 2016536411 A JP2016536411 A JP 2016536411A JP 2016532902 A JP2016532902 A JP 2016532902A
Authority
JP
Japan
Prior art keywords
pattern
reticle
wafer
patterns
hot spot
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016536411A
Other languages
English (en)
Other versions
JP6594876B2 (ja
Inventor
ルイ−ファーン シー
ルイ−ファーン シー
マーク ワグナー
マーク ワグナー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2016532902A publication Critical patent/JP2016532902A/ja
Application granted granted Critical
Publication of JP6594876B2 publication Critical patent/JP6594876B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10056Microscopic image
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Abstract

フォトリソグラフィレチクルを認定するための方法及び装置が開示される。レチクル検査具は、レチクルの各パターン領域から異なる結像構成で少なくとも2つの像を取得するために使用される。レチクルパターンは、レチクルの各パターン領域からの各少なくとも2つの像に基づいて再構築される。各再構築されたレチクルパターンについて、2つ以上の異なるプロセス条件を用いるリソグラフィプロセスが、そのような再構築されたレチクルパターン上でモデル化され、2つ以上の対応するモデル化されたテストウェハパターンを生成する。各2つ以上のモデル化されたテストウェハパターンが、レチクルパターンのホットスポットパターンであって、そのようなホットスポットパターンで形成されるウェハパターンを変える異なるプロセス条件の影響を受けやすい、レチクルパターンのホットスポットパターンを識別するために分析される。

Description

関連出願の相互参照
この出願は、米国特許法第119条(e)項の下で、2013年8月20日に出願されたRui−Fang Shiらの先行米国仮出願第61/867,939号、表題「Qualifying Patterns for Microlithographic Fabrication Prior to High Volume Manufacturing」の優先権を主張するものであり、その出願は、あらゆる目的のために参照によりその全体が本明細書に組み込まれる。
本発明は、概して、レチクル検査の分野に関する。より具体的には、本発明は、パターン認定に関する。
一般に、半導体製造産業は、例えばシリコンなどの基板の上に積層されてパターン化される半導体材料を使用した集積回路を製作するために極めて複雑な技術を必要とする。大規模の回路集積化及び半導体デバイスのサイズ縮小により、これらの製作されたデバイスは、欠陥にますます敏感になってきている。すなわち、デバイスの故障をもたらす欠陥が、ますます小さくなってきている。デバイスは、最終ユーザまたは顧客への発送前に故障がない状態である。
集積回路は、典型的には、複数のレチクルから製作される。最初に、回路設計者が、特定の集積回路(IC)設計を記す回路パターンデータをレチクル生産システムまたはレチクルライタに提供する。回路パターンデータは、典型的には、製作されるICデバイスの物理層の具象的なレイアウトの形態である。具象的なレイアウトは、ICデバイスの各物理層(例えば、ゲート酸化物、ポリシリコン、金属化等)のための具象層を含み、各具象層は、特定のICデバイスの層のパターニングを画定する複数の多角形から成る。レチクルライタは、回路パターンデータを使用して、特定のIC設計を製作するために後で使用されることになる複数のレチクルを描く(例えば、典型的には、電子ビームライタまたはレーザスキャナが、レチクルパターンを露光するために使用される)。
レチクルまたはフォトマスクは、少なくとも透明及び不透明領域、ならびに時には半透明及び位相シフト領域を含有する光学要素であり、それらの領域は共に、例えば集積回路などの電子デバイスにおける同一面内特徴のパターンを画定する。エッチング、イオン注入、または他の製作プロセスのために、フォトリソグラフィ中にレクチルを使用して、半導体ウェハの指定領域を画定する。
米国特許出願公開第2011/0299759号
各レチクルまたは一群のレチクルの製作後、各新たなレチクルが、典型的には、ウェハ製作における使用のために認定される。例えば、レチクルパターンは、印刷可能な欠陥有してはならない。それ故、改善されたレチクル検査及び認定技術が引き続き必要とされている。
以下は、発明の一定の実施形態の基本的な理解をもたらすために、開示の簡易化された要約を提示する。この要約は、開示の広範囲にわたる概要ではなく、かつ発明の重要な/不可欠な要素を特定するものではなく、または発明の範囲を詳細に描写するものではない。その唯一の目的は、後で提示されるより詳細な記載に対する序文として簡易化された形態で、本明細書に開示されるいくつかの概念を提示することにある。
一実施形態において、フォトリソグラフィレチクルを認定する方法が、開示される。レチクル検査具は、レチクルの各パターン領域から異なる結像構成で少なくとも2つの像を取得するために使用される。レチクルパターンが、レチクルの各パターン領域からの各少なくとも2つの像に基づいて再構築される。各再構築されたレチクルパターンについて、2つ以上の異なるウェハプロセス条件を用いるリソグラフィプロセスが、そのような再構築されたレチクルパターン上でモデル化され、2つ以上の対応するモデル化されたテストウェハパターンを生成する。各2つ以上のモデル化されたテストウェハパターンが、レチクルパターンのホットスポットパターンであって、そのようなホットスポットパターンで形成されたウェハパターンを変える異なるプロセス条件の影響を受けやすい、レチクルパターンのホットスポットパターンを識別するために分析される。
特定の実施例では、反射像及び透過像が、高解像度顕微鏡で取得される。別の実施例では、異なる瞳形状及び/または異なる焦点条件を有する2つ以上の反射像が取得される。この実施例は、特に、EUV(極紫外)フォトマスクに適用可能である。更に別の態様では、異なる結像条件での少なくとも2つの像が、情報であって、その情報から、対応するレチクルのパターン領域の振幅及び位相が、例えば数学的反復プロセスによって、決定され得る情報を含む。特定の実施態様では、2つ以上の異なるプロセス条件が、焦点露光マトリックス(FEM)からの2つ以上の異なる露光及び焦点設定または複数の露光及び焦点設定を含む。更なる態様では、フォトレジスト材料がモデル化される。なお更なる態様では、エッチングまたは化学機械平坦化(CMP)プロセスがモデル化される。
一実施態様では、ホットスポットパターンが、そのようなレチクルを用いた大量ウェハ製作の開始前に、またはそのようなレチクルを用いたあらゆるウェハ製作前に識別される。一実施形態において、方法は、(i)複数のOPC(光近接効果補正)後設計パターンに基づいて、複数の基準レチクルパターンをシミュレーションすることと、(ii)2つ以上の対応するモデル化された基準ウェハパターンを生成するように、各基準レチクルパターン上でモデル化動作を行うことと、を含む。この実施態様では、分析することが、各モデル化されたテストウェハパターンをその対応する基準ウェハパターンと比較することと、そのような比較から結果として生じる差異が所定の閾値を超えるときに、そのようなモデル化されたテストパターンのホットスポットパターンを識別することと、を含む。更なる態様では、複数の初期ホットスポット位置が基準及びテストレチクルパターンについて識別され、初期ホットスポット位置のみに対応するモデル化されたテスト及び基準ウェハパターンが生成される。
一実施態様では、各ホットスポットパターンが、その対応する2つ以上のモデル化されたテストウェハパターンが異なる2つ以上のプロセス条件の所定の量だけ異なるときに識別される。代替の実施形態では、各2つ以上のモデル化されたテストウェハパターンを分析することが、複数の対応するOPC後設計パターンを分析して、レチクルを製作するために使用される設計に対する2つ以上の異なるプロセス条件の効果をレチクルに対する2つ以上の異なるプロセス条件の効果と区別することを含む。別の態様では、方法が、識別されたホットスポットパターンに対応しないウェハパターンよりも低い閾値を有する識別されたホットスポットパターンに対応するそのようなレチクルを用いて製作されたウェハパターンを検査することを含む。更なる適用では、識別されたホットスポットパターンに対応する設計パターンが修正され、新たなレチクルが、そのような修正された設計パターンに基づいて製作される。
一定の実施形態では、発明は、フォトリソグラフィレチクルを認定するための検査システムに関する。システムは、入射ビームを生成するための光源と、サンプルの上に入射ビームを導くための照明光学モジュールと、を含む。システムはまた、入射ビームに応答して異なる結像構成で、レチクルの各パターン領域から少なくとも1つのセンサに2つ以上の出力ビームを導くための収集光学モジュールを有する。少なくとも1つのセンサは、2つ以上の出力ビームを検出するように、かつ2つ以上の出力ビームについて2つ以上の像または信号を生成するように構成される。システムは、上記した動作のうちの少なくともいくつかを行うように構成されたコントローラを更に含む。他の実施形態では、発明は、上記した動作のうちの少なくともいくつかを行うためにコンピュータ可読媒体の上に記憶された命令を有するコンピュータ可読媒体に関する。
発明のこれらの及び他の態様が、図面を参照にして以下に更に記載される。
本発明の一実施形態に係るホットスポットを識別するためのレチクル認定プロセスを例示するフローチャートである。 本発明の一実施形態に係るホットスポット再検討プロセスを表わすフローチャートである。 本発明の一実施形態に係るウェハ検査プロセスを例示するフローチャートである。 本発明の技術が実装され得る検査システム実施例の模式図である。 一定の実施形態に係るマスクパターンをフォトマスクからウェハの上に転写するためのリソグラフィシステムの簡易概略図である。 一定の実施形態に係るフォトマスク検査装置の概略図を提供する。
以下の記載では、非常に多くの特定の詳細が、本発明の十分な理解をもたらすために規定される。本発明は、これらの特定の詳細のうちのいくつかまたは全てを用いずに実施され得る。他の事例では、周知のプロセス動作または装置の構成要素が、本発明を不必要に不明瞭にしないように詳細には記載されていない。発明は、特定の実施形態と関連して記載されることになるが、発明をそれらの実施形態に限定することを意図されないことが理解されることになる。
用語「レチクル」は、一般に、透明基板、例えば、ガラス、ホウケイ酸ガラス、石英、またはそれらの上に形成された不透明材料の層を有する溶融石英などを含む。不透明(または実質的に不透明)材料は、フォトリソグラフィ光(例えば、深紫外線)を完全にまたは部分的に遮る任意適切な材料を含み得る。材料の実施例は、クロム、ケイ化モリブデン(MoSi)、ケイ化タンタル、ケイ化タングステン、ガラス上の不透明MoSi(OMOG)等を含む。ポリシリコン膜がまた、接着性を向上させるために不透明層と透明基板との間に追加されてもよい。低反射膜、例えば、酸化モリブデン(MoO2)、酸化タングステン(WO2)、酸化チタン(TiO2)、または酸化クロム(CrO2)などが、不透明材料の上に形成されてもよい。
用語レチクルは、限定されるものではないが、明視野レチクル、暗視野レチクル、バイナリレチクル、位相シフトマスク(PSM)、交互PSM、減衰またはハーフトーンPSM、3元(ternary)減衰PSM、クロム無し位相リソグラフィPSM、及びクロム無し位相リソグラフィ(CPL)を含む、様々な種類のレチクルを指す。明視野レチクルは、透明であるフィールドまたは背景領域を有し、暗視野レチクルは、不透明であるフィールドまたは背景領域を有する。バイナリレチクルは、透明または不透明であるパターン化された領域を有するレチクルである。例えば、クロム金属吸着膜によって画定されたパターンを有する透明溶融石英ブランク材から作られたフォトマスクが使用され得る。バイナリレチクルは、位相シフトマスク(PSM)とは異なり、それの1つの種類は、部分的にだけ光を透過する膜を含み得、これらのレチクルは、通例、ハーフトーンまたは埋め込み型位相シフトマスク(EPSM)、例えば、ArF及びKrFマスクなどと称され得る。位相シフト材料がレチクルの交互する空所上に配置される場合、レチクルは、交互PSM、ALT PSM、またはレベンソン型PSMと称される。任意のレイアウトパターンに適用される位相シフト材料の1つの種類は、減衰またはハーフトーンPSMと称され、それは、不透明材料を部分的透過型膜または「ハーフトーン」膜で置き換えることによって製作され得る。3元減衰PSMは、完全に不透明な特徴もまた含む減衰PSMである。
集積回路(IC)の密度及び複雑性が増え続けるにつれて、フォトリソグラフィマスクパターンの検査が、次第により困難になる。ICのあらゆる新世代は、リソグラフィシステムの光学限界に現在達する及びその限界を超えるより高密度でより複雑なパターンを有する。これらの光学限界を克服するために、様々な解像度向上技術(RET)、例えば、光近接効果補正(OPC)などが導入されている。例えば、OPCは、結果として生じる印刷されたパターンが、元の所望されたパターンに対応するようにフォトマスクパターンを修正することによって、いくらかの回折限界を克服することに役立つ。そのような修正には、主IC特徴、すなわち、印刷可能な特徴のサイズ及びエッジに対する乱れが挙げられ得る。他の修正は、パターンコーナへのセリフの追加、及び/または隣接のサブ解像度補助特徴(sub−resolution assist feature:SRAF)を提供することを含み、それらは、印刷された特徴を結果としてもたらすことを期待されず、従って、非印刷可能な特徴と称される。これらの非印刷可能な特徴は、さもなければ印刷プロセス中に生じていただろうパターンの乱れを取り消すことを期待される。しかしながら、OPCは、マスクパターンを更により複雑にさせて、普通、結果として生じるウェハ像にかなり似ていなくさせる。なおその上に、OPC欠陥は、多くの場合、印刷可能な欠陥に変わらない。フォトマスクパターンの複雑性の増加と、パターン要素の全てが、印刷されたパターンに直接的に影響を及ぼすことを予期されるとは限らない事実は、重要なパターン欠陥についてフォトマスクを検査する作業をなお更に困難にさせる。
欠陥の重大さの1つの尺度は、その欠陥のMEEFまたはマスクエラー増強因子(Mask Error Enhancement Factor)である。この因子は、それが印刷される像に与えることになる影響の大きさに対するマスク面における欠陥のサイズに関する。高MEEF欠陥は、印刷されるパターンに与える影響が大きく、低MEEF欠陥は、印刷されるパターンにほとんどまたは全く影響を与えない。パターンの高密度細線部分における小型の主パターン特徴は、小さなマスク面サイジングエラーが、印刷されるパターンの全壊をもたらすことがある、高MEEFでの欠陥の実施例である。分離された小さなピンホールは、欠陥自体が印刷するには小さ過ぎて、最も近い主パターンエッジから、そのエッジがどのように印刷されるかに影響を与えないように十分離れている、低MEEFでの欠陥の実施例である。これらの実施例が示すように、欠陥のMEEFは、欠陥の種類と、欠陥がその中に位置するパターン状況との幾分複雑な相関関係にあるものである。
より重大なウェハ欠陥を引き起こす高MEEFマスク欠陥に加えて、一定の設計パターン及び対応するマスクパターンは、プロセス変化に対して他の設計及びマスクパターンよりも頑強であり得る。製作プロセスが最適プロセス条件から逸脱し始めると、一定のマスクパターンが、より重大なウェハパターンの乱れ及び欠陥を結果としてもたらし得る。
本発明の一定の実施形態は、マスクパターンがそこでウェハ上にうまく印刷されない可能性がある本明細書において「ホットスポット」と称される、プロセス変動によって更に影響を受けるマスクパターンの領域を識別するための機構を提供する。ホットスポットの多数の異なる定義が存在する。1つの定義は、パターンが、公称焦点露光条件でウェハ上にうまく印刷されるものの、パターンが、避けられないプロセス窓変動のエッジでうまく印刷されないことである。典型的なOPC検証エンジンは、これらのホットスポットのうちのいくつかを予測することができる。しかしながら、OPC検証エンジンの不備は、その検証エンジンが、特に、高MEEF領域において、マスク作成プロセス変動に起因するホットスポットを予測できないことである。あるいは、OPC検証エンジンは、ユーザがそれらについてあらゆることをするのには多過ぎるホットスポット候補を生産し得る。
本発明の一実施形態は、実際の物理的なマスクを考慮に入れて、ホットスポットを再びランク付けることである。図1は、本発明の一実施形態に係るホットスポットを識別するためのレチクル認定プロセス100を例示するフローチャートである。特定のレチクルの以下のホットスポットパターン識別プロセスは、そのようなレチクルを用いたあらゆるウェハの製作前に、または大量ウェハ製作の開始前に行われ得る。最初に、少なくとも2つのレチクル像が、動作102においてレチクル検査具を使用して取得される。異なる結像構成が、像を提供するように選択され、その像から、マスクパターンの振幅が計算され得る。例えば、結像構成は、高解像度像、例えば透過像及び反射像(例えば、ArFマスクの場合)などを含み得る。別の実施形態では、異なる瞳形状及び/または異なる焦点条件を有する2つの反射像が得られ得る(例えば、EUVマスクの場合)。
異なる結像構成の取得は、同時または連続的に行われ得る。一定の結像構成では、取得された像であって、その像から、より正確な後続の予測をもたらすマスクパターンの振幅情報と位相情報の両方が、推定され得る、像。取得された像は、フィールド面(field planes)にある必要はない。2つ以上の像が、瞳面で取得され得る。一実施例は、周知のGerchberg−Saxtonアルゴリズムであり、そのアルゴリズムでは、フィールド面像と瞳面回折次数の組み合わせが、対象の振幅と位相の両方を解くために利用され得る。
次いで、動作104において、2つ以上の像が互いにアラインメントされ得るか、または各像がOPC後データベースにアラインメントされ得る。このアラインメントは、複数像のための(複数の)検査システムの光学特性のマッチングを含み得る。例えば、透過像及び反射像の場合において、像のいくらかの調整が、2つのそれぞれの信号の光路差を補償するように行われ得る。アラインメント調整は、使用される検査システムの特定の幾何学的形状に依存し得る。OPC後データベースとのアラインメントは、典型的には、最初に、1組の重要なシステムパラメータを推定して、データベースを理論的光学像にモデル化して、次いで、実験で測定した光学像を空間領域法または周波数領域法による理論的光学像とアラインメントすることによって行われ得る。
一旦アラインメントされると、マスクパターンは、動作106において2つ以上のアラインメントされた像に基づいて再構築され得る。再構築されたマスクパターンは、一般に、マスク像を取得するために使用される結像システムの特性が無いように回復される。1つの特定の実施態様では、(帯域制限マスク像とも称される)スポット像が、2つ以上の像から最初に回復される。以下では、説明は反射像及び透過像を利用するが、他の種類の像構成が、2つ以上のレチクル像のために利用されてもよい。
例えば、スポット像を構築するためのプロセスは、透過像及び反射像から光学的ノイズを実質的に除去して、結果として生じるスポット像を得ることを含んでもよい。一般に、高周波数効果は、実質的に削減されるか除去される。例えば、検査システムの光学効果に起因して特定のレチクルパターンの周りに形成された環状物が、スポット像において取り除かれる。スポット像は、オーバーシュート量及びアンダーシュート量の縮小を用いて形成され得る。反射像及び透過像は、高周波数項が互いを相殺するように線形結合で選択された係数と結合され得る。結果として、スポット像は、帯域制限された低域通過型のマスクパターン像である。
1つのアプローチでは、部分的可干渉性光学結像が、2つ以上の可干渉性システムの合計としてモデル化され得、それは、Wihlらによる米国特許第7,873,204号により詳細に更に説明され、その米国特許は、動作206を説明する目的のために参照によって本明細書に組み込まれる。この実施態様例では、部分的可干渉性結像のためのHopkins式が、相互透過係数(TCC)マトリックスを形成するように使用され得る。このマトリックスは、次いで、対応する固有ベクトルに分解され得、その固有ベクトルは、可干渉性システムのカーネルとして機能する。これらの可干渉性システムのそれぞれからの強度寄与の固有値重み付け和は、像強度をもたらし、その像強度は、透過信号の強度を表現するために使用され得る。一定の実施形態では、テスト像の反射強度及び透過強度が、帯域制限マスク振幅関数と称される線形項のみを用いて表現され得る。この関数の実施例が、式1に示される。
ここで、aRは、マスクの前景トーンと背景トーンの差の複素反射振幅であり、IT(x,y)は、検査システムを使用するマスクの透過強度像を示し、CTは、マスクの背景トーンの複素透過振幅であり(例えば、石英及びクロムバイナリマスクでは、CTは、クロムパターンの特性を示し得る)、aTは、マスクの前景トーンと背景トーンの差の複素透過振幅であり(例えば、上記と同じマスクを使用してaTは、石英とクロムの差の光学特性を示し得、cT及びaTは、勿論、記載した材料層の特性に応じて変化する)、IR(x,y)は、検査システムを使用するマスクの反射強度像を示し、CRは、マスクの背景トーンの複素反射振幅であり、aRは、マスクの前景トーンと背景トーンの差の複素反射振幅であり、Re(x)は、xの実成分を表わし、P(x,y)は、検査されているフォトマスクのマスクパターンを定義し、Ei及びλiは、それぞれ、検査具と関連付けられた相互透過係数(TCC)結像マトリックスの関連付けられた要素の固有ベクトル及び固有値を指し、Diは、EiのDC利得である。
帯域制限マスクパターンM(x,y)は、「回復カーネル(recovery kernel)」と称される、関数
で畳み込まれたマスクパターンP(x,y)によって定義される。従って、帯域制限マスクパターンは、修正版のマスクパターン関数P(x,y)である。
反射像及び透過像を結合することは、光学効果に起因するものである、像のいくつかの高周波数部分が相殺されることを結果としてもたらすが、いくつかの収差がスポット像に残るので、スポット像構築は、依然として(例えば、収差無しの)「真の(true)」マスクの近似である。更なる実施形態では、構築されたスポット像が、一定の収差を補償するように処理され得る。例えば、方法は、静的収差オフラインを較正して、次いで、スポット像から収差効果をフィルタリングするために使用され得る。一般に、種々のパターンが、較正マスク上で製作され得、次いで、結像され得る。較正像における収差項が、次いで、異なるパターンについて抽出され得る。抽出された収差項は、次いで、そのような収差項がスポット像から取り除かれるように、スポット像の類似パターンの像から引かれ得る。
スポット像が構築された後、復元反転プロセスが、復元されたマスクパターンを得るために行われ得る。すなわち、より「真の」またはバイナリ状マスクパターンが、反転プロセスにおいてスポット像から導かれる。例えば、光学部によってぼやけ得るスポット像におけるパターンが、マスクパターンを形成するように形作られる。
また、OPC後設計パターンが、動作101において得られ得る。OPC後設計パターンは、基準または理想マスクパターンを生成するように動作103において事前処理され得る。すなわち、OPC後設計パターンは、マスク作成プロセスをシミュレーションするように、及び設計パターンに対する変更をそれらがマスクを作成するために使用されるようにシミュレーションするように処理され得る。例えば、設計パターンコーナは、設計パターンと比較すると、シミュレーションされた基準マスクパターンにおいて丸められる。
2つの像が共に反射される(EUVマスクに適用可能な)場合または透過される(ステッパ照明及び結像条件を模倣する低NA結像条件の)場合において、位相及び振幅情報を保持する回復されたマスク(別称、マスク近接場m)が、以下の式によって決定され得る。
上記式において、Iαは、像面αについて測定された光学像であり、ψi(α)は、光学結像システムを示す1組の固有ベクトルであり、λi(α)は、光学結像システムの1組の対応する固有値であり、cαは、0〜1の非負重み付け係数である。上記式は、例えば共役勾配などの方法によって反復的に解かれ得る。数学的不良条件のリスクを最小限にすることを確保するための注意が払われ得る。
初期の組のホットスポットは、動作108において基準及びテストマスクパターンの両方において任意選択的に識別されてもよい。例えば、設計者が、ホットスポット座標のリストを提供してもよい。以下のプロセスは、これらの初期ホットスポットのランク付けまたはフィルタリングを最終組のホットスポットに結果としてもたらすことになる。その他の点では、マスク全体が、以下に更に記載されるようにホットスポットを位置付けるように処理され得る。
次いで、リソグラフィプロセスが、動作110において複数の異なるプロセス条件を用いてモデル化され得る。すなわち、リソグラフィプロセスは、2つ以上のプロセス条件下でテスト及び基準マスクパターンの両方に関してモデル化される。各プロセス条件は、一般に、ウェハプロセスを特徴付ける、または部分的に特徴付ける1組のウェハ製作プロセスパラメータに対応する。例えば、焦点及び露光の特定の設定が、プロセス条件として定義され得る。少なくとも2つのプロセス条件がモデル化される。典型的な組のプロセス条件は、「焦点露光マトリックス」(FEM)のエントリを含み得る。各プロセス条件について、プロセス条件の効果が、再構築されたマスク像上でシミュレーションされる。これらのシミュレーションは、再構築されたマスクもしくはマスク近接場によって形成された、1組のシミュレーションされたウェハもしくはレジストパターン像、またはモデルを結果としてもたらす。モデルは、フォトリソグラフィスキャナの効果のみを含み得、及び/またはそのモデルは、レジスト、エッチング、CMPもしくは任意の他のウェハプロセスの効果もまた含み得る。プロセスシミュレーションツールの一実施例は、Milpitas、CAのKLA−Tencor Corp.から利用可能なProlithである。
モデル化された像の対応するテスト及び基準部分は、異なるプロセス条件下でシミュレーションされ、動作112においてホットスポットを識別するように比較され得る。ホットスポットは、任意のプロセス条件のための任意の対のテスト及び基準像部分の差異が、所定の閾値、例えば臨界パラメータの場合、8または10%の変化などを上回るときに、定義され得る。更にまたはあるいは、ホットスポットパターンは、単に、テストマスクパターンが所定の量だけ変化するときに、そのような変化をOPC後データから導出される基準ウェハパターンとどのように比較するかに関わらず、識別され得る。換言すれば、異なるプロセス条件下での物理的なマスクパターンにおける著しい変化は、意図された設計パターンに関する問題を示し得る。対応するモデル化された像部分間の差異は、設計されたパターン及び製作されたマスクに対するプロセス条件の効果における差異を表わす。特定の設計パターンと関連付けられた差異は、通例、「設計ホットスポット」と称されるか、または単に「ホットスポット」と称され、検査された特定のプロセス条件に関する、また、製作されたマスクに関し得る設計における弱点を表わす。異なるプロセス条件についてモデル化された像間で見付けられ得る差異の種類の実施例は、CD(臨界寸法)またはEPE(edge placement error:エッジ配置エラー)である。
任意適切な機構がまた、ホットスポットマップを任意適切な形態で記憶する及び/または表示するように使用されてもよい。例えば、ホットスポットマップは、ホットスポット位置のリストの形態で文字で表わされ得る。プロセス条件の1つ以上のパターン差が、対応するホットスポット座標と並べてリスト化されてもよい。ウェハパターン変化の変動量がまた、計量的に、例えば標準偏差またはパターン差分値の変化量などによって表わされ得る。あるいはまたは更に、ホットスポットマップは、異なるパターン変動値または範囲が、例えば、異なって色付けされたレチクル領域、異なる棒グラフの高さ、異なるグラフ値、または3次元表現などの異なる視覚的手法で示されるように、視覚的に表され得る。
図2は、本発明の一実施形態に係るホットスポット再検討プロセス200を表わすフローチャートである。OPC後データベースは、ウェハ上に印刷されることになる設計者によって意図されるパターンを表わす。任意選択的に、OPC後データベースが、ホットスポット検出を改善するようにモデル化された像を用いて使用され得る。例えば、OPC後データベースのモデルは、設計効果のみを考慮に入れて、それ故、設計に対するウェハプロセスの効果と製作されたマスクに対するウェハプロセスの効果を区別するように使用され得る。例示された実施例では、ホットスポットモデル化パターンが、動作202において対応するOPC後パターンからモデル化されたウェハ像と比較され得る。例えば、異なるプロセス変化の1組のホットスポットモデル化ウェハパターンが、同じプロセス変化の対応するモデル化されたOPC後ウェハパターンに適合するときに、プロセス変化に起因するウェハパターン(またはレジストパターン)における変化が、マスクパターンにおける欠陥からではなくて設計パターンから由来することを判断され得る。しかしながら、OPC後データベースからのプロセス変動に起因するウェハ上の変化が、回復されたマスク(またはマスク近接場)からの同じプロセス変動に起因するウェハ上の変化とは異なる場合には、これらのホットスポットは、実際のマスクからのホットスポットから由来すると考えられる。
次いで、動作204において設計に欠陥があるかどうかが判断され得る。一実施形態では、設計パターンが、プロセス条件(またはプロセス窓)の指定範囲下で、許容できないウェハパターン変動を結果としてもたらすかどうかが判断される。設計に欠陥があると判断される場合、動作203において設計が修正され得る。設計に欠陥があるとみなされない場合には、動作206においてホットスポットが監視され得るかどうかが判断され得る。ホットスポットが監視されることになる場合には、動作208においてホットスポットが、例えば以下に更に記載されるように、ウェハプロセス中に監視され得る。例えば、プロセスが、仕様外に逸脱したかどうか、及び対応するウェハパターンに許容できない値に変化する臨界パラメータをもたらさせたかどうかを判断するように、ホットスポットパターンがウェハ製作中に監視され得る。一実施態様は、対応するホットスポットのレチクル及び/またはウェハパターンの検査のために比較的高いMEEFレベルを設定することを含み得る。条件が公称プロセス条件から更に遠ざかるにつれて、CDまたはEPEが、大きくなり得、ウェハ製作プロセスの完全性を脅かし得る。
また、動作210においてレチクルが補修されることになるかどうかが判断され得る。予想されたホットスポットウェハパターン変動は、リソグラフィプロセス中に使用されることが予期されるプロセス窓の仕様外であることを判断され得る。一定の場合では、レチクルは、動作212において補修される欠陥を含有し得る。次いで、レチクルは、再び認定され得る。そうではない場合には、レチクルは、補修可能ではない場合に廃棄され得る。次いで、新たなレチクルが、製作され得、再び認定され得る。
図3は、本発明の一実施形態に係るウェハ検査プロセス300を例示するフローチャートである。レチクルのホットスポット領域が識別された後、そのようなレチクルが、1つ以上のウェハを製作するために1つ以上のフォトリソグラフィプロセスにおいて使用され得る。最初に、動作302では、ウェハの複数のテスト像が取得され得、検査閾値が、識別されたホットスポットに基づいて各像と関連付けられ得る。例えば、ホットスポットとして定義された領域が、1つの検出閾値を割り当てられ得、一方で、非ホットスポット領域が、(欠陥検出のための)より高い閾値を割り当てられ得る。この区別は、検査資源を最適化するために使用され得る。
動作304では、基準像が各テスト像のために得られ得る。例えば、ウェハ上のダイ領域の別の像が、ダイとダイ(die−to−die)型検査のために得られる。ダイとデータベース(die−to−datebase)の検査では、基準像が、設計データベースに基づいて生成される。例えば、検査光学部が、モデル化され、基準像を得るように設計パターンに適用される。動作306では、各テスト像が、その対応する基準像に対してアラインメントされ得る。
動作308では、各テスト像が、関連付けられた閾値に基づいてその対応する基準像と比較される。例えば、テスト及び基準像は、ホットスポットの存在または欠如によって識別される複数領域に分割され得る。テスト像領域及び対応する基準像領域を含有する各組の領域が、個別に検査され得る。各領域に特異的なMEEF、関連付けられた閾値、幾何学的マップ、及び他の情報が、この動作において使用され得る。
次いで、比較結果に基づいて、動作310ではウェハが検査に合格するかどうかが判断され得る。ウェハが合格する場合、検査プロセスが終了し得、ウェハは更に処理され得る。ウェハが合格しない場合、ウェハは、動作312において補修され得るか廃棄され得、検査が終了する。
本発明の一定の技術は、ウェハ製作を始める前の物理的なマスク上のマスクパターン認定及び弱いパターンまたはホットスポットの早期検出を提供する。設計、レチクル製作効果、及びウェハ製作効果が区別され得る。更に、焦点及び露光の多くの設定を含むウェハプロセス効果、ならびにウェハレジスト、エッチング、CMP、及び任意の他のウェハプロセスの効果の全範囲が考慮され得る。より頑強なパターン認定がまた、ホットスポット識別に基づいてウェハ上で実行され得る。マスクパターンは、一般に、ウェハパターンよりも4倍大きいので、設計データベースに関してパターンのより正確な位置が決定され得る。上記技術はまた、任意適切な種類のマスク、例えば、EUVマスクに対するパターン認定などに拡張され得る。
マスク再構築ステップを回避し得る代替のアプローチは、いくらかのウェハプロセス効果を既に取り込む結像構成を設計することである。普通、これらの結像構成は、「空中結像」と呼ばれる。いくつかの空中結像技術が、Ingrid Petersonらによる、2008年8月26日に発行された米国特許第7,418,124号に更に記載され、その米国特許は、あらゆる目的のためにその全体が参照によって本明細書に組み込まれる。
本発明の技術は、ハードウェア及び/またはソフトウェアの任意適切な組み合わせで実装され得る。図4は、本発明の技術が実装され得る検査システム実施例400の模式図である。検査システム400は、スキャナ(図示しない)を模倣する高NA検査具もしくは低NA検査部からの入力402を受信し得る。検査システムはまた、受信した入力402を分配するためのデータ分配システム(例えば、404a及び404b)、受信した入力402の特定の部分/パッチを処理するための強度信号(またはパッチ)処理システム(例えば、パッチプロセッサならびにメモリ406a及び406b)、ホットスポットを識別するためのホットスポット識別子システム(例えば、412)、検査システム構成要素間の通信を可能にするためのネットワーク(例えば、交換型ネットワーク408)、任意選択的な大容量記憶デバイス416、ならびに識別されたホットスポット、検査結果等を再検討するための1つ以上の検査制御及び/または再検討ステーション(例えば、410)を含み得る。検査システム400の各プロセッサは、典型的には、1つ以上のマイクロプロセッサ集積回路を含み得、また、インターフェース及び/またはメモリ集積回路を含有し得、更に、1つ以上の共有及び/またはグローバルメモリデバイスに結合され得る。
入力データ402を生成するための検査部またはデータ取得システム(図示しない)は、レチクルの強度信号または像を得るための(例えば、本明細書に更に記載されるような)任意適切な機器の形態を取り得る。例えば、低NA検査部は、反射され、透過され、またはその他には1つ以上の光センサに導かれる検出光の一部分に基づいて、光学像を構築し得るか、あるいはレチクルの一部分の強度値を生成し得る。次いで、低NA検査部は、強度値を出力し得、あるいは像が、低NA検査部から出力され得る。
低NA検査具は、入射光ビームがレチクルの各パッチにわたってスキャンする際に、反射光及び/または透過光を検出して収集するように動作可能であり得る。上記したように、入射光ビームは、複数のパッチをそれぞれ備えるレチクル帯状部にわたってスキャンし得る。光は、各パッチの複数の点またはサブ領域からこの入射ビームに応答して収集される。
低NA検査具は、一般に、そのような検出光を、強度値に対応する検出信号に変換するように動作可能であり得る。検出信号は、レチクルの異なる位置での異なる強度値に対応する振幅値を有する電磁波形の形態を取り得る。検出信号はまた、強度値及び関連付けられたレチクル点座標の簡単なリストの形態を取り得る。検出信号はまた、レチクル上の異なる位置またはスキャン点に対応する異なる強度値を有する像の形態を取り得る。レチクルの2つ以上の像が、レチクルの位置の全てがスキャンされて検出信号に変換された後に生成され得、あるいは2つ以上の像の部分が、レチクル全体が完全にスキャンされた後に、各レチクル部分が、レチクルの最終的な2つ以上の像でスキャンされるように生成されてもよい。
検出信号はまた、空中像の形態を取り得る。すなわち、空中結像技術が、ウェハ上で露光されるフォトレジストパターンの空中像を作り出すようにフォトリソグラフィシステムの光学効果をシミュレーションするために使用され得る。一般に、フォトリソグラフィツールの光学部は、レチクルからの検出信号に基づいて空中像を作り出すようにエミュレートされる。空中像は、ウェハのフォトレジスト層の上でフォトリソグラフィ光学部及びレチクルを通過した光から作り出されたパターンに対応する。更に、特定の種類のフォトレジスト材料のためのフォトレジスト露光プロセスもまた、エミュレートされ得る。
入射光または検出光は、任意適切な空間開口を通過し得、任意適切な入射角度で任意の入射または検出光形状を作り出す。実施例として、プログラム可能な照明または検出開口が、特定のビーム形状、例えば、二重形、四重形、クエーサー形、環形等を作り出すために利用され得る。特定の実施例では、光源とマスクの最適化(Source Mask Optimization:SMO)または任意の画素式照明技術が実装され得る。
強度または結像データ402は、ネットワーク408経由でデータ分配システムによって受信され得る。データ分配システムは、受信データ402の少なくとも一部分を保持するための例えばRAMバッファなどの1つ以上のメモリデバイスと関連付けられ得る。好適には、メモリ全体は、データの全区画を保持するのに十分に大きい。例えば、1ギガバイトのメモリは、1百万×1000画素または点である区画にうまく機能する。
データ分配システム(例えば、404a及び404b)はまた、プロセッサ(例えば、406a及び406b)に対する受信した入力データ402の部分の分配を制御し得る。例えば、データ分配システムは、第1のパッチのためのデータを第1のパッチプロセッサ406aに経路設定し得、また、第2のパッチのためのデータをパッチプロセッサ406bに経路設定し得る。複数パッチのための複数組のデータがまた、各パッチプロセッサに経路設定され得る。
パッチプロセッサは、レチクルの少なくとも一部分もしくはパッチに対応する強度値または像を受信し得る。パッチプロセッサはまた、1つ以上のメモリデバイス(図示しない)、例えば受信したデータ部分を保持することなどのローカルメモリ機能を提供する例えばDRAMデバイスなどにそれぞれ結合され得るか統合され得る。好適には、メモリは、レチクルのパッチに対応するデータを保持するのに十分に大きい。例えば、8メガバイトのメモリは、512×1024画素であるパッチに対応する強度値または像にうまく機能する。あるいは、パッチプロセッサは、メモリを共有してもよい。
各組の入力データ402は、レチクルの帯状部に対応し得る。1つ以上の組のデータが、データ分配システムのメモリ内に記憶され得る。このメモリは、データ分配システム内の1つ以上のプロセッサによって制御され得、メモリは、複数の区分に分割され得る。例えば、データ分配システムは、第1のメモリ区分(図示しない)への帯状部の一部分に対応するデータを受信し得、データ分配システムは、第2のメモリ区分(図示しない)への別の帯状部に対応する別のデータを受信し得る。好適には、データ分配システムの各メモリ区分が、そのようなメモリ区分と関連付けられたプロセッサに経路指定されることになるデータの部分のみを保持する。例えば、データ分配システムの第1のメモリ区分は、第1のデータをパッチプロセッサ406aに保持し得、経路設定し得、第2のメモリ区分は、第2のデータをパッチプロセッサ406bに保持し得、経路設定し得る。
データ分配システムは、データの任意適切なパラメータに基づいてデータの各組のデータを定義し得、分配し得る。例えば、データは、レチクル上のパッチの対応する位置に基づいて定義され得、分配され得る。一実施形態では、各帯状部が、帯状部内の画素の水平位置に対応する列位置の範囲と関連付けられる。例えば、帯状部の列0〜256が第1のパッチに対応し得、これらの列内の画素が、第1の像または第1の組の強度値を含むことになり、それが、1つ以上のパッチプロセッサに経路設定される。同様に、帯状部の列257〜512が第2のパッチに対応し得、これらの列における画素が、第2の像または第2の組の強度値を含むことになり、それが、(複数の)異なるパッチプロセッサに経路設定される。
図5Aは、一定の実施形態に係るマスクパターンをフォトマスクMからウェハWの上に転写するために使用され得る典型的なリソグラフィシステム500の簡易概略図である。そのようなシステムの実施例は、スキャナ及びステッパ、より具体的には、Veldhoven、NetherlandsにおけるASMLから利用可能なTWINSCAN NXT:1970Ci Step−and−Scanシステムを含む。一般に、照明源503は、光ビームを、照明光学部507(例えば、レンズ505)を通してマスク面502内に位置するフォトマスクMの上に導く。照明レンズ505は、その面502での開口数501を有する。開口数501の値は、フォトマスク上のどの欠陥がリソグラフィの重大な欠陥であり、どれがそうではないかに影響を及ぼす。フォトマスクMを通過するビームの一部分は、結像光学部513を通して及びウェハWの上に導かれるパターン化された光学信号を形成して、パターン転写を開始する。
図5Bは、一定の実施形態に係るレチクル面552で比較的大きな開口数551bを備える結像レンズを含む照明光学部551aを有する検査システム実施例550の概略図を提供する。描写した検査システム550は、検出光学部553a及び553bを含み、例えば、増強された検査のために60〜200倍率またはそれ以上を提供するように設計された顕微鏡倍率光学部を含む。例えば、検査システムのレチクル面552での開口数551bは、リソグラフィシステム500のレチクル面502での開口数501よりもかなり大きくなり得、それは、テスト検査像と実際に印刷される像の差異を結果としてもらすことになる。
本明細書に記載された検査技術は、例えば、図5Bに概略的に例示されたものなどの、種々の特別に構成された検査システム上に実装され得る。例示されたシステム550は、照明光学部551aを通してレチクル面552内のフォトマスクMの上に導かれる光ビームを作り出す照明源560を含む。光源の実施例は、可干渉性レーザ光源(例えば、深紫外または気体レーザ生成器)、フィルタ式ランプ、LED光源等を含む。一実施例において、光源は、193nmレーザである。上記で説明したように、検査システム550は、対応するリソグラフィシステムのレチクル面開口数(例えば、図5Aにおける要素501)よりも大きくなり得るレチクル面552での開口数551bを有し得る。検査されることになるフォトマスクMは、レチクル面552でマスクステージ上に配置されて、光源に露光される。
マスクMからパターン化された像は、光学要素553aの収集によって導かれ、それは、パターン化された像をセンサ554aの上に投影する。反射システムでは、光学要素(例えば、ビームスプリッタ576及び検出レンズ578)が、反射光をセンサ554bの上に導いて捕捉する。2つのセンサが図示されるが、ただ1つのセンサが、同じレチクル領域の異なるスキャン中に反射光及び透過光を検出するために使用され得る。適切なセンサは、電荷結合素子(CCD)、CCDアレイ、時間遅延積分(time delay integration:TDI)センサ、TDIセンサアレイ、光電子増倍管(PMT)、及び他のセンサを含む。
照明光学列は、マスクステージに対して、及び/またはレチクルのパッチをスキャンするように任意適切な機構によって検出器またはカメラに対して移動されるステージに対して移動され得る。例えば、モータ機構が、ステージを移動させるために利用され得る。モータ機構は、実施例として、ねじ回し及びステッパモータ、フィードバック位置を用いる線形駆動部、または帯域アクチュエータ及びステッパモータから形成され得る。
各センサ(例えば、554a及び/または554b)によって捕捉される信号は、コンピュータシステム573によって、あるいは、より一般的には、1つ以上の信号処理デバイスによって処理され得、それらは、アナログ信号を各センサから処理用のデジタル信号に変換するように構成されたアナログ・デジタル変換器をそれぞれ含み得る。コンピュータシステム573は、典型的には、入出力ポート、及び適切なバスまたは他の通信機構を介して1つ以上のメモリに結合された1つ以上のプロセッサを有する。
コンピュータシステム573はまた、ユーザ入力を提供するための、例えば焦点及び他の検査手法パラメータなどを変更するための1つ以上の入力デバイス(例えば、キーボード、マウス、ジョイスティック)を含み得る。コンピュータシステム573はまた、例えば、サンプル位置を制御する(例えば、焦点合わせする及びスキャンする)ためのステージに接続され得、他の検査パラメータを制御するための他の検査システム構成要素及びそのような検査システム構成要素の構成に接続され得る。
コンピュータシステム573は、結果として得られる強度値、像、及び他の検査結果を表示するためのユーザインターフェース(例えば、コンピュータ画面)を提供するように(例えば、プログラミング命令を用いて)構成され得る。コンピュータシステム573は、反射された及び/または透過された検知光ビームの強度、位相、ならびに/あるいは他の特性を分析するように構成され得る。コンピュータシステム573は、結果として得られる強度値、像、及び他の検査特性を表示するためのユーザインターフェースを(例えば、コンピュータ画面上に)提供するように(例えば、プログラミング命令を用いて)構成され得る。一定の実施形態では、コンピュータシステム573は、上記で詳細に示した検査技術を実行するように構成される
そのような情報及びプログラム命令は、特別に構成されたコンピュータシステム上に実装され得るので、そのようなシステムは、コンピュータ可読媒体上に記憶され得る本明細書に記載された様々な動作を行うためのプログラム命令/コンピュータコードを含む。マシン可読媒体の実施例は、限定されるものではないが、例えばハードディスク、フロッピー(登録商標)ディスク、及び磁気テープなどの磁気媒体、例えばCD−ROMディスクなどの光媒体、例えば光ディスクなどの光磁気媒体、ならびに例えば読み取り専用メモリデバイス(ROM)及びランダムアクセスメモリ(RAM)などのプログラム命令を記憶して実行するように特別に構成されたハードウェアデバイスを含む。プログラム命令の実施例は、例えばコンパイラなどによって作り出された機械コードと、インタプリタを使用してコンピュータによって実行され得るより高いレベルのコードを含有するファイルの両方を含む。
一定の実施形態では、フォトマスクを検査するためのシステムが、本明細書に記載された技術を行うように構成された少なくとも1つのメモリ及び少なくとも1つのプロセッサを含む。検査システムの一実施例は、Milpitas、CaliforniaのKLA−Tencorから利用可能な特別に構成されたTeraScan(商標)DUV検査システムを含む。
上述の発明は、理解の明確さの目的のためにいくらか詳細に記載したが、一定の変更及び修正が添付の特許請求の範囲内で実施され得ることは明らかであろう。本発明のプロセス、システム、及び装置を実施する多くの代替手法が存在することに留意されたい。したがって、本実施形態は、例示的なものであって制限しないものであると考えられることになり、発明は、本明細書に与えられた詳細に限定されない。

Claims (25)

  1. フォトリソグラフィレチクルを認定する方法であって、
    光学レチクル検査具を使用して、前記レチクルの各パターン領域から異なる結像構成で少なくとも2つの像を取得することと、
    前記レチクルの各パターン領域からの各少なくとも2つの像に基づいて、レチクルパターンを再構築することと、
    各再構築されたレチクルパターンについて、そのような再構築されたレチクルパターン上で2つ以上の異なるプロセス条件を用いてリソグラフィプロセスをモデル化して、2つ以上の対応するモデル化されたテストウェハパターンを生成することと、
    各2つ以上のモデル化されたテストウェハパターンを分析して、前記レチクルパターンのホットスポットパターンであって、そのようなホットスポットパターンで形成されるウェハパターンを変える前記異なるプロセス条件の影響を受けやすい、前記レチクルパターンのホットスポットパターンを識別することと、を含む、方法。
  2. 異なる結像条件での前記少なくとも2つの像が、反射像及び透過像を含む、請求項1に記載の方法。
  3. 異なる結像条件での前記少なくとも2つの像が、異なる瞳形状及び/または異なる焦点条件を有する少なくとも2つの反射像を含む、請求項1に記載の方法。
  4. 異なる結像条件での前記少なくとも2つの像が、情報であって、その情報から、マスクパターンの振幅及び位相が数学的反復プロセスによって決定され得る、情報を含む、請求項1に記載の方法。
  5. 前記2つ以上の異なるプロセス条件が、2つ以上の異なる露光及び焦点設定を含む、請求項1に記載の方法。
  6. 前記2つ以上の異なる露光及び焦点設定が、焦点露光マトリックス(FEM)からの複数の露光及び焦点設定を含む、請求項5に記載の方法。
  7. モデル化することが、フォトレジスト材料をモデル化することを含む、請求項5に記載の方法。
  8. モデル化することが、エッチングまたは化学機械平坦化(CMP)プロセスをモデル化することを含む、請求項7に記載の方法。
  9. ホットスポットパターンが、そのようなレチクルを用いた大量ウェハ製作の開始前に、またはそのようなレチクルを用いたあらゆるウェハ製作前に識別される、請求項1に記載の方法。
  10. 複数のOPC(光近接効果補正)後設計パターンに基づいて、複数の基準レチクルパターンをシミュレーションすることと、
    2つ以上の対応するモデル化された基準ウェハパターンを生成するように、各基準レチクルパターン上でモデル化動作を行うことと、を更に含み、
    分析することが、各モデル化されたテストウェハパターンをその対応する基準ウェハパターンと比較することと、そのような比較から結果として生じる差異が所定の閾値を超えるときに、そのようなモデル化されたテストパターンのホットスポットパターンを識別することと、を含む、請求項1に記載の方法。
  11. 前記基準及びテストレチクルパターンの複数の初期ホットスポット位置を識別することと、初期ホットスポット位置のみに対応するモデル化されたテスト及び基準ウェハパターンを生成することと、を更に含む、請求項10に記載の方法。
  12. 各ホットスポットパターンが、その対応する2つ以上のモデル化されたテストウェハパターンが前記2つ以上の異なるプロセス条件の所定の量だけ異なるときに識別される、請求項1に記載の方法。
  13. 各2つ以上のモデル化されたテストウェハパターンを分析することが、複数の対応するOPC後設計パターンを分析して、前記レチクルを製作するために使用される設計に対する前記2つ以上の異なるプロセス条件の効果を前記レチクルに対する前記2つ以上の異なるプロセス条件の効果と区別することを含む、請求項1に記載の方法。
  14. 識別されたホットスポットパターンに対応しないウェハパターンよりも低い閾値を有する前記識別されたホットスポットパターンに対応するそのようなレチクルを用いて製作されるウェハパターンを検査することを更に含む、請求項1に記載の方法。
  15. 識別されたホットスポットパターンに対応する設計パターンを修正することと、そのような修正された設計パターンに基づいて新たなレチクルを製作することと、を更に含む、請求項1に記載の方法。
  16. フォトリソグラフィレチクルを認定するための検査システムであって、
    入射ビームを生成するための光源と、
    レチクルの上に前記入射ビームを導くための照明光学モジュールと、
    前記入射ビームに応答して異なる結像構成で、前記レチクルの各パターン領域から少なくとも1つのセンサに2つ以上の出力ビームを導くための収集光学モジュールと、
    前記2つ以上の出力ビームを検出し、かつ前記2つ以上の出力ビームの2つ以上の像または信号を生成するための少なくとも1つのセンサと、
    以下の動作、
    前記レチクルの各パターン領域からの各少なくとも2つの像に基づいて、レチクルパターンを再構築する動作と、
    各再構築されたレチクルパターンについて、そのような再構築されたレチクルパターン上で2つ以上の異なるプロセス条件を用いてリソグラフィプロセスをモデル化して、2つ以上の対応するモデル化されたテストウェハパターンを生成する動作と、
    各2つ以上のモデル化されたテストウェハパターンを分析して、前記レチクルパターンのホットスポットパターンであって、そのようなホットスポットパターンで形成されたウェハパターンを変える前記異なるプロセス条件の影響を受けやすい、前記レチクルパターンのホットスポットパターンを識別する動作と、を行うように構成されたコントローラと、を備える、検査システム。
  17. 異なる結像条件での前記少なくとも2つの像が、反射像及び透過像を含む、請求項16に記載のシステム。
  18. 異なる結像条件での前記少なくとも2つの像が、異なる瞳形状及び/または異なる焦点条件を有する少なくとも2つの反射像を含む、請求項16に記載のシステム。
  19. 異なる結像条件での前記少なくとも2つの像が、情報であって、その情報からマスクパターンの振幅及び位相が数学的反復プロセスによって決定され得る、情報を含む、請求項16に記載のシステム。
  20. 2つ以上の異なる露光及び焦点設定が、焦点露光マトリックス(FEM)からの複数の露光及び焦点設定を含み、モデル化することが、フォトレジスト材料をモデル化することを含む、請求項16に記載のシステム。
  21. ホットスポットパターンが、そのようなレチクルを用いた大量ウェハ製作の開始前に、またはそのようなレチクルを用いたあらゆるウェハ製作前に識別される、請求項16に記載のシステム。
  22. 前記コントローラが、
    複数のOPC(光近接効果補正)後設計パターンに基づいて、複数の基準レチクルパターンをシミュレーションするように、かつ
    2つ以上の対応するモデル化された基準ウェハパターンを生成するように、各基準レチクルパターン上でモデル化動作を行うように更に構成され、
    分析することが、各モデル化されたテストウェハパターンをその対応する基準ウェハパターンと比較することと、そのような比較から結果として生じる差異が、所定の閾値を超えるときに、そのようなモデル化されたテストパターンのホットスポットパターンを識別することと、を含む、請求項16に記載のシステム。
  23. 前記コントローラが、前記基準及びテストレチクルパターンの複数の初期ホットスポット位置を識別するように、かつ初期ホットスポット位置のみに対応するモデル化されたテスト及び基準ウェハパターンを生成するように更に構成される、請求項22に記載のシステム。
  24. 各ホットスポットパターンが、その対応する2つ以上のモデル化されたテストウェハパターンが前記2つ以上の異なるプロセス条件の所定の量だけ異なるときに識別される、請求項16に記載のシステム。
  25. 各2つ以上のモデル化されたテストウェハパターンを分析することが、複数の対応するOPC後設計パターンを分析して、前記レチクルを製作するために使用される設計に対する前記2つ以上の異なるプロセス条件の効果を前記レチクルに対する前記2つ以上の異なるプロセス条件の効果と区別することを含む、請求項16に記載のシステム。
JP2016536411A 2013-08-20 2014-08-20 フォトリソグラフィレチクル認定方法及びシステム Active JP6594876B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361867939P 2013-08-20 2013-08-20
US61/867,939 2013-08-20
US14/461,638 US9612541B2 (en) 2013-08-20 2014-08-18 Qualifying patterns for microlithography
US14/461,638 2014-08-18
PCT/US2014/051891 WO2015026942A1 (en) 2013-08-20 2014-08-20 Qualifying patterns for microlithography

Publications (2)

Publication Number Publication Date
JP2016532902A true JP2016532902A (ja) 2016-10-20
JP6594876B2 JP6594876B2 (ja) 2019-10-23

Family

ID=52480003

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016536411A Active JP6594876B2 (ja) 2013-08-20 2014-08-20 フォトリソグラフィレチクル認定方法及びシステム

Country Status (6)

Country Link
US (1) US9612541B2 (ja)
JP (1) JP6594876B2 (ja)
KR (1) KR102112901B1 (ja)
CN (1) CN105593984B (ja)
TW (1) TWI618976B (ja)
WO (1) WO2015026942A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7440580B2 (ja) 2017-05-18 2024-02-28 ケーエルエー コーポレイション レチクルを検査する装置および方法

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9395622B2 (en) * 2014-02-20 2016-07-19 Globalfoundries Inc. Synthesizing low mask error enhancement factor lithography solutions
US9183656B2 (en) * 2014-03-11 2015-11-10 Fei Company Blend modes for mineralogy images
US9478019B2 (en) 2014-05-06 2016-10-25 Kla-Tencor Corp. Reticle inspection using near-field recovery
US10312161B2 (en) * 2015-03-23 2019-06-04 Applied Materials Israel Ltd. Process window analysis
US10030965B2 (en) * 2015-05-08 2018-07-24 Kla-Tencor Corporation Model-based hot spot monitoring
US10395361B2 (en) 2015-08-10 2019-08-27 Kla-Tencor Corporation Apparatus and methods for inspecting reticles
CN107851315B (zh) * 2015-08-10 2020-03-17 科磊股份有限公司 用于预测晶片级缺陷可印性的设备及方法
US9747408B2 (en) 2015-08-21 2017-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Generating final mask pattern by performing inverse beam technology process
JP2017053674A (ja) * 2015-09-08 2017-03-16 株式会社ニューフレアテクノロジー パターン幅寸法のずれ量測定方法及びパターン検査装置
TWI581213B (zh) * 2015-12-28 2017-05-01 力晶科技股份有限公司 物品缺陷檢測方法、影像處理系統與電腦可讀取記錄媒體
US10346740B2 (en) * 2016-06-01 2019-07-09 Kla-Tencor Corp. Systems and methods incorporating a neural network and a forward physical model for semiconductor applications
US9929045B2 (en) * 2016-07-14 2018-03-27 Taiwan Semiconductor Manufacturing Company Ltd. Defect inspection and repairing method and associated system and non-transitory computer readable medium
US10599046B2 (en) 2017-06-02 2020-03-24 Samsung Electronics Co., Ltd. Method, a non-transitory computer-readable medium, and/or an apparatus for determining whether to order a mask structure
DE102018125109B4 (de) 2017-11-14 2022-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Optische Nahbereichskorrektur
JP2019139008A (ja) * 2018-02-08 2019-08-22 東芝メモリ株式会社 マスクパターン検証方法及びそのプログラム
KR20210010897A (ko) * 2018-06-15 2021-01-28 에이에스엠엘 네델란즈 비.브이. 기계 학습 기반 역 광 근접 보정 및 공정 모델 캘리브레이션
CN110579937B (zh) * 2019-09-23 2023-01-24 长江存储科技有限责任公司 测试掩模版及其形成方法、测试掩模版的形成装置
CN113791526B (zh) * 2021-10-25 2023-09-15 福建省晋华集成电路有限公司 多重图形化的光刻顺序的确定方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008242112A (ja) * 2007-03-28 2008-10-09 Toshiba Corp マスクパターン評価装置及びフォトマスクの製造方法
JP2008268560A (ja) * 2007-04-20 2008-11-06 Hitachi High-Technologies Corp ホットスポット絞り込み装置、ホットスポット絞り込み方法、ホットスポット絞り込みプログラム、ホットスポット検査装置、および、ホットスポット検査方法
JP2009294123A (ja) * 2008-06-06 2009-12-17 Advanced Mask Inspection Technology Kk パターン識別装置、パターン識別方法及び試料検査装置
JP2011048393A (ja) * 2010-11-09 2011-03-10 Topcon Corp マスク検査装置
WO2012030825A2 (en) * 2010-08-30 2012-03-08 Kla-Tencor Corporation Wafer plane detection of lithographically significant contamination photomask defects

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6902855B2 (en) 2002-07-15 2005-06-07 Kla-Tencor Technologies Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
JP4597859B2 (ja) 2002-07-15 2010-12-15 ケーエルエー−テンカー コーポレイション マイクロリソグラフパターンの製作におけるパターンの認定、パターン形成プロセス、又はパターン形成装置
WO2004040372A1 (en) 2002-11-01 2004-05-13 Systems On Silicon Manufacturing Co. Pte. Ltd. Multi-image reticles
KR100488543B1 (ko) 2002-11-05 2005-05-11 삼성전자주식회사 포토리소그래피 공정용 레티클 제작방법
JP4758358B2 (ja) 2004-01-29 2011-08-24 ケーエルエー−テンカー コーポレイション レチクル設計データにおける欠陥を検出するためのコンピュータに実装される方法
US7629594B2 (en) * 2006-10-10 2009-12-08 Asml Netherlands B.V. Lithographic apparatus, and device manufacturing method
JP4943304B2 (ja) * 2006-12-05 2012-05-30 株式会社 Ngr パターン検査装置および方法
US7995832B2 (en) 2007-01-11 2011-08-09 Kla-Tencor Corporation Photomask inspection and verification by lithography image reconstruction using imaging pupil filters
US7873204B2 (en) * 2007-01-11 2011-01-18 Kla-Tencor Corporation Method for detecting lithographically significant defects on reticles
US8103086B2 (en) 2007-01-11 2012-01-24 Kla-Tencor Corporation Reticle defect inspection with model-based thin line approaches
CN101126905B (zh) * 2007-09-19 2011-12-07 芯硕半导体(合肥)有限公司 具有对焦机构的直写光刻装置
CN101241319B (zh) * 2008-03-06 2010-08-25 上海微电子装备有限公司 具有对准标记体系的机器视觉对准系统及其对准方法
US7932004B1 (en) 2008-10-02 2011-04-26 Kla-Tencor Corporation Feature identification for metrological analysis
US8463016B2 (en) * 2010-02-05 2013-06-11 Luminescent Technologies, Inc. Extending the field of view of a mask-inspection image
US8285030B2 (en) * 2010-03-15 2012-10-09 Synopsys, Inc. Determining calibration parameters for a lithographic process
CN102468199B (zh) * 2010-11-05 2015-04-29 中芯国际集成电路制造(北京)有限公司 一种检测掩膜版雾状缺陷的方法
CN102789133B (zh) * 2011-05-16 2014-09-03 中芯国际集成电路制造(上海)有限公司 一种显影后检查方法
US8855400B2 (en) 2012-03-08 2014-10-07 Kla-Tencor Corporation Detection of thin lines for selective sensitivity during reticle inspection using processed images
KR102052229B1 (ko) 2012-03-20 2019-12-04 케이엘에이 코포레이션 레티클 열화를 검출하기 위한 반사맵 및 투과맵의 사용
US9189705B2 (en) * 2013-08-08 2015-11-17 JSMSW Technology LLC Phase-controlled model-based overlay measurement systems and methods

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008242112A (ja) * 2007-03-28 2008-10-09 Toshiba Corp マスクパターン評価装置及びフォトマスクの製造方法
JP2008268560A (ja) * 2007-04-20 2008-11-06 Hitachi High-Technologies Corp ホットスポット絞り込み装置、ホットスポット絞り込み方法、ホットスポット絞り込みプログラム、ホットスポット検査装置、および、ホットスポット検査方法
JP2009294123A (ja) * 2008-06-06 2009-12-17 Advanced Mask Inspection Technology Kk パターン識別装置、パターン識別方法及び試料検査装置
WO2012030825A2 (en) * 2010-08-30 2012-03-08 Kla-Tencor Corporation Wafer plane detection of lithographically significant contamination photomask defects
JP2011048393A (ja) * 2010-11-09 2011-03-10 Topcon Corp マスク検査装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7440580B2 (ja) 2017-05-18 2024-02-28 ケーエルエー コーポレイション レチクルを検査する装置および方法

Also Published As

Publication number Publication date
JP6594876B2 (ja) 2019-10-23
TWI618976B (zh) 2018-03-21
KR20160044568A (ko) 2016-04-25
KR102112901B1 (ko) 2020-05-19
CN105593984B (zh) 2018-12-04
US20150054940A1 (en) 2015-02-26
US9612541B2 (en) 2017-04-04
TW201514617A (zh) 2015-04-16
CN105593984A (zh) 2016-05-18
WO2015026942A1 (en) 2015-02-26

Similar Documents

Publication Publication Date Title
JP6594876B2 (ja) フォトリソグラフィレチクル認定方法及びシステム
JP7262423B2 (ja) ウエハレベル欠陥の転写性を予測する装置および方法
JP4940056B2 (ja) リソグラフィマスク用の検査方法及び装置
US9547892B2 (en) Apparatus and methods for predicting wafer-level defect printability
US20190206041A1 (en) Inspection of reticles using machine learning
KR101877584B1 (ko) 모델-기반 세선 접근법에 의한 레티클 결함 검사 방법 및 시스템
KR101877583B1 (ko) 리소그래픽적으로 중요한 오염 포토마스크 결함의 웨이퍼 면 검출
US10395361B2 (en) Apparatus and methods for inspecting reticles
JP7440580B2 (ja) レチクルを検査する装置および方法
JP2010515952A (ja) レチクル上のリソグラフィにおいて有意な欠陥を検出する方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170803

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180411

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180417

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20181030

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190128

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190305

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190603

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190802

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190903

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190925

R150 Certificate of patent or registration of utility model

Ref document number: 6594876

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250