KR101885394B1 - 포토리소그래피 마스크에 의해 처리된 웨이퍼 상의 오류를 교정하기 위한 방법 및 장치 - Google Patents

포토리소그래피 마스크에 의해 처리된 웨이퍼 상의 오류를 교정하기 위한 방법 및 장치 Download PDF

Info

Publication number
KR101885394B1
KR101885394B1 KR1020137017957A KR20137017957A KR101885394B1 KR 101885394 B1 KR101885394 B1 KR 101885394B1 KR 1020137017957 A KR1020137017957 A KR 1020137017957A KR 20137017957 A KR20137017957 A KR 20137017957A KR 101885394 B1 KR101885394 B1 KR 101885394B1
Authority
KR
South Korea
Prior art keywords
mask
error
wafer
pattern
overlay
Prior art date
Application number
KR1020137017957A
Other languages
English (en)
Other versions
KR20130132907A (ko
Inventor
디르크 바이에르
블라디미르 디미트리에브
오피르 샤로니
나다프 베르츠만
Original Assignee
칼 짜이스 에스엠티 게엠베하
칼 짜이스 에스엠에스 엘티디
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 칼 짜이스 에스엠티 게엠베하, 칼 짜이스 에스엠에스 엘티디 filed Critical 칼 짜이스 에스엠티 게엠베하
Publication of KR20130132907A publication Critical patent/KR20130132907A/ko
Application granted granted Critical
Publication of KR101885394B1 publication Critical patent/KR101885394B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/7035Proximity or contact printers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

본 발명은 적어도 하나의 포토리소그래피 마스크에 의해 처리된 웨이퍼 상의 적어도 하나의 오류를 교정하기 위한 방법에 관한 것이고, 상기 방법은: (a) 웨이퍼 처리 사이트에서 웨이퍼 상의 상기 적어도 하나의 오류를 측정하는 단계; 및 (b) 상기 적어도 하나의 포토리소그래피 마스크에 국부적으로 지속적인 수정부의 적어도 하나의 배치를 도입함으로써 상기 적어도 하나의 포토리소그래피 마스크를 변형시키는 단계를 포함한다.

Description

포토리소그래피 마스크에 의해 처리된 웨이퍼 상의 오류를 교정하기 위한 방법 및 장치{METHOD AND APPARATUS FOR CORRECTING ERRORS ON A WAFER PROCESSED BY A PHOTOLITHOGRAPHIC MASK}
본 발명은 포토리소그래피 마스크에 의해 처리된 웨이퍼 상의 오류를 교정하는 분야에 관한 것이다.
집적 회로의 감소된 크기로 인하여, 나노임프린트(nanoimprint) 리소그래피의 포토리소그래피 마스크 또는 탬플릿은 감광성 층, 즉 웨이퍼 상에 도포된 포토 레지스트 상에 더 작은 구조를 투영해야 한다. 이러한 요건을 충족시키기 위해, 포토리소그래피 마스크의 노광 파장은 근자외선으로부터 평균 자외선을 거쳐서 전자기 스펙트럼의 원자외선 영역으로 이동하였다. 현재 193nm의 파장이 웨이퍼 상의 포토 레지스트의 노광을 위하여 일반적으로 사용된다. 포토리소그래피 노광 시스템의 해상도를 증가시키기 위하여, 투영 오브젝티브와 웨이퍼 간의 침지 액체로서 물이 종종 사용된다. 결과적으로, 증가하는 해상도를 갖는 포토리소그래피 마스크의 제조가 더욱 복잡해져서 더 많은 비용이 든다. 이후에, 포토리소그래피 마스크는 전자기 스펙트럼의 극자외선(EUV) 파장 범위(약 13.5nm)에서의 훨씬 작은 파장을 사용할 것이다. 더블 패터닝 리소그래피는 수성 193nm 침지 리소그래피와 EUV 리소그래피 간의 차이를 줄일 것이다.
포토리소그래피 마스크는 투과 균질도, 평탄성, 퓨어니스(pureness) 및 온도 안정성에 관한 가장 높은 요건을 충족시켜야 한다. 합리적인 생산량을 갖는 포토리소그래피 마스크를 제조하기 위하여, 마스크의 결함 또는 오류가 제조 처리의 마지막에 교정될 필요가 있다. 다양한 형태의 포토리소그래피 마스크 오류 및 이것의 정정 방법은, 본 명세서에서 참조에 의해 그 전체가 통합된, 출원인의 자회사의 US 가출원 US 61 351 056 및 US 61 363 352에 기재된다.
일반적으로, 포토리소그래피 마스크의 기반은 용융 석영 또는 하나의 표면 상에 얇은 크롬층 또는 다른 비 광투과 물질의 층을 갖는 다른 저열팽창 물질의 초순수(ultra-pure) 기판이다. 포토리소그래피 마스크의 패턴 소자는, 흡수 물질에 패턴 소자를 기록하는, 분자 빔, 대게 전자 또는 개별 레이저 빔을 기반으로 한 소위 패턴 생성기에 의해 생성된다. 후속 에칭 처리에서, 패턴 소자는 포토리소그래피 마스크의 기판상에 형성된다. 도 1은 마스크 제조 처리를 개략적으로 도시한다. 제조 처리의 상세는 본 명세서의 제 5 섹션에서 기재된다.
생성된 마스크 상의 패턴 소자의 정확한 위치는 등록 계측(registration metrology) 도구를 사용하여 측정된다. 포토리소그래피 마스크가 패턴 소자의 최대 허용가능한 포지셔닝 오류를 초과할 때, 마스크가 다시 기록되어야 한다. 재기록 처리 동안, 제 1 기록 처리의 포지셔닝 오류를 교정하는 것이 처음 시도된다. 그러나, 이것은 포지셔닝 오류가 체계적일 경우에만 효과가 있다. 임계 포토리소그래피 마스크의 기록 시간은 매우 길 수 있고 최대 20시간까지의 기간에 달할 수 있다. 그러므로, 포토리소그래피 마스크의 반복된 기록은 상당한 시간이 소요되고 비용이 많이 드는 처리이다.
대안적인 처리에서, 포토그래피 마스크의 포지셔닝 오류는 소위 등록 교정(RegC) 처리의 적용에 의해 최소화될 수 있다. US 61 361 056의 문서에서 기재된 바와 같이, 이러한 처리는 레이저 시스템의 펨토초 또는 초단광 펄스를 사용하여, 포토리소그래피 마스크의 기판 표면상의 패턴 배치의 이동을 야기하는 포토리소그래피 마스크의 기판의 밀도를 국부적으로 변경한다.
구조화된 흡수층을 보호하기 위하여, 펠리클이, 흡수하는 패턴 소자를 갖는 포토리소그래피 마스크의 표면상에 장착된다. 임계 마스크 또는 더욱 정확하게는 오버레이 임계 마스크에 있어서, 패턴 소자의 위치의 측정은 포지셔닝 오류에 대한 펠리클의 영향을 결정하기 위하여 반복되어야 한다. 이러한 처리는 도 1에서 개략적으로 표시된다.
웨이퍼 상의 집적 회로의 발생은 상이한 층 또는 레벨의 구성요소의 제조를 위한 여러 개의 상이한 포토리소그래피 마스크의 연속적인 적용을 요한다. 집적 회로의 생성을 위해 필요한 복수의 포토리소그래피 마스크는 마스크 세트로 불린다. 발달된 집적 회로를 위하여, 마스크 세트는 20 내지 50개의 상이한 포토리소그래피 마스크를 포함할 수 있다. 마스크 제조 처리의 끝에서, 완전한 마스크 세트가 마스크 샵(shop)으로부터 웨이퍼 처리 사이트 또는 웨이퍼 제조 사이트로 운반된다.
웨이퍼 처리 사이트에서, 투영 장치는 마스크 세트의 개별 포토리소그래피 마스크에 의해 웨이퍼를 연속적으로 조명하여 웨이퍼 상의 개별적인 포토레지스티브 층에 다양한 마스크의 패턴 소자를 전사한다. 도 2는 이러한 처리를 개략적으로 나타낸다. 리소그래피 처리 및 후속하는 에칭 처리에 의해, 포토리소그래피 마스크의 패턴 소자가 집적 회로의 개별 층을 형성하는 웨이퍼에 복사된다. 웨이퍼 상의 상이한 포토리소그래피 마스크의 중첩 정확도는, 오버레이(overlay)라고 불리며, 오버레이 계측 시스템을 사용하여 포토리소그래피 마스크로부터 웨이퍼 상의 포토 레지스트 층에 또한 복사된 오버레이 타겟에 의해 결정된다.
연속적인 마스크의 오버레이 오류가 미리결정된 임계값을 초과하는 경우, 투영 장치가 재조정되고 가장 마지막 마스크의 조명이 반복되며 오버레이 오류가 다시 측정된다. 오버레이 오류가 오버레이 예산을 훨씬 뛰어넘을 때, 오류의 근본 원인이 분석되어야 하고 오버레이 규격이 엄격해진다. 개별 마스크가 그 패턴 소자의 재기록을 위하여 마스크 제조 사이트나 마스크 샵으로 다시 보내진다. 먼저 간략하게 언급된 바와 같이, 이러한 복원 또는 재기록 처리는 상당히 시간을 소모하고 웨이퍼 처리 사이트에서의 웨이퍼 처리를 상당히 방해한다.
웨이퍼 처리 사이트에서, 오버레이는 현재 박스 인 박스, 바 인 바(Bar in Bar) 및 AIM(Advanced Imaging Metrology; 발달된 이미징 계측) 오버레이 타겟 - 집적 회로의 스크라이브 라인의 4개의 코너에서 배치됨 - 과 같은 다수의 전용 타겟에서 결정된다. "인-다이 오버레이 계측에 의한 미래의 기술 노드를 위한 오버레이 요건 충족시키기(B.슐츠 외., proc. SPIE Vol. 6518, 2007)"라는 기사는 스크라이브 라인의 표준 등록 측정에 의한 포토리소그래픽 마스크의 품질을 판단하는 것이 다이에서의 구조의 배치를 전혀 대표하지 않는다고 설명한다. 이러한 상황은, 포토리소그래피 마스크의 패턴 배치 오류의 규격이 대표 구조 및 특히 다이 내의 로케이션을 포함하는 더 높은 샘플링 계획을 기초로 할 때에만 개선될 수 있다. 이 기사의 작성자는 또한 오버레이 오류에 대한 펠리클의 영향의 측정을 보고한다. 작성자는, 이러한 컨트리뷰션(contribution)이 1 nm(3σ값)의 범위이되, 이것은 이용가능한 계측 및 오버레이 계측 시스템의 정확도 레벨로 결정되기에 작다고 결론 짓는다.
32nm 기술 노드로의 193nm ArF (아르곤 플루오린) 리소그래피의 연장으로, 포토리소그래피 마스크의 포지셔닝 오류와 웨이퍼 상의 오버레이 정확도에 대한 높은 수요가 생성된다. 32nm 노드에 있어서, 오버레이 예산은 생성될 장치 또는 집적 회로에 따라 약 6nm(3σ값) 까지 감소한다. 더욱이, 출원인은 포지셔닝 오류에 대한 펠리클의 장착의 기여가 상기 언급된 기사에서 평가된 것보다 훨씬 클 수 있는 것을 발견했다. 이러한 오류는 전체 오버레이 예산의 50% 이상을 차지할 수 있는 수 나노미터의 치수에 도달할 수 있다. 이러한 오류는 전체 웨이퍼 제조 처리의 생산량을 감소시키므로 용인될 수 없다. 뿐만 아니라, 펠리클 장착 처리의 영향이 이것의 불충분한 체계로 인하여 먼저 불량하게 교정될 수 있으므로, 이러한 상황은 복잡하다.
32nm 노드 아래에서, 소위 더블 패터닝 기술이 적용되고 이것은 일부 스킴(scheme)을 위한 2.5nm 미만의 오버레이 정확도를 요한다. 더블 패터닝 리소그래피(DPL)에서, 패터닝 해상도를 제한하는 피치 크기는 단일 패터닝에 대하여 2배가 된다. 작성자 P. 짐머만은 "더블 패터닝 리소그래피: 문제가 2배 혹은 재미가 2배?(SPIE News room, 2009년 7월 20일자)"라는 기사에서 다양한 더블 패터닝 접근법을 요약했다. 현재, 3개의 더블 패터닝 변경은 리소그래피 시스템의 적용에 유망할 것으로 보인다:
(a) 리소그래피-에칭-리소그래피-에칭(LELE) 처리는 도 9에 개략적으로 도시되어있다. 하드 마스크(도 9의 하드 마스크 #1)는 패터닝될 층(도 9의 하드 마스크 #2) 상에 증착된다. 웨이퍼는 제 1 포토리소그래피 마스크(제 1 노광, 도 9의 어두운 단)로 노광된다. 하드 마스크 #2는 에칭된다(제 1 에칭). 이로써, 웨이퍼는 제 2 마스크(제 2 노광, 도 9의 어두운 단)로 노광된다. 마지막으로, 하드 마스크 #2가 에칭되어서 이 층에서 제 1 및 마스크의 결합된 패턴을 형성한다.
(b) 도 10은 리소그래피 냉각 리소그래피 에칭(LFLE) 처리를 개략적으로 나타낸다. 이러한 처리는 도 10의 3개의 기둥에 의해 나타나는 제 1 노광의 현상된 포토 레지스트 패턴을 냉각함으로써 작동한다. 이로써, 제 2 포토 레지스트 층은 제 2 노광(도 10에 미도시) 이전에 추가된다. 양쪽 포토리소그래피 마스크의 포토 레지스트 패턴은 이로써 포토 레지스트의 현상 이후의 하나의 단계로 에칭된다.
(c) 자가 정렬 더블 패터닝(SADP) 처리가 도 11에 도시된다. 이 처리는 에칭될 층 상의 포토 레지스트 층의 증착으로 시작한다. 다음 단계에서, 포토 레지스트 층이 노광되고 현상된다.
이어서 스페이서 층이 모든 패턴 소자를 덮는 리소그래피 단계에서 생성된 패턴 위에 증착된다. 덮힌 층은 임의의 릿지(ridge)를 따라 2개의 측벽을 남기고 선택적으로 에칭된다. 다음 단계에서, 포토 레지스트 물질이 제거되고 이 층이 에칭되며 남아있는 스페이서는 에칭 마스크를 형성한다. 최종적으로, 잔여 스페이서가 제거된다.
더블 패터닝 처리에서, 특히, LELE 및 LFLE 처리에서, 임계 치수 균일도(CDU) 및 오버레이 오류는 복잡하다. 더욱이, 더블 패터닝 리소그래피 처리는 CDU와 오버레이 오류를 결합시킨다. "3nm 오버레이 및 임계 치수 균일도를 향하여: 더블 패터닝 리소그래피에 대한 집적 오류 예산"이라는 기사에서, W.A 아놀드는 오류 예산에 대한 다양한 기여를 논의한다. 아놀드는 더블 패터닝 리소그래피 처리가 오버레이 오류뿐만 아니라 CDU에 상당한 영향을 갖는 것을 발견했다. 상기 이미 언급된 바와 같이, 이것은 약 2.5nm의 오버레이 오류 및 1nm 미만의 CDU 변경을 요한다.
그러므로, 본 발명의 하나의 목적은 상기 언급된 현안을 적어도 부분적으로 회피하는 포토리소그래피 마스크에 의해 조명된 웨이퍼 상의 오류를 측정(즉, "계측") 하고 교정하기 위한 방법 및 장치를 제공하는 것이다.
본 발명의 제 1 측면에 있어서, 특허 청구항 1에 따른 방법이 제공된다. 일 실시예에서, 적어도 하나의 포토리소그래피 마스크에 의해 처리된 웨이퍼 상의 적어도 하나의 오류를 교정하는 방법은 웨이퍼 처리 사이트에서 웨이퍼 상의 적어도 하나의 오류를 측정하는 단계 및 적어도 하나의 포토리소그래피 마스크에서의 국부적으로 지속적인 수정부(local persistent modification)의 적어도 하나의 배치를 도입함으로써 적어도 하나의 포토그래피 마스크를 수정하는 단계를 포함한다.
한정된 방법은 웨이퍼 처리 사이트 또는 웨이퍼 제조 사이트에서의 최종 웨이퍼 오버레이의 포토리소그래피 마스크에 의한 웨이퍼의 조명 또는 노광에 의해 생성된 웨이퍼 상의 오류를 측정한다. 그러므로, 본 발명의 방법은 웨이퍼 상의 측정된 오버레이 오류에 영향을 주는 모든 문제를 고려한다. 오버레이 예산이 향후의 기술 노드에 의해 더 줄어들기 때문에, 마스크 오버레이를 위한 전체 오류를 결정하는 것이 의무적이다. 전체 오버레이 오류에 대한 다양한 기여를 분리하고 이것을 분리하여 교정하는 것이 더욱 어려울 것이다. 예컨대, 본 발명의 원칙은 측정된 데이터에 대한 펠리클 장착 처리의 영향을 연역적으로 고려한다. 더욱이, 포토리소그래픽 조명 시스템의 투영 장치의 문제가 또한 자연적으로 고려된다.
본 발명의 원리는 상이한 포토리소그래피 마스크의 조명 처리에 의해 생성된 웨이퍼 상의 오류를 감지한다. 등록 교정(RegC)으로서 알려지고 US 61 351 056 문서에서 기재된 방법은 패턴 소자의 이동 또는 변위의 계산을 허용하여 웨이퍼 상에서 감지된 오류가 개별적인 포토리소그래피 마스크 상의 패턴 배치를 변경함으로써 교정될 수 있다. 이러한 목적에 있어서, 웨이퍼 처리 사이트에서, 레이저 시스템의 펨토초 또는 초단광 펄스가 적용되어서, 요구된 패턴 배치 이동을 유도하는 마스크 기판의 밀도를 변경한다. 이러한 처리에 의하여, 집적 회로의 상이한 층을 나타내는 개별 마스크는 서로에 대해 바로 정렬될 수 있다. 그러므로, 한정된 방법은 상이한 마스크의 정렬 오류를 감소시켜 오버레이 오류의 최소화를 야기한다. 이로써, 본 발명의 방법은 기존에 존재하는 포토리소그래피 마스크의 포함된 재기록을 상당히 회피한다.
추가 측면에서, 웨이퍼 처리 사이트에서의 포토리소그래피 마스크에 의해 처리된 웨이퍼 상의 오류를 교정하기 위한 방법은 웨이퍼 상의 오류를 측정하는 단계 및 웨이퍼 처리 사이트에서의 포토리소그래피 마스크에 레이저 시스템의 펨토초 광 펄스를 국부적으로 적용함으로써 포토리소그래피 마스크 상의 패턴 배치를 변경하는 단계를 포함한다.
본 발명의 방법은 포토리소그래피 마스크의 국부 CD 오류 및 오버레이 오류를 보상할 수 있고, 즉, 이 오류는 투영 시스템의 스캐너의 스케일링 및 직교성(S/O) 교정으로 교정할 수 없는 오류이다. 그러므로, 포토리소그래피 마스크 또는 단순히 마스크의 국부적 오류를 교정하는데 아주 적합하여, 집적 회로(IC)의 제조에서의 적은 오버레이 오류를 생성한다. 그러므로, 본 발명의 방법은 타이트한 오버레이 오류 예산을 갖는 DPL 처리를 사용하는 차후의 기술 노드의 도입을 지원한다.
추가 측면에서, 웨이퍼 상의 적어도 하나의 오류는 복수의 포토리소그래피 마스크의 적어도 하나의 패턴 배치 오류 및/또는 적어도 하나의 임계 치수 오류 및/또는 적어도 하나의 오버레이 오류를 포함한다.
본 발명의 방법은 포토리소그래피 마스크 상의 패턴 배치 오류 및/또는 마스크 가열, 패턴 충실도에 영향을 주는 기계적인 얼룩 및 웨이퍼 레벨 상의 오버레이 오류에 대한 추가 기여자 뿐만 아니라 포토리소그래피 조명 시스템에서 사용된 투영 장치의 정렬 문제로 인한 오버레이 오류의 교정에 한정되지 않는다. 또한, 웨이퍼 전체에 걸친 CD(임계 치수) 오류를 야기하는 광학 투과도의 변화를 교정하기 위해 사용될 수 있다. 더욱이, 본 발명의 방법은 오류의 양쪽 형태를 동시에 교정하는 것을 허용한다.
또 다른 측면에서, 웨이퍼 상의 적어도 하나의 오류가 적어도 하나의 펠리클 장착 오류 및/또는 포토리소그래피 투영 노광 시스템의 적어도 하나의 이미징 오류를 포함한다.
하나 또는 여러개의 포토리소그래피 마스크에 의해 생성된 오류가 웨이퍼 처리 사이트에서 측정되고, 이로써 감지된 오류(들)는 실제 생산 환경의 모든 기여를 포함한다. 개별 마스크의 오류 외에도, 이것은 또한 마스크 상의 펠리클의 장착으로 인해 발생하는 결함 부분 및 투영 노광 시스템의 교정불가능한 결함을 포함한다.
또 다른 측면에 따르면, 적어도 하나의 오버레이 오류는 다수의 패터닝 리소그래피 처리에서 사용되는 적어도 2개의 포토리소그래피 마스크 중 적어도 하나의 마스크의 적어도 하나의 오류를 포함한다.
다수의 패터닝 리소그래피 처리는 2중, 3중, 4중 등의 패터닝 리소그래피 처리가 될 수 있다. 다수의 패터닝 리소그래피는 웨이퍼 상의 단일 층의 프린팅을 위해 다수의 포토리소그래피 마스크를 요한다. 그러므로, 다수의 패터닝 리소그래피 처리는 오버레이 오류에 새로운 기여를 더한다. 이것은, 웨이퍼 상의 단일 층을 위한 패턴을 형성하는데 사용되는 2개 또는 여러개의 마스크의 배치 오류이다.
추가 측면에서, 적어도 하나의 오버레이 오류는 더블 패터닝 리소그래피 처리에서 사용되는 적어도 2개의 포토리소그래피 마스크 중 적어도 하나의 마스크의 적어도 하나의 오류를 포함한다. 또 다른 측면에서, 더블 패터닝 리소그래피 처리는 리소그래피-에칭-리소그래피-에칭(LELE) 처리, 리소그래피-냉각-리소그래피-에칭(LFLE) 처리 또는 자가정렬 더블 패터닝(SADP) 처리를 포함한다.
상기 언급된 바와 같이, DPL 처리는, 매우 타이트한 오류 예산, 특히 CDU에 관한 예산 및 오버레이 오류가 충족될 수 있을 경우 추가 기술 노드의 도입을 가능하게 하는 리소그래피 기술로서 현재 주목받는다. 다양한 특성 또는 한정된 방법은 이러한 오류를 상당히 감소시킬 수 있다.
또 다른 측면에 있어서, 적어도 하나의 오류를 측정하는 단계는 하나의 (인-다이) 칩의 활성 영역의 적어도 하나의 오류를 측정하는 단계를 포함한다.
상기 언급된 바와 같이, 32nm 기술 노드 및 차후의 기술 노드는 다이의 4개의 코너에서의 스크라이브 라인이 아닌 다이 그 자체(인-다이) 상의 일반적인 그리드에서의 패턴 배치의 감지를 요할 것이다. 이 방법은 인-다이 패턴 소자의 포지셔닝 오류의 측정을 지원한다. 특히, 전체 계측 시스템이 이용가능하고, 이것은 서브나노미터 범위의 포지셔닝 오류의 측정을 가능하게 하여서 오버레이 오류에 대한 기여가 이제 감지될 수 있고 이것은 아직까지는 이용불가능하다.
또 다른 측면에서, 적어도 하나의 오류를 측정하는 단계는 주사 전자 현미경 및/또는 스케터로미터를 사용하는 단계를 포함한다.
웨이퍼 상의 오류를 감지하기 위해 전자뿐만 아니라 광자(photon)를 사용할 수 있는 포괄적인 계측 시스템의 적용은 고차 다항식, 측 높은 해상도 및 정확도를 갖는 웨이퍼 상 필드내(on-wafer intra-field) 오버레이 계측을 가능하게 한다. 이것은 모든 오버레이 계측 사용 경우를 포함할 수 있다. 더욱이, 마스크의 국부적으로 지속적인 수정부의 배치의 도입에 전형적으로 사용되는 레이저원이 개별 도구에 의해 수행될 수 있거나 포괄적인 계측 시스템에서 통합될 수 있다. 이것은 상기 한정된 교정 방법의 두 단계가 단일 도구에서 결합될 수 있음을 의미한다. 그러므로, 한정된 교정 방법은 웨이퍼 처리 사이트에서 완전히 수행되어 치수, 패턴 배치 및 웨이퍼 상에 배치된 패턴간의 오버레이를 위한 웨이퍼 제조 규격 내에서 웨이퍼 상의 고품질 패턴을 성취하기 위한 최종 목표를 성취할 수 있다. 또한 웨이퍼 처리 사이트에서 웨이퍼 상의 오류를 측정하고 마스크 샵 또는 다른 곳에서 마스크를 변경하거나 교정하는 것 또는 그 반대가 가능하다.
처리가 웨이퍼 데이터를 기반으로 수행될 때, 양쪽 마스크 오류 및 포토리소그래피 처리 오류(즉, 투영, 마스크 배치, 포토 레지스트 처리 및 포토리소그래피처리 및 장비 모듈의 추가 구성요소의 오류) 모두가 처리된다.
다른 측면에 있어서, 적어도 하나의 에러의 인-다이 측정 단계는 웨이퍼 상의 적어도 하나의 2차원 및/또는 적어도 하나의 3차원 구조의 이동을 측정하는 단계 및/또는 이미징 기반 또는 모델-모델 기반 계측 방법에 의한 적어도 하나의 2차원 및/또는 적어도 하나의 3차원 구조의 타원율(ellipticity)을 측정하는 단계를 포함한다. 또 다른 추가 측면에서, 적어도 하나의 오류의 인-다이 측정은 적어도 하나의 콘택트 홀의 이동을 측정하는 단계 및/또는 주사 전자 현미경으로 적어도 하나의 콘택트 홀의 타원율 측정하는 단계를 포함한다.
복수의 콘택트 홀, 또는 더욱 일반적으로 2차원 및/또는 3차원 구조의 이동 및 타원율의 결정은 더블 패터닝 리소그래피 처리 또는 더욱 일반적으로 2차원 패턴을 사용하는 다수의 패터닝 리소그래피 처리의 하나 또는 양쪽의 마스크의 오버레이 오류 및/또는 CDU 오류를 감지하는 것을 가능하게 한다.
또 다른 측면에서, 웨이퍼 상의 적어도 하나의 오류의 인-다이 측정은 적어도 하나의 포토리소그래피 마스크의 국부적으로 지속적인 수정부의 적어도 하나의 배치를 위한 인-다이 플래그를 제공한다.
웨이퍼 상의 오류 감지의 정확도의 증가와 병렬로, 인-다이 측정은 감지된 오류를 교정하거나 보상하는 포토리소그래피 마스크의 국부적으로 지속적인 수정부의 배치를 포지셔닝할 위치의 정보를 제공한다.
추가 측면에 있어서, 적어도 하나의 오류를 측정하는 단계는 웨이퍼 상의 현상된 포토 레지스트 층 및/또는 웨이퍼 상에서 측정하는 단계를 포함한다.
웨이퍼 상의 오버레이 오류의 측정은 웨이퍼 상에서 현상된 포토 레지스트에서 수행될 수 있다. 그러므로, 감지된 오류가 미리결정된 임계값 미만이면, 웨이퍼의 처리가 지속될 수 있다. 감지된 오류가 허용가능한 레벨을 초과하는 경우, 마지막 조명 또는 노광 단계는 웨이퍼로부터 포토 레지스트를 제거하고 포토 레지스트의 새로운 층을 도포함으로써 반복될 수 있다. 제 2 조명 이전에, 포토리소그래피 마스크의 오류는 또한 교정된다.
또 다른 측면에서, 적어도 하나의 포토리소그래피 마스크는 펠리클을 포함한다.
또 다른 측면에서, 국부적으로 지속적인 수정부의 적어도 하나의 배치를 도입하는 단계는 적어도 하나의 포토리소그래피 마스크 상에 레이저 시스템의 초단광 펄스를 국부적으로 적용하는 단계를 포함한다.
추가 측면에 있어서, 포토리소그래피 마스크를 수정하는 단계는 국부적으로 지속적인 수정부의 적어도 하나의 배치를 도입함으로써 포토리소그래피 마스크의 패턴 배치 및 또는 광학적 투과도를 변경하는 단계를 포함한다. 추가 측면에 있어서, 국부적으로 지속적인 수정부의 적어도 하나의 배치를 도입하는 단계는 포토리소그래피 마스크에 걸친 광학적 투과도의 변경을 도입하지 않는다. 또 다른 측면에 있어서, 국부적으로 지속적인 수정부의 적어도 하나의 배치를 도입하는 단계는 포토리소그래피 마스크의 패턴 배치 오류 및/또는 광학적 투과도 오류를 교정한다.
상기 간략하게 언급된 바와 같이, 예컨대 레이저 시스템의 펨토초 또는 초단광 펄스는, 포토리소그래피 마스크의 표면 상의 패턴 소자를 미리 결정된 위치로 이동하는 포토리소그래피 마스크의 기판의 픽셀이라 불리는 국부적 밀도 변경 배치를 기록할 수 있다. 기판의 유도된 밀도 변경은 포토리소그래피 마스크의 표면 상의 패턴 배치 오류를 교정하여 마스크의 오버레이 오류를 최소화한다. 반대로, 픽셀의 배치는 포토리소그래피 마스크에 걸친 광학적 투과도의 변경을 교정하는 마스크 기판에 기록될 수 있어서, CD 오류는 포토리소그래피 마스크 기판의 표면 상의 패턴 소자의 이동을 유도하지 않고 교정될 수 있다. 더욱이, 픽셀의 배치는 한정되고 기록될 수 있으며 이것은 패턴 배치 오류 및 광학적 투과도 오류 모두를 교정한다.
또 다른 측면에서, 국부적으로 지속적인 수정부의 적어도 하나의 배치를 도입하는 단계는 포토리소그래피 마스크의 기판의 밀도를 국부적으로 변경한다. 다른 측면은 기판의 높이의 중앙에 국부적으로 지속적인 수정부의 적어도 하나의 배치를 도입하는 단계를 포함한다.
마스크 기판의 중앙에 픽셀을 기록하는 단계는 개별 포토리소그래피 마스크로 조명된 웨이퍼 상의 추가 오류를 초래하는 이미지 결함을 도입할 수 있는 기판의 굽힘을 회피한다.
추가 측면에서, 포토리소그래피 마스크는 투과형 포토리소그래피 마스크 및/또는 반사형 마이크로리소그래피 마스크 및/또는 나노임프린트 리소그래피를 위한 탬플릿을 포함한다.
복수의 임의의 종류의 마스크에 의존하는 웨이퍼 상의 집적 회로의 생성은 상이한 마스크의 패턴 소자의 정렬에 관한 문제를 갖는다. 그러므로, 본 발명의 방법은 이러한 웨이퍼 제조 처리에서 일어나는 오버레이 오류를 해결하거나 적어도 상당하게 감소시키기 위해 사용될 수 있다.
다른 측면에 있어서, 오류는 대다수의 포토리소그래피 마스크의 적어도 하나의 오버레이 오류이고, 이 방법은 대다수의 포토리소그래피 마스크 중 적어도 하나에서의 국부적으로 지속적인 수정부의 적어도 하나의 배치를 도입함으로써 적어도 하나의 오버레이 오류를 교정하는 단계를 더 포함한다. 추가 측면에서, 대다수의 포토리소그래피 마스크는 다수의 패터닝 리소그래피 처리에 사용된다.
유리한 측면에서, 오류는 적어도 하나의 제 1 포토리소그래피 마스크 및 적어도 하나의 제 2 포토리소그래피 마스크의 적어도 하나의 오버레이 오류이고, 이 방법은 적어도 하나의 제 1 포토리소그래피 마스크 및/또는 적어도 하나의 제 2 포토리소그래피 마스크의 국부적으로 지속적인 수정부의 적어도 하나의 배치를 도입함으로써 적어도 하나의 오버레이 오류가 최소화되도록 적어도 하나의 오버레이 오류를 교정하는 단계를 더 포함한다.
추가 측면에 있어서, 다수의 포토리소그래피 마스크는 다수의 포토리소그래피 처리에서 사용된다. 다른 측면에서, 적어도 하나의 제 1 포토리소그래피 마스크 및 적어도 하나의 제 2 포토리소그래피 마스크는 더블 패터닝 리소그래피 처리를 위해 사용된다.
앞선 2개의 단락에서 한정된 오버레이 오류 교정 방법은 다수의 노광을 사용하는 다수의 패터닝 리소그래피(MPL) 처리에 적용될 수 있다. 특히, 이것은 이중 노광을 사용하는 DPL 처리에 적용될 수 있다. 이중 패터닝 리소그래피 처리에서, 종종 2개의 마스크가 웨이퍼의 단일 층의 패턴을 생성하기 위해 사용된다. 이러한 마스크 중 하나 또는 모두는 DPL 처리의 오버레이 오류를 나타내는 오류에 기여할 수 있다. 결과적으로 DPL 처리에서 사용된 양쪽 마스크의 오류 부분이 측정되고 이러한 마스크 중 하나 또는 모두가 교정되어서 DPL 처리의 오버레이 오류를 최소화한다.
추가 측면에서, 적어도 하나의 오버레이 오류를 교정하는 단계는 적어도 하나의 제 1 포토리소그래피 마스크에서 국부적으로 지속적인 수정부의 적어도 하나의 제 1 배치를 도입하는 단계 및/또는 적어도 하나의 제 2 포토리소그래피 마스크의 국부적으로 지속적인 수정부의 적어도 하나의 제 2 배치를 도입하는 단계를 포함한다. 다른 측면에 있어서, 국부적으로 지속적인 수정부의 적어도 하나의 제 1 배치는 국부적으로 지속적인 수정부의 적어도 하나의 제 2 배치와 상이하다.
DPL 처리의 패턴의 생성을 위해 사용된 마스크 중 하나 또는 모두를 수정하는 것이 가능하다. 그러므로, 제 1 마스크 상의 오버레이 오류의 제 1 부분 및 제 2 마스크 상의 오버레이 오류의 제 2 부분을 보상하는 것이 가능하다. 그러므로, 양쪽 마스크의 국부적으로 지속적인 수정부의 배치는 유사하거나 상이할 수 있다.
또 다른 측면에서, 적어도 하나의 오버레이 오류는 다수의 패터닝 리소그래피 처리의 제 1 포토리소그래피 마스크의 적어도 하나의 임계 치수 균일도 및/또는 제 2 포토리소그래피 마스크의 적어도 하나의 패턴 배치 오류를 포함한다. 다른 측면에서, 다수의 패터닝 리소그래피 처리는 1차원의 패턴을 사용한다.
추가 측면에 있어서, 적어도 하나의 오버레이 오류는 더블 패터닝 리소그래피 처리의 제 1 포토리소그래피 마스크의 적어도 하나의 임계 치수 균일도 오류 및/또는 제 2 포토리소그래피 마스크의 적어도 하나의 패턴 배치 오류를 포함한다. 추가 측면에서, 더블 패터닝 리소그래피 처리는 1 차원의 패턴을 사용한다. 또 다른 측면에서, 적어도 하나의 오버레이 오류를 교정하는 단계는 패턴 배치 수정을 유도하는 적어도 하나의 제 1 포토리소그래피 마스크의 국부적으로 지속적인 수정부의 적어도 하나의 배치를 도입하는 단계 및/또는 광학적 투과도의 변경을 유발하는 적어도 하나의 제 2 포토리소그래피 마스크의 국부적으로 지속적인 수정부의 적어도 하나의 배치를 도입하는 단계를 포함한다.
추가 유익한 측면에 있어서, 웨이퍼 상의 적어도 하나의 오류를 측정하는 단계는: (a) 테스트 패턴을 갖는 테스트 마스크를 생성하는 단계, (b) 웨이퍼 상의 테스트 마스크의 테스트 패턴을 프린트하고 에칭하는 단계, (c) 웨이퍼의 테스트 패턴 상의 포토리소그래피 마스크 패턴을 프린트하고 에칭하는 단계 및 (d) 포토리소그래피 마스크의 적어도 하나의 패턴 소자 및 테스트 마스크의 적어도 하나의 개별 테스트 패턴 소자의 차이점으로서 적어도 하나의 오류를 결정하는 단계를 포함한다.
추가 유리한 측면에 있어서, 웨이퍼 상의 적어도 하나의 오류를 측정하는 단계는 (a) 테스트 패턴을 갖는 테스트 마스크를 생성하는 단계, 테스트 마스크 등록, 임계 치수 및/또는 결함율을 측정하는 단계 및 웨이퍼 상의 전체 오버레이된 구조의 모든 오버레이 및 임계 치수 이동을 위한 입력으로서 측정된 데이터를 기록하는 단계; (b) 웨이퍼 상의 테스트 마스크의 제품 패턴의 테스트 패턴을 프린트하고 에칭하는 단계, 프린트된 테스트 마스크 등록, 임계 치수 및/또는 결함율을 측정하는 단계 및 웨이퍼 상의 전체 오버레이된 구조의 모든 오버레이 및 임계 치수 이동을 위한 입력으로서 측정된 데이터를 기록하는 단계; (c) 웨이퍼의 테스트 패턴 또는 제품 패턴 상의 포토리소그래피 마스크 패턴을 프린트하고 에칭하는 단계 - 테스트 마스크 패턴은 마스크 패턴을 생성하기 전에 측정되고 그 반대도 가능 - , 및 (d) 웨이퍼 상의 전체 오버레이된 구조를 성취하기 위하여 각각의 프린트된 층의 등록 이동 및/또는 임계 치수 이동의 기록된 측정 데이터를 기초로 하여 포토리소그래피 마스크의 적어도 하나의 패턴 소자와 테스트 마스크의 적어도 하나의 개별 패턴 소자의 차이점으로서 적어도 하나의 오류를 결정하는 단계를 포함한다.
또 다른 측면에 있어서, 테스트 마스크를 프린트하고 에칭하는 단계 및 포토리소그래피 마스크를 에칭하는 단계는 단일 패터닝 리소그래피 처리 또는 다수의 패터닝 리소그래피 처리를 포함한다.
또 다른 측면에 있어서, 테스트 마스크를 프린트하고 에칭하는 단계 및 포토리소그래피 마스크를 에칭하는 단계는 더블 패터닝 리소그래피 처리를 포함한다.
테스트 마스크의 테스트 패턴은 미리 결정된 형태를 갖기 위해 상세하게 조사되고 미리 결정된 위치에서 배치되는 참조 패턴을 제공한다. 그러므로, 테스트 마스크 및 단일 MPL 처리의 웨이퍼 제조 처리에서 사용된 마스크의 오버레이 오류의 주요 부분은 생산 마스크로부터 나온다. 이것은, 포토리소그래피 테스트 마스크의 패턴이 포토리소그래피 생산 마스크의 패턴에 적합해야 하는 것을 의미한다.
또 다른 측면은 단일 패터닝 리소그래피 또는 다수의 패터닝 리소그래피 처리에서 사용되는 포토리소그래피 마스크의 패턴 소자에 관한 테스트 마스크의 복수의 테스트 패턴 소자의 이동으로부터 오버레이 오류를 결정하는 단계를 더 포함한다.
다른 측면은, 더블 패터닝 리소그래피 처리에서 사용된 포토리소그래피 마스크의 패턴 소자에 관한 테스트 마스크의 복수의 테스트 패턴 소자의 이동으로부터 오버레이 오류를 결정하는 단계를 더 포함한다. 또 다른 측면은 스케일링 및 직교성 교정 기능 또는 스캐너 또는 스텝퍼를 사용함으로써 오버레이 오류를 교정하는 단계를 더 포함한다.
마스크의 국부적 오류의 감지 외에, 테스트 마스크의 테스트 패턴은 또한 DPL 처리의 테스트 마스크로 사용되는 포토리소그래피 마스크의 오버레이 오류를 감지하기 의해 사용될 수 있다.
또 다른 측면에 있어서, 테스트 패턴은 인-다이 테스트 특성을 포함한다.
상기 언급된 바와 같이, 이러한 특성은 오류 결정에서의 높은 해상도를 보장한다. 더욱이, 이러한 특성은 위치의 정확한 결정을 허용하고, 이 위치에서 교정 픽셀은 포토리소그래피 마스크의 기판에 도입되어야 한다.
추가 측면은 밀집 패턴 소자, 중간 밀집 패턴 소자 및 고립된 패턴 소자를 갖는 테스트 패턴을 포함한다.
리소그래피의 근접 효과 및 에칭 부하 효과로 인하여, 마스크 상의 동일한 폭을 갖는 밀집 라인 및 고립된 라인의 폭은 웨이퍼 상에서 상이하다. 테스트 패턴 상에서 이용가능한 밀집 라인 및 고립된 라인을 갖는 것은 광학적 근접 교정(OPC)으로서 보조 특성뿐만 아니라 패턴 소자 양쪽 상의 마스크의 효과를 제어한다. 테스트 마스크 패턴은, 상기 고려의 교정을 위한 입력으로서 이후에 사용될 예정인 고품질 계측 데이터를 생성하기 위한 패턴 치수, 배치, 오버레이 및 충실도에 관련하여 고려되어야 한다.
또 다른 유리한 측면에 있어서, 테스트 마스크의 테스트 패턴은 적어도 하나의 오버레이 임계 포토리소그래피 마스크의 적어도 하나의 오버레이 오류를 결정하기 위하여 적응된 패턴 소자를 포함한다. 또 다른 측면은 각각의 오버레이 임계 포토리소그래피 마스크의 오버레이 오류를 측정하는 단계를 더 포함한다.
오버레이 임계 마스크의 오류(들)를 측정함으로써, IC 제조 처리의 오버레이 오류의 오류 예산이 제어될 수 있다. 오버레이 임계 마스크의 오류(들)를 보상함으로써, MPL 또는 DPL의 타이트한 오류 예산이 충족될 수 있다.
또 다른 측면은, 자가 정렬 더블 패터닝 처리에서 사용된 포토리소그래피 마스크의 국부적으로 지속적인 수정부의 적어도 하나의 배치를 도입하는 단계를 포함한다. 또 다른 측면은 자가 정렬 더블 패터닝 처리에서 사용된 웨이퍼 상의 희생층의 국부적으로 지속적인 수정부의 적어도 하나의 배치를 도입하는 단계를 더 포함한다. 추가 측면에 있어서, 국부적으로 지속적인 수정부의 적어도 하나의 배치는 웨이퍼 상에 배치된 희생층의 임계 치수 균일도 오류를 교정한다.
SADP 처리의 희생층의 CDU 오류(들)는 최종 에칭된 패턴의 오버레이 유사 오류(들)를 야기한다. 이러한 효과는 "피치 워킹"으로 알려진다. 에칭 마스크를 형성하는 스페이서 소자의 인-다이 측정에 의하여, SADP 처리의 라인 및 공간의 변경이 마스크의 광학적 투과도를 국부적으로 변경함으로써 보상될 수 있으므로, 수정되거나 교정된 마스크에 의해 생성된 패턴은 라인 및 공간 변경을 상쇄한다.
추가 측면에 있어서, 포토리소그래피 마스크에 의해 처리된 웨이퍼 상의 적어도 하나의 오류를 교정하기 위한 장치는 (a) 웨이퍼 처리 사이트 및/또는 마스크 샵에 위치되고 웨이퍼 상의 적어도 하나의 오류를 측정하기 위해 적응된 적어도 하나의 계측 시스템, (b) 적어도 하나의 측정된 오류 상에 기초한 적어도 하나의 오류 교정 수단을 위한 파라미터를 계산하도록 적응된 적어도 하나의 컴퓨팅 수단, 및 (c) 초단광 펄스를 적용함으로써 포토리소그래피 마스크의 국부적으로 지속적인 수정부의 적어도 하나의 배치를 도입하기 위해 적응된 적어도 하나의 오류 교정 수단을 포함한다.
다른 측면에 있어서, 웨이퍼 처리 사이트에서 포토리소그래피 마스크에 의해 처리된 웨이퍼 상의 오류를 교정하기 위한 장치는, (a) 웨이퍼 상의 오류를 측정하기 위하여 적응된 적어도 하나의 오버레이 계측 시스템, (b) 측정된 오류 데이터로부터의 포토리소그래피 마스크를 위한 펨토초 광 펄스의 배치를 계산하기 위하여 적응된 적어도 하나의 컴퓨팅 수단, 및 (c) 포토리소그래피 마스크 상의 펨토초 광 펄스의 배치를 적용함으로써 포토리소그래피 마스크 상의 패턴 배치를 변경하기 위해 적응된 적어도 하나의 레이저 시스템을 포함한다.
추가 측면에 있어서, 장치는 상기 기재된 측면들 중 어느 하나에 따른 방법을 수행하도록 적응된다.
추가 측면에 따라, 적어도 하나의 오류 교정 수단은 적어도 하나의 레이저 시스템을 포함한다. 다른 측면에 있어서, 적어도 하나의 레이저 시스템은 초단 레이저 펄스, 특히, 펨토초 레이저 펄스를 생성하기 위해 적응된다.
마지막으로, 다른 측면에 있어서, 적어도 하나의 계측 시스템은 초고정밀 스테이지, 적어도 하나의 레이저원 및 자외선 파장 범위에서 동작하는 적어도 하나의 전하 결합 장치 카메라 및/또는 주사 전자 현미경 및/또는 스케터로미터 및/또는 이미지 기반 또는 모델 기반 계측 시스템을 포함한다.
본 발명의 더 나은 이해와 이것의 실질적인 응용을 이해하기 위하여, 이하의 도면이 제공되고 아래에서 참조된다. 이하의 도면들은 오직 예시로서 주어지며 본 발명의 권리범위를 한정하지 않는 것이 이해되어야 한다.
도 1은 선행 기술에 따른 한 세트의 포토리소그래피 마스크의 제조 처리의 흐름도를 개략적으로 나타낸다;
도 2는 선행 기술에 따른 웨이퍼 처리 사이트에서의 한 세트의 포토리소그래피 마스크의 사용 경우의 흐름도를 개략적으로 나타낸다;
도 3은 웨이퍼 상의 오버레이 오류를 측정하기 위해 사용된 장치의 주요 구성 요소의 일부의 블록 다이어그램을 개략적으로 나타낸다;
도 4는 포토리소그래피 마스크 기판에서 오버레이 오류를 교정하기 위해 사용된 장치의 블록 다이어그램을 개략적으로 나타낸다;
도 5는 본 발명의 방법의 일 실시예에 따른 한 세트의 포토리소그래피 마스크의 제조 처리의 흐름도를 개략적으로 나타낸다;
도 6은 본 발명의 방법의 일 실시예에 따른 웨이퍼 처리 사이트에서의 한 세트의 포토리소그래피 마스크의 사용 경우의 흐름도를 개략적으로 나타낸다;
도 7은 다이의 스크라이브 라인에서 측정된 변위 벡터 맵들을 개략적으로 도시한다.
도 8은 정상적인 그리드 노드에서, 즉 다이의 스크라이브 라인 및 인-다이에서 측정된 변위 벡터 맵을 개략적으로 도시한다.
도 9는 리소그래피-에칭-리소그래피-에칭(LELE) 처리의 처리 단계를 개략적으로 도시한다;
도 10는 리소그래피-냉각-리소그래피-에칭(LFLE) 처리의 처리 단계를 개략적으로 도시한다;
도 11은 자가-정렬 더블 패터닝(SADP) 처리의 처리 단계를 개략적으로 도시한다;
도 12는 LELE 또는 LFLE 처리로 생성된 1차원의 패턴의 컷아웃의 임계 치수 균일도 및 오버레이 오류를 개략적으로 도시한다.
도 13은 LELE 또는 LFLE 처리로 생성된 2차원의 패턴의 컷아웃을 개략적으로 도시한다;
도 14는 도 13을 반복하고, 가로 패턴이 오버레이 오류를 가진다;
도 15는 도 13을 도시하고, 세로 패턴이 임계 치수 균일도 오류를 가진다;
도 16은 도 13을 반복하고, 가로 패턴이 오버레이 오류를 가지고 세로 패턴이 임계 치수 균일도 오류를 가진다;
도 17은 임계 균일도 오류로 인하여 타원형으로 변경된 도 15의 확장된 콘택트 홀을 개략적으로 도시한다;
도 18은 자가-정렬 더블 패터닝(SADP) 처리의 처리 단계 및 이 단계의 변경을 개략적으로 도시한다;
도 19는 테스트 마스크의 테스트 패턴을 개략적으로 도시한다;
도 20a는 높은 정확도, 민감도 및 정밀도의 고해상도 계측을 가능하게 하기 위하여 표면 및 포토레지스트 층에 대한 높은 광학적 콘트라스트를 갖는 하드 마스크 필름의 도입으로 인하여 평면을 필수적으로 갖는 LELE 처리의 제 2 리소그래피-에칭 단계의 수행 이후 웨이퍼 상의 포토 레지스트를 개략적으로 도시한다.
도 20b는 확실한 표면 토포그래피를 갖는 LELE 처리의 제 2 리소그래피-에칭 단계를 실행한 후 웨이퍼 상의 포토 레지스트를 개략적으로 도시한다.
도 21은 세로 라인 공간 특성을 갖는 마스크 패턴 및 테스트 마스크 패턴의 LELE 처리를 개략적으로 도시한다;
도 22는 DPL 처리에서 사용된 마스크의 콘택트 홀 및 테스트 마스크 패턴의 LELE 처리를 개략적으로 도시한다;
도 23은 가로 라인 공간 특성을 갖는 마스크 패턴 및 테스트 마스크 패턴의 LELE 처리를 개략적으로 도시한다;
도 24는 도 19의 테스트 마스크의 테스트 패턴과 도 21 내지 도 23의 마스크 패턴의 중첩을 개략적으로 도시한다;
도 25는 도 19의 테스트 마스크의 테스트 패턴과 도 21 내지 도 23의 마스크 패턴의 중첩을 개략적으로 도시하고 도 21 내지 도 23의 마스크 패턴의 오류가 교정된다;
도 26은 평균 필드내 오버레이 오류의 결정을 위한 필드내 타겟을 개략적으로 도시한다;
도 27은 필드로 덮힌 웨이퍼를 개략적으로 도시하고, 각각의 필드는 도 26의 오버레이 타겟을 갖는다;
도 28은 웨이퍼 전체에 걸쳐 오버레이 오류 맵을 개략적으로 재현한다;
도 29는 웨이퍼 전체에 걸쳐 필드내 오류 분포의 평균화로 생성된 필드내 오류 맵을 개략적으로 도시한다;
도 30은 시스템 오류가 도 28의 웨이퍼의 프린팅을 위해 사용된 포토리소그래피 마스크의 기판의 국부적으로 지속적인 수정부의 개별적인 배치를 도입하여 교정된 후 도 29의 평균 필드내 오류 맵을 개략적으로 도시한다.
이하에서, 본 발명은 동반하는 도면을 참조하여 이하에서 더욱 상세하게 기재될 것이며, 본 발명의 예시적인 실시예가 도시된다. 그러나, 본 발명은 상이한 형태에서 구현될 수 있고 본 명세서에서 상술된 실시예에 한정되는 것으로 이해되어서는 안된다. 오히려, 이러한 실시예가 제공되어 본 개시가 완벽해지고 이것은 본 발명의 권리 범위를 당업자에게 전달할 것이다.
제 1 섹션은 본 발명의 방법의 일 실시예를 기재한다. 본 발명의 원칙을 설명하기 위하여, 선행 기술에 따른 제조에 관련한 한 세트의 포토리소그래피 마스크의 제조에서 차이점이 강조된다. 또한, 이러한 차이점은 마스크 세트의 사용 경우를 위한 예시가 된다. 본 발명의 방법은 투광성 포토리소그래피 마스크의 활용을 위해 설명된다. 그러나, 당업자는 이것이 그저 예시에 불과하며 상기 한정된 방법은 또한 반사성 포토리소그래피 마스크에 적용될 수 있다는 점을 이해할 것이다. 더욱이, 본 발명의 방법은 또한 웨이퍼 처리 사이트 또는 웨이퍼 제조 사이트에서의 나노임프린트 리소그래피를 위한 탬플릿의 오버레이 오류를 교정하는데 아주 적합하다.
이하에서, 집적 회로(IC)라는 용어는 예컨대 메모리 또는 논리 구성요소, 센서, 감지기 및 디스플레이를 포함하는 MEMS(마이크로-전자기계 시스템) 및 레이저와 포토다이오드를 포함하는 PIC(광자 집적 회로)와 같이, 반도체 웨이퍼 상에서 제조된 모든 장치를 위해 사용된다.
본 발명의 방법은 웨이퍼가 조명되는 마이크로리소그래피 마스크에 대한 레이저 시스템의 펨토초 광 펄스를 적용함으로써 웨이퍼 상에서 감지된 오류를 교정한다. 본 발명의 교정 특성에 있어서, 본 명세서는 미국 가출원 제61 363 352호를 참조한다. 상기 가출원은 포토리소그래피 마스크의 기판에서의 픽셀의 개별적인 배치의 기록에 의해 패턴 배치 오류가 어떻게 교정될 수 있는지 상세하게 기재한다. 나노 임프린트 리소그래피의 탬플릿 및 포토리소그래피 마스크의 일부 문제는 상기 언급된 가출원에서 또한 간략하게 논의된다.
도 1은 선행 기술에 따른 마스크 세트의 제조 처리(100)을 개략적으로 나타낸다. 이 처리는 제 1 마스크(110)의 패턴(115)의 기록으로 (105)에서 시작된다. 이어서, 또한 단계(115)에서, 흡수층의 상당한 부분이 예컨대 에칭에 의해 마스크 기판으로부터 제거된다. 다음 단계(120)에서, 포토리소그래피 마스크는 마스크 패턴의 포지셔닝 오류를 결정하기 위하여 측정된다. 결정 블록(125)에서, 포지셔닝 오류가 미리결정된 규격을 충족하는지 결정된다. 이것이 올바르면, 단계(135)에서 펠리클이 마스크 상에 장착된다. 이어서 결정 블록(145)에서 마스크가 오버레이 임계 마스크인지 결정된다. 마스크(m)가 오버레이 임계 마스크가 아닐 때 제조 처리가 완료된다. 마스크(m)가 오버레이 임계일 경우(결정 블록(145)), 마스크는 포지셔닝 오류 상에 장착된 펠리클의 효과를 확인하기 위해 단계(155)에서 다시 측정된다. 결정 블록(165)에서 마스크(m)가 규격을 충족시키지 않는 것으로 결정될 때, 단계(160)에서, 펠리클이 제거되고 패턴은 새로운 마스크에 재기록된다. 결정 블록(125)에서 마스크(m)의 포지셔닝 오류는 미리 결정된 규격을 충족시키지 않는 것으로 결정될 때, 단계(130)에서 패턴 배치 오류는 US 61 351 056 및 US 61 363 352에서 상세하게 기재되는 소위 RegC(등록 교정) 처리를 사용하여 교정된다. 포지셔닝 오류가 마스크(m)가 규격을 충족시키도록 RegC 처리에 의해 감소될 수 없다면 패턴은 새로운 마스크 상에서 기록되고 이 처리는 마스크가 측정된 단계(120)로 나아간다.
도 1의 처리는 마스크 세트의 모든 M 마스크가 제조될 때까지(결정 블록 150 및 175) 반복된다. 완전한 마스크 세트는 블록(180)에서의 웨이퍼 결정 사이트에 공급된다.
도 2는 웨이퍼 처리 사이트에서 IC의 제조를 위한 마스크 조명 처리의 일부 단계를 간략하게 도시한다. 이 처리(200)는 마스크 세트의 제 1 마스크(단계 (210))로 웨이퍼의 조명 또는 노광(단계 (215))로 단계(205)에서 시작한다. 웨이퍼 상의 포토 레지스트가 현상되고 예컨대 에칭 처리에 의해 웨이퍼가 처리된다. 이어서, 포토 레지스트의 제 2(일반적으로 제 m) 층은 웨이퍼(도 2에서 미도시) 상에 배치된다. 단계(220)에서, 웨이퍼는 제 2, 일반적으로 제 (m+1) 마스크에 의해 조명된다. 제 1 마스크와 제 2 마스크 사이의 오버레이 오류 또는 일반적으로 제 m 마스크와 제 m+1 마스크 사이의 오버레이 오류는 단계(230)에서 측정된다. 오버레이 오류가 미리결정된 오버레이 예산 미만인 것으로 결정 블록(240)에서 결정될 경우, 제조될 IC가 이것의 규격에 따라 동작할지는 결정 블록(265)에서 확인된다. 이것이 정확할 경우, 이 처리는 결정 블록(295)과 단계(225)를 통해 웨이퍼가 다음(제 3 또는 제 m+2) 마스크로 조명되는 블록(220)을 향해 나아간다. m이 개별 마스크 세트의 마스크 수인 M에 도달한다고 결정 블록에서 결정되면, 처리는 블록(270)에서 종료된다.
IC가 이것의 규격에 따라 동작하지 않을 것이 결정 블록(265)에서 결정될 경우, 문제의 근본 원인이 블록(280)에서 분석되고 마스크(m+1)를 위한 포지셔닝 규격이 엄격해진다. 단계(290)에서, 새로운 마스크(m+1)는 마스크 처리 사이트에서 기록된다. 이 처리는 블록(270)에서 종료된다. 이어서, 새로운 마스크(m+1)는 마스크 제조 사이트에서 웨이퍼 처리 사이트로 공급되고, 이 처리는 도 2의 블록(205)에서 다시 시작한다.
오버레이 오류가 규격을 충족하지 않는 것이 결정 블록(240)에서 결정될 때, 조명 시스템의 투영 장치가 블록(245)에서 재조정된다. 이어서, 단계(260)에서, 오버레이 오류 측정이 반복된다. 오버레이 오류가 미리결정된 오류 예산을 충족시키지 않는 것이 결정 블록(275)에서 결정될 경우, 이 처리는 단계(280)로 나아가고 문제의 원인이 분석된다. 오버레이 오류가 규격을 충족시킬 경우, 제조될 IC가 이러한 규격에 따라 동작할지 결정 블록(285)에서 결정된다. 이것이 사실일 경우, 이 처리는 결정 블록(295)과 단계(225)를 통해 웨이퍼가 마스크 세트의 다음(제 3 또는 제 m+2) 마스크로 조명되는 블록(220)으로 나아간다. 대안적으로, 조명된 마스크가 마스크 세트의 마지막 마스크일 때(m=M), 처리는 블록(270)에서 종료한다.
도 3은 패턴 배치 및 오버레이 오류가 측정될 수 있는 등록 계측 도구(300)의 기능적인 스케치를 도시한다. 포토리소그래피 마스크(310)는 고정밀 스테이지(320)에 이해 지지된다. 스테이지(320)는 모두 6개의 자유도로 능동적으로 제어되고 계측 시스템의 오직 움직이는 부분이다. 광원으로서, 엑시머 레이저(330)가 사용되고, DUV(심자외선) 파장 범위, 약 193nm에서 광을 방출한다. 이것은, 대부분의 마스크가 193nm 광원으로 현재 조명되므로, 포토리소그래피 마스크(310)의 검사 및 조명이 동일한 파장에서 일어는 것을 의미한다. 그러므로, 등록 및/또는 오버레이 계측 시스템(300)은 물질 특성의 효과를 적절하게 고려한다.
이미징 오브젝티브(340)는 0.6의 개구수(NA)를 가지되 더 높은 NA로 확대될 수 있어서 더욱 높은 해상력을 얻는다. 레이저 시스템(330)의 짧은 파장은 해상도를 상당히 개선하면서 동시에 CD(임계 치수) 계측을 위해 유리하고 약 7.5mm의 펠리클 호환 자유 작동거리를 가능하게 하는 적절한 NA를 허용한다. 이미징 오브젝티브(340)는 광학적 타워(tower)에 단단히 고정되어 움직일 수 없다. 포토리소그래피 마스크(310) 상의 레이저 빔의 포커스는 z 방향의 스테이지 운동에 의해 행해진다.
CCD(전하 결합 소자) 카메라(350)는 포토리소그래피 마스크(310)로부터 반사된 광을 측정하는 감지기 장치로 사용된다. CCD 카메라(350)는 CCD 카메라(350)에 의해 감지된 신호의 이미지를 계산하는 신호 처리 유닛(355)에 신호를 보낸다.
컴퓨터 시스템(360)은 신호 처리 유닛(355)에 의해 계산된 이미지를 디스플레이할 수 있고 측정된 데이터를 저장할 수 있다. 또한, 컴퓨터 시스템(360)은 하드웨어, 소프트웨어 또는 양쪽에서 구현되는 알고리즘을 포함할 수 있으며, 이 알고리즘은 실험 데이터로부터의 제어 신호를 추출하는 것을 허용한다. 제어 신호는 제 2 레이저 시스템에 의해 포토리소그래피 마스크(310)의 기판의 픽셀의 배치를 기록하는 것을 제어하여 포토리소그래피 마스크(310)의 패턴 배치 오류를 교정할 수 있다(도 4 하부 참조). 또한, 컴퓨터 시스템(360)은 레이저 원(330) 및/또는 고정밀 스테이지(320) 및/또는 오브젝티브(340) 및/또는 CCD 카메라(350) 및/또는 AF 시스템(370)을 제어할 수 있다.
포토리소그래피 마스크(310)의 표면은 약간 경사질 수 있고 게다가 자신의 무게로 인한 마스크(310)의 밴딩은 최적의 초점 위치의 변경을 야기한다. 그러므로, 등록 계측 도구(300)는 측정 처리를 지원하는 경사진 그레이팅(도 3에 미도시)을 기반으로 하는 오토포커스(AF) 시스템(370)을 갖는다. 경사진 미러(390) 및 부분적으로 투광하는 미러(395)는 레이저 빔을 이미징 오브젝티브(340)로 보낸다.
더욱이, 등록 계측 도구(300)는 포토리소그래피 마스크(310) 상의 패턴 배치 소자의 개략적인 정렬을 위한 보조 광학 시스템(380)을 포함한다.
도 4는 포토리소그래피 마스크의 기판을 수정함으로써 웨이퍼 상의 오류를 교정하기 위해 사용될 수 있는 장치(400)의 개략적인 블록 다이어그램을 도시한다. 또한, 장치(400)는 나노임프린트 리소그래피에 사용된 탬플릿의 오류를 교정할 수 있다. 장치(400)는 3차원에서 이동가능할 수 있는 청크(chunk)(420)를 포함한다. 포토리소그래피 마스크(420) 또는 나노임프린트 기술의 템플릿은 예컨대 클램핑과 같은 여러 기술을 사용하여 청크(420)에 고정될 수 있다.
장치(400)는 빔, 펄스의 광빔(435) 또는 광 펄스를 생성하는 펄스 레이저 원(430)을 포함한다. 레이저 원(430)은 가변 지속 기간의 광 펄스를 생성한다. 레이저 원(430)의 여러 임포트 파라미터의 조절가능한 범위가 이하의 표에서 요약된다. 표 1는 본 발명의 방법의 일 실시예에서 사용될 수 있는 주파수 체배된(frequency doubled) Nd-YAG 레이저 시스템의 레이저 빔 파라미터의 개요를 나타낸다.
Nd-YAG 레이저 시스템을 위한 선택된 레이저 빔 파라미터의 수치
오버뷰
파라미터 수치 단위
펄스 에너지 0.05-5 μJ
펄스 길이 0.05-100 ps
반복률 1-10000 kHz
펄스 밀도 1000-10000000 mm-2
NA(개구수) 0.1-0.9
파장 532 nm
레이저 시스템의 대안적인 실시예에서, 광 펄스는 800nm의 파장에서 티타늄 사파이어 레이저(Ti:Sapphire laser)에 의해 생성될 수 있다. 그러나, 패턴 배치 오류의 교정은 이러한 레이저 형태에 한정되지 않고, 원칙적으로, 모든 레이저 형태가 사용될 수 있고, 포토리소그래피 마스크(410)의 기판에 대한 밴드 갭보다 작고 펨토초 범위의 리소 기간의 펄스를 생성할 수 있는 광자 에너지를 가질 수 있다.
스티어링 미러(490)는 펄스된 레이저 빔(435)을 포커싱 오브젝티브(440)로 보낸다. 오브젝티브(440)는 펄스된 레이저 빔(435)을 포토리소그래피 마스크(410)에 집속시킨다. 적용된 오브젝티브의 NA(개구수)는 미리 결정된 스팟 크기의 초점 및 포토리소그래피 마스크(410) 또는 탬플릿의 초점의 위치에 따른다. 표 1에 표시된 바와 같이, 오브젝티브(440)의 NA는 0.9까지 가능하고, 이것은 기본적으로 1μm의 초점 스팟 직경 및 기본적으로 1020W/cm2의 최대 강도를 야기한다.
또한, 장치(400)는 레이저 빔에 수직한(x 및 y 방향) 평면의 샘플 홀더(420)의 2- 축 포지셔닝 스테이지의 병진 운동을 관리하는 컨트롤러(480) 및 컴퓨터 시스템(460)을 포함한다. 컨트롤러(480) 및 컴퓨터 시스템(460)은 또한 오브젝티브(440)가 고정된 1- 축 포지셔닝 스테이지(450)를 통해 청크(420)의 평면에 수직인(z 방향) 오브젝티브(440)의 병진 운동 또한 제어한다. 장치(400)의 다른 실시예에서, 청크(420)는 3- 축 포지셔닝 시스템을 장착하여 타겟 위치로 포토리소그래피 마스크(410)를 옮길 수 있고 오브젝티브(440)가 고정되거나 청크(420)가 고정될 수 있으며 오브젝티브(440)가 3차원에서 이동가능한 것이 주목되어야 한다. 경제적이지 않지만, 3- 축 포지셔닝 시스템을 갖는 오브젝티브(440) 및 청크(420) 모두를 장착하는 것 또한 고려될 수 있다. 메뉴얼 포지셔닝 스테이지는 또한 x,y 및 z 방향으로 펄스된 레이저 빔(435)의 타겟 장소를 향하는 마스크(410)의 동작을 위해 사용될 수 있고 및/또는 오브젝티브(440)는 3차원의 동작을 위한 메뉴얼 포지셔닝 스테이지를 가질 수 있다는 것이 주목되어야 한다.
컴퓨터 시스템(460)은 마이크로프로세서, 일반용 프로세서, 특수용 프로세서, CPU(중앙 처리 유닛), GPU(그래픽 처리 유닛) 등이 될 수 있다. 이것은 컨트롤러(480)에 배치되거나 PC(퍼스널 컴퓨터), 워크스테이션, 메인프레임 등과 같은 개별 유닛이 될 수 있다. 컴퓨터(460)는 또한 키보드, 터치패드, 마우스, 비디오/그래픽 디스플레이, 프린터 등과 같은 I/O 유닛을 포함한다. 게다가, 컴퓨터 시스템(460)은 휘발성 및/또는 비휘발성 메모리 또한 포함할 수 있다. 컴퓨터 시스템(460)는 하드웨어, 소프트웨어, 펌웨어 또는 이것의 결합으로 실현될 수 있다. 더욱이, 컴퓨터(460)는 레이저 소스(430)(도 4에 미도시)를 제어할 수 있다. 도 3의 컴퓨터 시스템(360) 및 도 4의 컴퓨터 시스템(460)은 데이터를 교환하기 위해 연결될 수 있다. 더욱이, 컴퓨터 시스템(360 및 460)이 단일 컴퓨터 시스템에 결합될 수 있다.
또한, 장치(400)는 다이크로익 미러(dichroic mirror)(445)를 통해 청크(420)에 배치된 조명 소스로부터 광을 수광하는 CCD(전하 결합 장치) 카메라(465)를 포함하는 조망 시스템(viewing system) 또한 제공할 수 있다. 이 조망 시스템은 타겟 위치에 대한 포토리소그래피 마스크(410)의 네비게이션을 용이하게 한다. 또한, 조망 시스템은 광원(430)의 펄스된 레이저 빔(435)에 의해 마스크(410)의 기판 물질 상의 수정된 영역의 형성을 관측하기 위해 사용될 수도 있다.
도 5는 본 발명의 방법에 따른 마스크 세트의 포토리소그래피 마스크의 제조 처리의 예시를 개략적으로 도시한다. 본 명세서의 제 2 섹션에서 이미 간략하게 기재된 바와 같이, 흡수 소자의 패턴은 패턴 생성기로 포토리소그래피 마스크의 기판 상의 흡수층에 기록된다. 후속하는 에칭 공정에서, 흡수 패턴 소자는 흡수 물질로부터 형성된다(박스 515). 포토리소그래피 마스크 상의 흡수층을 위해 종종 사용된 물질은 크롬이다. 텅스텐은 마스크 기판의 표면상의 다른 흡수체 물질로서 사용될 수 있다. 본 발명이 방법의 용도는 이러한 물질에만 한정되지 않으며 다른 흡수체 물질도 사용될 수 있다.
생성된 흡수 패턴 소자의 위치가 도 3의 등록 계측 시스템으로 측정되어서(박스 520) 패턴 기록 처리가 성공할지, 즉, 패턴 소자가 미리 결정된 크기 및 형태를 갖고 원하는 위치에 위치할지를 결정한다. 미리결정된 포지셔닝 오류가 미리결정된 레벨을 초과할 경우(결정 박스(525)), 패턴 소자의 위치는 도 4의 장치(400)의 레이저원(430)을 사용하여 포토리소그래피 마스크의 기판 내에 픽셀의 배치를 기록함으로써 수정된다(도 5의 박스(530)). 픽셀의 배치가 국부적으로 마스크 기판의 밀도를 변경하여 미리결정된 위치로 마스크 표면 상의 패턴 소자를 이동한다. 이어서, 마스크의 복구가 성공적인지 측정된다(박스(520)). 측정된 포지셔닝 오류가 미리결정된 임계값 이하일 경우(결정 박스(525)), 펠리클이 흡수 패턴 소자를 운반하는 포토리소그래피 마스크의 표면상에 장착되어서 이 소자가 손상되는 것을 보호한다. 마스크 세트의 모든 마스크가 이러한 스킴에 따라 처리될 때, 제조된 마스크 세트는 웨이퍼 처리 사이트로 전달되도록 준비된다.
도 5로부터 볼 수 있는 바와 같이, 본 발명에 따른 마스크 제조 처리의 일 실시예는 포토리소그래피 마스크의 재기록을 상당히 회피한다. 마스크의 포지셔닝 오류가 허용가능한 레벨을 초과할 경우, 개별적인 마스크는 RegC 처리를 사용하여 교정된다.
도 5의 마스크 제조 처리는 펠리클의 장착 후 오버레이-임계 포토리소그래피 마스크에 대한 포지셔닝 오류의 측정을 금지한다. 이것은 도 1 및 도 5에 따른 마스크 제조 공정간의 결정적인 차이점이다.
도 6은 본 발명의 방법의 일 실시예에 따른 웨이퍼 처리 사이트에서의 한 세트의 포토리소그래피 마스크의 사용 경우를 개략적으로 도시한다. 이 처리는 포토리소그래피 조명 시스템의 투영 장치를 사용하여 제 1 마스크에 의한 웨이퍼의 조명에 의해 시작된다(박스(615)). 제 1 마스크는 마스크 세트의 제 1 마스크가 될 수 있거나 일반적인 경우에 이것은 임의의 마스크가 될 수 있되 마스크 세트의 마지막 마스크가 될 수 있다. 포토 레지스트가 현상되고 웨이퍼는 집적 회로의 각기 제 1 층, 또는 일반적으로 제 m 층을 생성하기 위해 처리된다. 이로써, 새로운 포토 레지스트 층은 웨이퍼(도 6에 미도시) 상에 도포된다.
다음 단계(박스(620))에서, 제 1 포토리소그래피 마스크와 유사하게, 제 2 포토리소그래피 마스크는 웨이퍼 상의 정렬 마크와 관련하여 정렬된다. 이어서, 제 2 마스크, 또는 일반적으로 제 (m+1) 마스크는 제 1 마스크와 유사하게 조명되어서 포토리소그래피 마스크로부터 웨이퍼에 집적 회로의 제 2 층 또는 일반적으로 제 (m+1) 층을 위한 구조 소자를 전사한다. 이로써 포토 레지스트가 현상된다.
포토리소그래피 마스크는 제 1 포토리소그래피 마스크에 관한 제 2 마스크의 오버레이를 결정하기 위해 사용되는 오버레이 타겟을 갖는다. 표준 오버레이 타겟은 BiB(박스 인 박스) 타겟이고, 이것은 제 1 마스크에 관하여 제 2 마스크의 이동 또는 변위를 감지하는 것을 허용한다. BiB 타겟은 오히려 거친 구조를 가지므로, 이 타겟은 AIM(고급 이미징 계측) 및 마이크로 AIM 오버레이 타겟에 의해 더 많이 교체된다.
여기까지, 오버레이 타겟은 집적 회로의 스크라이브 라인에 위치된다. 도 7은 집적 회로의 스크라이브 라인에 배치된 오버레이 타겟에서 측정된 변위 벡터 맵 또는 변위 벡터 필드를 개략적으로 나타낸다. 변위 벡터 필드의 개별 벡터의 화살표 끝은 제 1 포토리소그래피 마스크에 관하여 제 2 마스크의 개별 위치의 변위 방향을 나타낸다. 벡터의 길이는 제 1 마스크에 대한 제 2 마스크의 개별 위치의 이동의 크기를 표시한다. 스크라이브 라인에 대한 오버레이 타겟의 배치의 제한은 웨이퍼 전체에 걸친 오버레이 측정 포인트의 불규직한 분포를 야기하는 것을 도 7을 통하여 알 수 있다.
집적 회로의 구조의 크기가 줄어들고, 반대로 집적 회로의 크기가 늘어나면서, 스크라이브 라인에서의 오버레이를 결정하되 집적 회로 그 자체의 다이 상의 오버레이를 결정하는 것은 더 이상 충분치 않다. 도 8은 집적 회로 그 자체의 다이 영역뿐만 아니라 스크라이브 라인에 노드가 배치된 오버레이 측정 포인트의 일반 노드의 그리드를 개략적으로 도시한다. 오버레이 측정 포인트의 이러한 밀집 그리드는 높은 공간적 해상도를 갖는 오버레이 오류의 결정을 허용한다. 오버레이 측정 포인트의 밀집 그리드는 본 발명의 방법의 유리한 적용을 위한 전제 조건이다.
도 6으로 돌아가서, 제 1 (제 m) 마스크에 관련한 제 2 (제 m+1) 마스크의 오버레이 오류는 도 3의 오버레이 계측 시스템(300)을 사용하여 측정되어서 도 8과 유사한 변위 벡터 필드를 결정할 수 있다. 측정된 오버레이 오류가 미리결정된 임계값 미만이고 제조된 집적 회로가 마지막에는 적절하게 동작할 때, 제 1 및 제 2 마스크는 바람직한 집적 회로의 제조를 위해 사용될 수 있다.
측정된 오버레이 오류가 미리 결정된 규격을 충족하지 않을 경우, 투영 장치가 재조정되어서(박스(645)) 오버레이 오류를 줄인다. 웨이퍼로부터 포토 레지스트를 제거한 후, 포토 레지스트 물질의 새로운 층이 웨이퍼(도 6에 미도시) 상에 도포된다. 이어서, 오버레이 측정이 반복된다(박스(660)). 측정된 오버레이 오류가 미리결정된 오버레이 오류에 관한 필요조건을 충족시키면, 제 1 및 제 2 마스크가 개별 집적 회로의 생성을 위해 사용될 준비가 된다.
오버레이 오류가 여전히 너무 높을 경우, 오버레이 오류는 도 8에 표시된 바와 같이 측정된 변위 벡터를 기초로 하여 분석되어서(박스 (680)) 제 2 포토리소그래피 마스크에 대한 픽셀의 배치를 결정한다. 제 2 포토리소그래피 마스크의 기판의 도 4의 장치(400)의 레이저원(435)으로 픽셀의 배치를 기록하는 것은 제 2 포토리소그래피의 표면 상의 패턴 소자를 이동한다(박스(690)).
픽셀의 기록은 포토리소그래피 마스크의 활성 영역에 한정될 수 있다. 포토리소그래피 마스크의 활성 영역의 패턴 배치 오류의 교정은, 교정 픽셀이 오류 위치에 가깝게 배치될 수 있으므로 매우 효율적이다. 반대로, 픽셀의 기록이 활성 영역에 제한되지 않을 때, 오류 교정 처리의 유연성이 향상된다. 픽셀의 기록이 비활성 영역에 한정될 수 있을 경우, 픽셀 기록 처리에 의한 포토리소그래피 마스크의 기판의 활성 영역의 새로운 오류의 도입이 회피될 수 있다. 패턴 배치 오류와 교정 픽셀 간의 거리가 커질 수 있으므로, 교정 처리의 효율성은 낮아질 수 있다. 픽셀의 기록이 광학적 투과도의 변경을 고려할 필요가 없으므로 부분적으로 보상될 수 있다.
제 2 포토리소그래피 마스크의 교정 이후, 웨이퍼는 상기 기재된 바와 같이 교정된 제 2 마스크에 의한 제 2 조명 또는 노광을 위해 준비된다. 교정된 제 2 마스크의 제 3 조명에서, 제 1 포토리소그래피 마스크에 관련한 이것의 오버레이 오류가 상당하게 감소하므로 마스크 결합은 미리결정된 오버레이 오류를 충족한다.
도 2와 반대로, 도 6에 따른 한 세트의 포토리소그래피 마스크의 사용 경우는 마스크 처리 사이트로부터 마스크 제조 사이트에 결함 포토리소그래피 마스크를 보낼 필요성을 제거한다. 더욱이, 동일한 계측 도구는 오버레이 오류 및 교정된 포토리소그래피 마스크의 측정을 위해 사용되므로 측정된 데이터에 대한 도구 관련 효과가 회피된다.
이어지는 제 2 섹션은 더블 패터닝 리소그래피(DPL) 처리에 대한 본 발명의 원칙의 적용의 예시를 기재한다. 상기 언급된 바와 같이, DPL에서, 일반적으로 패터닝 해상도를 제한하는 피치 크기는 단일 조명 또는 노광에 비해 웨이퍼 상의 패턴에 대해 2배가 될 수 있다. 본 발명의 원칙은 DPL 처리의 맥락으로 이하에서 기재된다. 그러나, 본 발명의 원칙은, 2개 이상의 포토리소그래피 마스크를 사용하는 리소그래피 처리 또는 웨이퍼 상의 단일 층의 패턴의 생성을 위한 2개 이상의 패터닝 처리에 적용될 수도 있다는 것이 인지된다.
제 1 예시는 리소그래피-에칭-리소그래피-에칭(LELE) 및 리소그래피-냉각-리소그래피-에칭(LFLE) DPL 공정에서의 임계 치수 균일도(CDU) 및 오버레이 처리 제어를 기재한다. 도 12는 LFLE 처리의 LELE에 의해 생성된 1차원 패턴의 컷아웃의 컷을 개략적으로 도시한다. 도 9 및 도 10의 기재에서 간략하게 논의된 바와 같이, 제 1 리소그래피 및 에칭 단계는 라인(L1)(도 12에서 진회색으로 표시됨)을 생성한다. 제 2 라인(L2)(도 12에서 연회색 직사각형)은 제 2 리소그래피-에칭 처리에서 제조된다. 결합된 DPL 패턴은 라인(L1 및 L2)들 사이의 제 1 공간(S1) 및 라인(L1 및 L2)들 사이의 제 2 공간(S2)에 의해 분리된 라인(L1 및 L2)을 생성한다.
도 12의 라인 및 스트립의 상부 로우는 라인(L1 및 L2)의 완벽한 형태를 도시한다. 더욱이, 라인(L1 및 L2)은 자신의 미리결정된 위치에 있어서 동일한 스트립 폭을 갖는 공간(S1 S2) 또한 자동적으로 생성한다.
도 12의 중간 부분은 라인(L2)이 완벽한 형태를 갖고 자신의 미리결정된 위치에서 정확하게 위치되는 상황을 도시한다. 반대로, 라인(L1)이 자신의 미리결정된 위치를 갖되 그 폭은 좌측에서 우측으로 도 12의 예시에서 감소한다. 라인(L1)의 폭이 감소하는 것은 공간(S1 S2)의 폭의 상응하는 증가를 야기한다. 라인(L1)의 폭이 감소하는 것은 공간(S1 S2) 뿐만 아니라 라인(L1)의 CDU 오류를 야기한다. 이러한 CDU 오류는 도 12의 상부 파트의 라인(L1)의 패턴을 생성하는 마스크(1)의 국부적으로 지속적인 수정부의 배치를 도입함으로써 교정될 수 있다.
CDU 문제는 일정 퍼센트까지의 범위에서 CDU 변경을 갖지 않는 부분의 마스크(1)의 광학적 투과도를 변경함으로써, 즉, 마스크(1)의 결함 없는 부분의 광학적 투과도를 인위적으로 감소시킴으로써 보상될 수 있다. 국부적 CDU 변경을 갖는 마스크(1)의 부분은 최대 CDU 오류를 갖는 부분(도 12의 좌측 부분 또는 중간 로우)과 관련한 마스크(1)의 부분을 국부적으로 변경함으로써 보상된다. 포토리소그래피 노광 시스템의 투영 시스템에 의한 마스크(1)의 노광량을 개별적으로 증가시키는것은 마스크(1)의 CDU 변경을 상당히 교정한다.
도 12의 하위 부분은 라인(L1) 및 또한 라인(L2)의 완벽한 형태 또는 모양을 나타낸다. 그러나 도 12의 컷아웃에서, 라인(L2)이 세로 쇄선에 의해 표시된 미리 결정된 위치에 관련하여 이동된다. 라인(L2)의 이동은 도 12의 상부에 도시된 미리결정된 공간 폭에 비해 공간(S1)의 더 작은 폭 및 공간(S2)의 더 큰 폭을 야기한다.
공간(S1 및 S2)의 CDU 오류는, 도 12에 도시된 라인 공간 패턴의 컷아웃의 마스크(2)의 라인(L2)의 국부적 패턴 배치 오류를 보상하는 DPL 처리의 마스크(2)의 국부적으로 지속적인 수정부의 적어도 하나의 배치를 도입함으로써 교정된다.
라인 형태 패턴이 도 12의 중간 및 하위 부분의 결합된 오류를 도시할 경우, 이러한 오류는 또한 마스크(2) 뿐만 아니라 마스크(1)의 국부적으로 지속적인 수정부의 적어도 하나의 배치를 도입함으로써 교정될 수 있다.
도 2의 중간 부분의 마스크(1)의 CDU 변경 및 마스크(2)의 라인(L2)의 국부적 이동 문제 또는 국부적 오버레이 오류는 DPL 처리의 오버레이 오류에서 나타난다. 이것은, DPL 처리가 웨이퍼 상의 층의 결합된 패턴을 형성하는 개별 마스크의 국부적 오류를 단일 층의 패턴의 오버레이 오류와 엮이도록(entangle)하는 것을 의미한다. 그러므로, DPL 처리의 개별 마스크의 국부적 오류를 교정하여 32nm보다 작은 기술 노드의 타이트한 오버레이 예산을 충족하는 것이 필수적이다.
먼저 언급된 바와 같이, DPL 처리의 오류 교정 또는 오류 보상 또는 일반적으로 다수의 패터닝 리소그래피 처리의 전제조건은 높은 해상도에 의한 국부적 오류의 결정이다. 도 3에서, 높은 해상도 계측 도구가 도시되고 이것은 결함 감지를 위해 광자를 사용한다. 게다가, 스케터로메트리(scatterometry) 뿐만 아니라 주사 전자 현미경(SEM), 프로라이퍼로메트리(proliferometry), 원자 현미경(AFM) 또는 다른 계측 도구 형태 또한 적용되어서 웨이퍼 상의 오류를 프린트하는 데 있어서 드러나는 포토리소그래피 마스크의 국부적 결함을 감지한다. 전자 빔의 전자는 작은 부분에 집속될 수 있어서 SEM은 나노미터 범위까지 구조를 분석할 수 있다.
서브나노미터 범위의 해상도를 갖는 이용가능한 추가 계측 기술이 존재한다. 대부분의 고해상도 이미징 기술은 고 개구수(NA)로 인한 트레이드 오프를 가지므로 초점 심도(DOF)에 있어서 상당히 제한되고 웨이퍼 표면에 가까운 한정된 이미징 능력을 갖는다. 결과적으로, 이러한 도구는 정렬될 것으로 기대되는 최상층 및 이전 층의 이미징을 수행하는데 있어서 어려움을 가질 수 있다. 테스트 마스크 방법(단일 및 다중 패터닝을 수행)은 다른 방법이 다수의 패터닝에 한정되는 반면에 다수의 표면노출된 층(surfaced layer)의 이러한 문제를 해결하고, 이 층에서 특정 처리 단계를 위한 모든 층의 관심(interest)이 동일한 지형 표면에 위치된다.
미리 언급된 바와 같이, MPL 처리는 2중, 3중, 4중, 5중 등의 패터닝 리소그래피 처리를 포함한다. 현재, DPL 처리가 선호된다.
32nm보다 작은 기술 노드의 패턴을 제조하기 위해 요구되는 고해상도를 제공하기 위하여, 인-다이 측정이 웨이퍼 상에 요구된다. 상기 논의된 계측 도구는 이러한 목적을 위하여 활용될 수 있다. 더욱이, 인-다이 측정에 의한 고해상도 오류 결정은 표시를 제공하거나, 개별 포토리소그래피 마스크의 기판의 국부적으로 지속적인 수정부의 적어도 하나의 배치를 도입함으로써 오류 교정의 위치에 국부적 플래그를 설정한다.
이때, 단일 노광 리소그래피 처리의 피치 크기는 매우 균일한 패턴을 갖는 리소그래피 처리에 대해 약 60nm에 한정된다. 그러나, 최신 IC의 제조는 40nm 미만의 피치 크기를 요한다. 도 13은 2개의 수직 방향으로 40nm 미만의 피치 크기를 성취할 수 있는 DPL 처리에서 생성된 2차원(2D) 패턴(1300)을 도시한다. 가로 라인(1310)은 제 1 마스크에 의해 생성된 일반 공간(1320)에 의해 분리된 라인의 일반적인 배치이다. 세로 라인(1330)은 LELE 또는 LFLE 처리의 제 2 마스크에 의해 생성된 일반 공간(1340)에 의해 분리된 라인의 일반적인 배치이다. 원(1350)은 참조 패턴 소자로서 사용된 임의의 패턴 소자의 미리 결정된 위치 및 설계된 형상을 개략적으로 상징한다. 소자(1350)와 유사한 형태를 갖는 참조 패턴 소자(1355)는 예컨대 콘택트 홀(CH), 비아, 메모리 커패시터, 마이크로 전자기계 시스템(MEMS) 소자, 또는 임의의 다른 2D 및/또는 3D 전자 장치이다.
이어지는 도면에서 도시되는 바와 같이, CDU 규격과의 임의의 편차가 프린트된 2D 패턴의 왜곡을 유발할 것이다. 더욱이, 제 1 또는 제 2 마스크 중 하나의 라인(1310 또는 1330)의 임의의 국부적 이동 또는 변위는 또한 프린트된 2D 패턴의 왜곡을 야기한다.
도 14의 다이어그램(1400)은 도 13의 2D 패턴(1300)을 개략적으로 도시하고, 여기서 수평 라인(1310)을 프린트하는 제 1 마스크는 제 1 마스크의 미리결정된 라인 위치에 관한 국부적 패턴 배치 문제 또는 국부적 오버레이 오류를 갖는다. 도 14의 변위 문제는 라인(1310) 대신 라인(1415)의 프린트를 야기한다. 라인(1415)의 이동으로 인한 변위는 도 14의 새로운 위치(1455)에 대하여 수직 방향인 도 13의 참조 패턴 소자(1350)의 이동에 의한 도 14의 컷아웃(1400)에서 도시된다. 필수적으로, 프린트된(가로) 라인(1415)의 오버레이는 콘택트 홀이나 임의의 다른 2D 특성의 오버레이 문제를 야기하고, 이 문제는 수직 라인(1330)의 임의의 이동에도 적용된다.
도 14의 참조 패턴 소자의 국부적 이동은 수평 라인(1415)을 생성하는 제 1 마스크의 국부적으로 지속적인 수정부의 국부적 배치를 도입하여 가로 라인(1415)의 국부적 이동을 보상함으로써 보상될 수 있다. 결함 보상은 도 13의 라인(1310)과 밀접하게 일치하는 라인(1415)을 생성할 것이며, 이로써 참조 패턴 소자(1455)는 참조 패턴 소자(1350)의 위치로 이동된다. 제 1 마스크의 모든 특성 소자는 포토리소그래피 노광 시스템의 스캐너의 스케일링 및/또는 직교성 교정에 의해 보상될 수 있다.
도 15는 도 13의 2D 패턴(1300)의 컷아웃(1500)을 개략적으로 도시하고, 여기서, 수직 라인(1535)의 라인 폭은 우측에서 좌측으로 이동한다. 이것은 수직 라인(1535) 간의 공간(1545)의 개별적인 감소를 수반한다. 비균질 라인 폭(1535)은 수직 라인(1535)의 생성을 위해 사용된 제 2 마스크의 패턴의 임계 치수 균일도(CDU) 변경에 의해 야기된다. 도 15에 도시된 바와 같이, 도 13의 참조 패턴 소자(1350)는 원형에서 타원(1555 및 1560)으로 변형되고, 이로써, 이것의 수직 반 축은 수직 라인(1535) 간의 변화하는 공간(1545)을 표시한다. 타원형 변형이 예시에 불과하고 이것의 원형으로부터의 2D 또는 3D 구조의 임의의 이탈은 CDU 변경을 감지하기 위해 사용될 수 있다는 점이 강조되어야 한다.
타원(1555 및 1560)은 최종적으로 프린트된 2D 패턴 왜곡 상의 국부적 CDU 변경의 영향을 개략적으로 도시한다. 타원(1555 및 1560)의 주축 및 부축은 예컨대 SEM에 의해 측정될 수 있고 이러한 미터법은 오버레이 오류를 계산하는 데 사용될 수 있다.
도 15의 오버레이 문제는, 도 12의 맥락에서 상기 논의된 바와 같이 제 2 마스크의 광학적 투과도의 변경을 도입하는 제 2 마스크의 기판의 픽셀의 적어도 하나의 배치를 기록함으로써 보상될 수 있다.
도 16의 패턴 컷 아웃(1600)은 도 13의 이상적인 라인(1310)에 대한 도 14의 수평 라인(1615)의 국부적 이동을 갖는 도 13의 2D 패턴(1300)을 도시한다. 더욱이, 수직 라인(1625)은 도 15의 CDU 오류를 갖는다. 도 13의 참조 패턴 소자(1350)의 이동 및 변형은 이동된 타원(1655 및 1660)에 의해 도 16에서 표시된다. 도 17은 도 16의 확대된 타원(1655 및 1660)을 도시한다.
2개의 마스크의 오류는 도 14 및 도 15의 논의 동안 기재된 바와 같이 교정된다. 타원(1655 및 1660)의 주축 및 부축의 치수뿐만 아니라 이동은 예컨대 SEM과 같은 고해상도 계측 도구로 다시 측정될 수 있다. 도 14와 유사하게, 수직 라인(1615)의 국부적 이동은 예컨대 콘택트 홀(CH)인 참조 패턴 소자(1350)의 배치 오류를 유발할 수 있다. 추가로, 이것은 일부 왜곡 문제를 야기할 수 있다. 임계 치수(CD) 뷸균일도는 CD 왜곡을 유발할 것이다. 다시 도 15와 유사하게, 수직 패턴(1635)의 생성을 위해 사용된 제 2 마스크의 CDU 오류는 일반적으로 타원(1655 및 1660)에 의해 개략적으로 표시된 참조 패턴 소자(1350)의 왜곡을 야기한다.
도 18은 두 번째 파트에서 언급했던 W.A 아놀드의 "3nm 오버레이 및 임계 치수 균일도를 향하여: 더블 패터닝 리소그래피에 대한 집적 오류 예산"에서 가져온 것이며, 자가정렬 더블 패터닝(SADP) 처리의 일부 중요한 처리 단계를 개략적으로 도시한다. 에칭되거나 패터닝될 층(1820)은 웨이퍼(1810) 상에 배치된다. 층(1820)의 상부에, 소위 희생 층의 경질 재료 포토 레지스트가 증착된다. 희생층(1830)은 포토 레지스트 또는 하드 마스크 층이고, 질화 규소, 실리콘 산화물 또는 이러한 목적 또는 기능을 위한 임의의 다른 적절한 물질을 포함할 수 있다. 포토 레지스트 층(1840)은 희생층(1830) 상에 배치된다. 이로써, 웨이퍼(1810)는, 밀집된 패턴 소자(1850) 및 고립된 패턴 소자(1870)를 형성하는 포토리소그래피 마스크에 노출된다. 도 18의 예시에서, 패턴 소자(1850 및 1870)는 각각 (1855) 또는 (1875)의 명목상의 폭을 갖는다. 웨이퍼(1810) 상의 패턴 소자(1850 및 1870)의 실제 폭(1860 및 1880)은 개별적으로 명목상의 또는 미리 정해진 폭(1855 또는 1875)보다 작다. 리소그래피 단계에서 생성된 작은 폭(1860 및 1880)은 층(1820)의 CDU 오류가 에칭되도록 유도한다.
또한, 스페이서 증착 및 후속 에칭 처리(도 11에 표시된 바와 같은)는 에칭 공정에 의해 형성된 스페이서 소자(1890)에 추가 변경을 도입한다. 남은 포토 레지스트(1840) 및 희생층(1830)의 제거 이후, 스페이서 소자(1890)에 의해 형성된 패턴은 층(1820)에서 에칭되고, 스페이서 소자(1890)는 에칭 정지 소자의 역할을 한다. 마지막으로, 스페이서 소자(1890) 및 스페이서 소자(1890) 아래의 희생층 부분은 층(1820)의 생성된 패턴 소자로부터 제거된다.
에칭 처리에서, 리소그래피 패턴(1850 및 1870)의 변경이나 CD 오류는 도 18의 하위 부분에서 도시되는 바와 같이 스트립(S2)의 폭의 오류로 변환된다. 더욱이, 에칭 처리는 라인(L1 및 L2)의 변경으로 스페이서 소자(1890)의 변경을 변환한다. 라인(L1 및 L2)의 변경은 또한 스페이스(S1)의 변경을 야기한다. 결과적으로, SADP 처리로 생성된 패턴은 오버레이 오류를 나타내고, 이러한 효과는 "피치 워킹"으로 알려진다.
SADP 처리의 1차원(1D) 라인 공간 패턴의 오류는 도 3에 기재된 계측 도구를 사용하여, 예컨대 SEM 및/또는 스케터로미터를 사용하여 다시 측정될 수 있다.
한정된 방법은 도 18의 SADP 패턴의 결함을 교정할 수 있다. 제 1 단계에서, 픽셀의 적어도 하나의 배치는 리소그래피 처리의 패턴(1850 및 1870)의 생성을 위해 사용된 포토리소그래피 마스크에 도입되거나 기록된다. 픽셀의 배치는 포토리소그래피 마스크의 기판의 광학적 투과도의 개별 변경을 도입함으로써 CDU 변경을 보상한다. 이러한 처리는 미리결정된 공간과 아주 유사한 도 18의 공간(S2)을 생성한다.
제 2 단계에서, 국부적으로 지속적인 수정부의 배치는 희생층(1830)에 도입된다. 희생층(1830)의 국부적으로 지속적인 수정부나 픽셀은 희생층(1830)의 물질의 구조적인 변화를 유도하여 층(1820)의 에칭 동안 라인의 변경을 회피한다. 수정된 희생층(1830)은 층(1820)의 에칭 단계 동안 "피치 워킹" 효과를 방지한다. 결과적으로, 라인(L1 및 L2)는 일정한 폭을 가지며 형성된다. 픽셀은 포토 레지스트(1840)의 증착 이전에 희생층(1830)에 기록된다. 희생층(1830)이 잘못 위치된 경우, 희생층(1830)의 잘못된 배치뿐만 아니라 그 지역을 교정하기 위하여 RegC 처리를 적용하는 것 또한 가능하고, 스캐너는 오직 글로벌(저주파수) 오버레이 오류를 교정할 수 있다.
이것은 희생층(1830)의 임계 치수 비균일도(CDNU)가 SADP 처리의 오버레이 오류를 야기하는 것을 의미한다. 이러한 오류는 CD SEM(임계 치수 주사 전자 현미경), AFM, 스케터로메트리 및/또는 프로필로메트리(profilometry)와 같은 - 이에 한정되지 않음 - 종래의 웨이퍼 제조 계측 도구 또는 시스템에 의해 측정될 수 있다. 이로써, 식별된 오버레이 오류는 포토리소그래피 마스크의 기판에 대해 상기 기재된 바와 유사한 희생층(1830)의 국부적으로 지속적인 수정부의 적어도 하나의 배치를 적용함으로써 고정될 수 있다.
이하에서, 본 발명의 원칙의 응용을 위한 추가 예가 기재된다. 이러한 예시에서, 웨이퍼 상의 적어도 하나의 오류는 테스트 마스크의 도움으로 측정된다. 이러한 목적으로, 테스트 마스크가 설계되고 생성되고, 이것은 웨이퍼 상의 IC의 제조를 위해 사용된 적어도 하나의 마스크로 정렬된 테스트 특성을 갖는다. 이러한 마스크는 이하에서 또한 생산 마스크로 불린다. 테스트 특성은 오류 검출에서 높은 해상도를 확보하기 위한 인-다이 테스트 특성이다.
도 19는 테스트 패턴(1910)을 갖는 테스트 마스크(1900)를 개략적으로 도시한다. 테스트 마스크(1900)의 실제 테스트 패턴(1910)은 IC 또는 장치 설계자들에 의해 설계된다. 또한 테스트 마스크(1900)의 테스트 패턴(1910)의 레이아웃의 결정에 있어서 광 근접 교정(OPC) 설계자와 상의하는 것 또한 유익하다. 도 19의 테스트 패턴(1910)은 밀집 패턴 소자(1920), 반밀집(또는 "덴소(denso)") 패턴 소자(1930) 및 고립된 패턴 소자(1940)를 포함한다.
테스트 마스크(1900)는 마스크 레벨 상에서 상세히 조사된다. 웨이퍼 상의 테스트 마스크(1900)에 의해 프린트된 패턴은 또한 CDU 및 패턴 배치 오류에 대해 또한 조사된다. 그러므로, 테스트 마스크(1900)는 참조 테스트 마스크로서 간주될 수 있고, 이것은 최소한이되 잘 맵핑된 자신의 패턴 소자(1910) 및 기록된 CDU 및 패턴 배치 오류를 프린트한다.
테스트 마스크(1900)는 더블 패터닝 처리의 제 2 마스크 또는 생산 마스크와 결합하여 바람직하게 적용된다. 그러나, 테스트 마스크 개념은 단일 노광 리소그래피 기술을 사용하는 웨이퍼 제조 처리에 적용될 수도 있음을 이해해야 한다. 이하에서, 이 개념은 DPL 처리의 맥락에서 논의된다.
제 1 단계에서, 테스트 마스크(1900)는 웨이퍼에 노광된다. 노광된 포토 레지스트의 현상 이후, 테스트 마스크(1900)의 테스트 패턴(1910)은 웨이퍼에서 에칭된다. 노광 및 에칭 처리의 결합은 테스트 마스크(1900)의 프린팅으로 불린다. 제 2 단계는, 생산 마스크 또는 웨이퍼 상의 DPL 층의 제조에 사용되는 포토리소그래피 마스크는 또한 테스트 마스크(1900)에 대하여 상기 기재된 바와 같이 웨이퍼 상에서 또한 프린트된다.
표준 초고밀도 집적회로(VLSI) 처리는 웨이퍼 상의 포토 레지스트의 비평면 토포그라피로 인한 초점 심도(DOF)가 갖는 문제를 회피하기 위한 표면 평탄화를 요한다. 제안된 방법은 이러한 문제를 극복한다. 그러나, 표준 제조 처리로 부터의 편차를 최소화하기 위해, 이러한 층이 하드 마스크, 예컨대 질화 규소 층과 같이 처리될 수 있으므로 계측 처리를 용이하게 하기 위하여 고 광학 콘트라스트로 박막 상에 테스트 마스크(1900)를 프린트하고, 테스트 마스크 상의 완전 리소그래피 및 에칭 처리를 수행하고 그 이후에 DPL 처리에서 사용된 생산 마스크의 프린팅 처리를 수행하고, 웨이퍼 상 오버레이 및 CD 인-다이 측정을 수행하는 것이 유리하다.
도 20a는 웨이퍼(2000)의 질화물 층(2020) 상에 배치된 테스트 패턴(2010)을 개략적으로 도시한다. 테스트 패턴(2010)은 제 1 리소그래피-에칭(LE) 처리에서 생성된다(도 20a의 우측 부분). 에칭 단계를 수행하고 제 2 포토 레지스트(2030)을 적용한 뒤, 하드 마스크 층(2020)은 미니멀 토폴로지를 허용하고, 필수적으로 평평하다. 이 점은 도 20a의 좌측 부분에 나타내어져 있다. 반대로, 도 20b는 웨이퍼(2005)가 하드 마스크 층(2020)을 갖지 않고 포토 레지스트(2035)의 표면은 구별되는 토포그래피(2045)를 보이는 예시를 도시한다. 토폴로지는 정확도와 정밀도에 있어서 포토리소그래피 처리와 계측 해상도 모두에 부정적인 영향을 미칠 수 있다. 그러므로, 도 20a의 방법을 사용하는 것이 추천된다.
다음 단계에서, 테스트 마스크(1900)와 생산 마스크간의 오버레이 오류가 측정된다. 이것은 도 3에 기재된 계측 도구를 사용하고, SEM을 사용하고 및/또는 스케터로미터를 사용하고 및/또는 추가 이미지 베이스 또는 모델링 베이스 계측 방법을 사용함으로써 수행될 수 있다. 전체 웨이퍼의 오버레이 오류 맵은 웨이퍼 전체에서 테스트 마스크(1900) 및 생산 마스크의 개별 노광의 관련 샘플링 필드를 적층함으로써 결정된다.
웨이퍼 레벨 상의 오버레이 오류는 이때 생산 마스크의 기판에 픽셀의 하나 이상의 배치를 기록함으로써 보상된다. 테스트 마스크(1900) 및 교정된 생산 마스크의 프린트 및 측정 처리는 이때 반복되어서 픽셀 배치의 기록에 의한 교정 처리는 성공하였는지를 확인한다.
기재된 절차는 모든 오버레이 임계 생산 마스크에 대해 수행되어서 전체 오버레이 오류 예산은 최소화된다. 이러한 처리에서, 가능한 많은 생산 마스크의 패턴을 확인하는 것을 허용하는 테스트 마스크(1900)의 테스트 패턴(1910)에 패턴 소자를 포함하는 것이 유리하다. 단 하나 또는 매우 한정된 수의 테스트 마스크(1900)가 요구되므로 이러한 절차는 테스트 마스크 개념의 비용을 최소화한다. 반대로, 이러한 절차는 또한 제 1 테스트 마스크로부터 제 2 테스크 마스크로의 전환에서 도입된 오류를 줄인다. 이것은 이하의 예시의 도움으로 간략하게 설명된다.
제 1 예시는 웨이퍼의 여러 층의 여러 패턴의 제어를 위한 단일 테스트 마스스크(1900)의 응용을 기재한다. A 및 B로 불리는 2개의 층은 오버레이 임계 층이 된어야 한다. 포지션(i)에서의 층 A 및 층 B의 패턴(P)은 PAi 및 PBi로 불리고, 테스트 패턴(TAi 및 TBi)은 서로 가깝다. 이것은 테스트 패턴(TAi 및 TBi)의 배치 오류가 동일하다는 가정을 허용한다. 이것은 하나의 테스트 패턴(Ti)이 실제로 패턴(PAi 및 PBi) 양쪽의 테스트를 위한 역할을 할 수 있는 것을 의미한다:
TA i = TB i = T i (1)
제 1 단계에서, 테스트 마스크(1900) 및 층(A)를 위한 마스크 또는 생산 마스크는 LELE 또는 LFLE 처리를 사용하여 프린트되고, 테스트 패턴에 대한 패턴 소자의 변위가 측정된다:
Figure 112013061731512-pct00001
Ai = PA i - TA i = PA i - T i (2)
이어서, 테스트 마스크(1900) 및 웨이퍼의 층(B)를 위한 생산 마스크는 LFLE 처리의 LELE 에서 프린트되고, 테스트 패턴(1910)과 마스크(B)의 패턴 사이에 생성된 변위가 결정된다:
Figure 112013061731512-pct00002
B i = PB i - TB i = PB i - T i (3)
식 (2) 및 식 (3)에 나타난 2개의 측정에서, 패턴 소자(PAi 및 PBi)의 관련 변위가 결정될 수 있다:
Figure 112013061731512-pct00003
AB i = PA i - PB i =
Figure 112013061731512-pct00004
Ai - T i - (
Figure 112013061731512-pct00005
B i - T i ) =
Figure 112013061731512-pct00006
Ai -
Figure 112013061731512-pct00007
B i (4)
상기 언급된 바와 같이, 테스트 패턴(TAi 및 TBi)의 상대 위치는 상기 기재된 하나 이상의 계측 도구를 사용하여 테스트 마스크(1900)의 상세 분석으로부터 추가적으로 얻어질 수 있다.
이어지는 예시에서, 2개의 테스트 마스크(A 및 B)가 설계되고, 여기서 테스트 마스크(A)는 테스트 패턴(TAi)을 포함하고 제 2 테스트 마스크(B)는 테스트 패턴(TBi)을 포함한다. 각각의 테스트 마스크의 테스트 패턴은 정렬 소자(AL)를, 예컨대 아처 정열 마스크로서 포함한다. 테스트 패턴(TAi 및 TBi)이 배치(AL)과 가까워서 개별 정열 소자(AL)에 관한 테스트 패턴(TAi 및 TBi)의 배치 오류가 무시될 수 있는 것이 다시 가정된다.
테스트 마스크(A 및 B)가 적용되어서 웨이퍼의 2개의 오버레이 임계 층(A 및 B)를 확인한다. 이러한 목적으로, 마스크(A)의 테스트 프린트가 수행되고 테스트 패턴(TAi)의 위치가 정렬 마크(ALAi)를 사용하여 결정된다:
TA i = ALA i -
Figure 112013061731512-pct00008
TA i (5)
이어서, 마스크(B)의 테스트 프린트가 수행되고 테스트 패턴(TBi)의 위치가 정렬 마크(ALBi)를 사용하여 결정된다:
TB i = ALB i -
Figure 112013061731512-pct00009
TB i (6)
제 1 LELE 또는 LFLE 처리에서, 테스트 마스크(A)의 테스트 패턴(TAi) 및 생산 마스크(A)의 패턴(PAi)이 프린트된다. 이것은, 테스트 패턴(TAi)에 관련한 패턴 소자(PAi)의 변위를 야기한다:
Figure 112013061731512-pct00010
A i = PA i - TA i = PA i -(ALA i +
Figure 112013061731512-pct00011
TA i ) = PA i - ALA i -
Figure 112013061731512-pct00012
TA i (7)
제 2 프린트 처리에서, 테스트 마스크의 테스트 패턴(TBi) 및 생산 마스크(B)의 패턴(Pi)은 프린트되고, 테스트 마스크(B)의 테스트 패턴(TBi)에 대한 패턴 소자(PBi)의 변위를 야기한다:
Figure 112013061731512-pct00013
B i = PB i - TB i = PB i -(ALB i +
Figure 112013061731512-pct00014
TB i ) = PB i - BLB i -
Figure 112013061731512-pct00015
TB i (8)
2개의 측정으로부터, 상대 변위(PAi 및 PBi)는
Figure 112013061731512-pct00016
AB i = PA i - PB i =
Figure 112013061731512-pct00017
A i + (ALA i +
Figure 112013061731512-pct00018
TA i ) - (
Figure 112013061731512-pct00019
B i + (ALA i +
Figure 112013061731512-pct00020
TA i )) (9)
에 따라 알려지고, 여기서 괄호의 조건은 상기 기재된 바와 같이 테스트 마스크(A 및 B)의 테스트 프린트로부터 결정된다.
표시된 절차의 가능한 변경은 상대 위치의 측정을 허용하는 테스트 패턴(TAi 및 TBi)의 설계이다. 이런 경우에, 정렬 마크(AL)가 중복되고 추가 검증의 목적을 위해 역할할 수 있다.
도 21은 도 19의 테스트 마스크(1900)의 테스트 패턴(1910)(진회색 소자)과 생산 마스크의 세로 패턴(2110)이 LFLE 처리의 LELE에서 프린트된 이후 웨이퍼 레이아웃의 필드를 개략적으로 도시한다. 생산 마스크는 밀집한 세로 라인(2120), 중간 밀집 라인(2130) 및 하나의 고립된 라인(2140)을 포함한다. 도 21의 생산 마스크에 있어서, 테스트 마스크(1900)의 테스트 패턴(1910)의 세로 라인만이 관련 있다. 생산 마스크 패턴 및 테스트 마스크 패턴(1910)의 밀집 라인(2120) 및 고립 라인(2140)은 필수적으로 서로 나란히 정렬되는 반면에, 중간 밀집 라인(2130)은 테스트 마스크(1900)의 개별 테스트 패턴 소자에 관련한 국부적 라인 공간 오류(2150)를 갖는다. 도 21의 테스트 패턴 소자와 세로 라인 패턴간의 감지된 국부적 오버레이 오류(2150)는 인-다이 배치 또는 등록 오류에 의해 초래된다. 이것은, 도 21의 생산 마스크의 결함을 보상하기 위하여 도 21의 마스크의 중간 밀집 라인(2130)의 범위에서 픽셀의 배치를 기록함으로써 교정될 수 있다.
도 22는, 테스트 마스크(1900)의 테스트 마스크 패턴(1910)이 리소그래피 에칭(LE) 처리에서 프린트되는 상황을 개략적으로 도시한다. 제 1 단계 LELE 처리의 LE 처리에서, 생산 마스크의 콘텍트 홀이 프린트되고 에칭된다. 콘텍트 홀(CH)은 사이클에 의해 도 22에서 표시된다. 수천개의 CH는 현대 IC의 층을 형성하는 웨이퍼의 층의 필드에 에칭된다. 그 중 오직 5개만 테스트 마크스(1900)의 테스트 패턴(1910)에 의한 CH 배치의 테스트에 중요하다. 이것은 진회색 원(2220, 2230, 2240, 2250 및 2260)에 의해 도 22에서 도시된다. 테스트 패턴(1910)의 4개의 작은 정사각형(1915)이 CH 배치의 배치를 확인하기 위해 사용된다.
도 22에 도시된 바와 같이, 4개의 테스트 CH(2220, 2230, 2240 및 2250)는 자신의 미리 정해진 위치에 필수적으로 위치하는 반면, CH(2260)는 미리 결정된 위치에 대해 이동된다. 콘텍트 홀(2260)의 배치 오류는 잘못된 CH(2260)의 범위에서의 픽셀의 배치를 기록함으로써 교정될 수 있고 이 구성은 CH(2260)을 자신의 미리결정된 위치로 필수적으로 이동시킨다. 인-다이 오류 결정은 결함 보상을 위해 사용된 국부적으로 지속적인 수정부의 적어도 하나의 배치를 위치할 곳의 표시를 제공한다. "필수적으로"라는 표현은 여기에서뿐만 아니라 이러한 기재 내의 다른 포지션에서 최신 계측 도구의 해상도 한도 내에서의 포지셔닝을 의미한다.
도 21 및 도 22와 유사하게, 도 23은 도 19의 테스트 마스크(1900) 및 가로 라인 공간 패턴을 갖는 생산 마스크의 테스트 패턴(1910)으로 프린트되고 에칭되는 웨이퍼 패턴을 도시한다. 이러한 사용 경우에 있어서, 테스트 패턴(1910)의 가로 바(1925, 1935 및 1945)만이 도 23의 생산 마스크의 세로 라인(2320 및 2330)의 확인과 관련되고 이를 위해 사용된다. 도 23에 도시된 바와 같이, 생산 마스크의 라인(2320 및 2330)은 테스트 패턴 소자(1925, 1935 및 1945)에 관련하여 단순히 세로로 이동된다. 이것은 감지된 오류가 글로벌 오버레이 오류인 것을 의미한다. 도 19의 논의에서 상기 기재된 바와 같이, 테스트 마스크(1900)의 테스트 패턴(1910)이 상세히 조사되어서 테스트 패턴은 참조 패턴으로서 취해질 수 있다. 이로써, 테스트 패턴 소자(1925 및 1935) 및 라인(2320)과 테스트 패턴 소자(1945) 및 라인(2330) 사이에서 감지된 이동은 생산 마스크의 라인(2320 및 2330)의 이동으로 인한 것일 수 있다. 그러므로, 테스트 마스크 패턴(1910)은 생산 마스크의 국부적 오류를 감지하는데 사용될 수 있을 뿐만 아니라 생산 마스크의 글로벌 오버레이 오류를 분석하기 위해 사용될 수 있다.
인-다이 측정에 의해 감지된 도 23의 마스크의 가로 라인(2320 및 2330)의 변위 오류는 DPL 처리에서 사용된 스캐너의 선형 변환(스케일링 및 직교성 교정)에 의해 보상된다. 이것은 인-다이 측정을 기반으로 한 테스트 마스크 개념이 글로벌 오버레이 오류를 교정하는데 사용될 수도 있어서 오버레이가 웨이퍼 상의 상이한 토포그래피 평면의 층들간에서 측정되는 단일 패터닝 처리의 오류뿐만 아니라 오버레이 에러에 타이트한 DPL 처리의 오버레이 오류를 최소화하는 것을 의미한다.
대안으로, 도 23의 마스크의 픽셀의 배치를 기록하여 라인(2320 및 2330)을 테스트 패턴 소자(1925, 1935 및 1945)와 같은 선상에 있도록 한다.
도 24는 도 21 내지 도 23의 3개의 다양한 층의 웨이퍼 레이아웃의 필드의 중첩을 개략적으로 도시한다. 다양한 마스크의 오버레이 오류를 최소화하기 위한 개별 마스크의 교정 활동은 다양한 층의 논의에서 기재되어 있다. 도 25는, 개별 오류의 오류가 테스트 마스크(1900)의 테스트 패턴(1910)의 상응하는 패턴 소자와 관련하여 교정된 이후, 도 24의 다양한 층의 중첩을 개략적으로 표시한다. 도 25에 도시된 바와 같이, 도 21 내지 도 23의 층의 오버레이 오류는 각각의 마스크의 교정 활동에 의해 최소화된다.
현재까지 제시된 예시는 웨이퍼 상의 마스크의 단일 노광을 지칭한다. 그러나, 웨이퍼 레이아웃은 웨이퍼 상에서 수차례 제조될 IC의 층을 프린트하기 위해 마스크의 패턴으로 전체 웨이퍼 영역을 덮기 위하여 수차례의 마스크의 후속 노광을 포함한다. 웨이퍼의 마스크의 단일 노광은 필드로 불린다. 이로써, 현재까지 논의된 오류는 필드내 오류이다.
이하에서, 웨이퍼 레벨 상의 오버레이 오류 결정 및 보상을 위한 데이터 분석이 논의된다. 보다 정확하게, 웨이퍼 전체의 제곱근 평균(RMS)의 오버레이 오류의 결정 및 이것의 보상을 위한 방법이 제시된다. 도 26은 단일 노광 또는 다수의 노광, 예컨대, 마스크의 2중 노광의 필드(2600)를 개략적으로 나타낸다. 이 필드는 필드내 오버레이 오류가 결정되는 3개의 필드내 계측 사이트(2610, 2620 및 2630)를 포함한다. 상기 방법은 실제 제품 특성에 대한 인-다이 오버레이 계측의 측정을 허용하여 실제 장치 특성의 오버레이와 상호관련되거나 상호관련될 수 없는 테스트 특성보다는 제품 특성의 오버레이를 제어할 고유의 기회를 제공함을 강조하는 것이 중요하다.
도 27은 포토리소그래피 마스크의 후속 노광의 필드(2720)에 의해 덮이는 웨이퍼(2700)를 개략적으로 도시한다. LELE 또는 LFLE 처리에서, 2개의 마스크는 단일 필드내 노광에 요구된다. 웨이퍼(2700) 상의 필드(2600 및 2720)의 각각은 도 26의 오버레이 필드내 타겟(2610, 2620 및 2630)을 갖는다. 도 27의 십자 표시된 오버레이 타겟으로 표시된 바와 같이, 웨이퍼의 에지(2710)로부터의 서브 밀리미터 범위 내지 수 밀리미터의 영역은 웨이퍼(2700)에 걸친 오버레이 오류의 결정으로부터 제외된다. 이러한 수단은 웨이퍼 레벨의 오버레이 오류의 결정에서의 웨이퍼 에지 바이어스 효과를 제외한다. 웨이퍼 제조 경험을 기반으로, 에지 다이 효과는 리소그래피 처리에 의해 보상될 수 없으므로 RegC 및 CDC 처리의 입력으로서 모든 필드의 계측 데이터의 평균을 내는 동안에는 고려되지 않아야 한다.
다음 단계에서, 필드 당 다수의 포인트에서 측정된 필드 레벨의 오버레이 오류, 3개의 위치(2610, 2620 및 2630)가 도 26에 도시된다. 필드내 오버레이 오류의 결정에 있어서, 상기 제시된 방법들 중 하나가 사용될 수 있다. 필드내 측정은 마스크의 노광 영역의 핫스팟(hot spot)에 집중하여 통계적으로 중요한 필드내 오류 결정에 충분한 실험 데이터를 갖는다. 완전한 웨이퍼 또는 필드 샘플링은 샘플링된 필드에서의 각각의 타겟의 평균을 기반으로 한 평균 필드 레벨 오류를 생성하기 위해 사용될 수 있다.
개별 필드(2600 및 2720)의 필드내 오버레이 오류 맵이 이로써 적층된다. 마스크는 마스크의 기판에서의 픽셀의 적어도 하나의 배치를 기록하여 교정된다. 새로운 웨이퍼는 에지 영향 필드를 제외한 모든 웨이퍼 필드의 평균 계측을 기반으로 교정된 마스크로 프린트되고, 교정 처리는 웨이퍼에 걸친 최소 오류를 성취하기 위해 이러한 평균에 적용된다. 교정된 마스크로부터 생성된 오버레이 오류는 필드내 레벨과 웨이퍼 레벨 양쪽 상에서 측정되어서 오류 보상 개선을 확인한다.
더 많은 측정 사이트는 더 많은 계측 시간과 더 높은 최종 생성물의 비용을 의미하므로 교정 효율성과 계측 생산성 간의 트레이드 오프를 최적화하기 위하여, 필드당 측정 포인트의 수가 처리 웨이퍼로부터 제조된 장치의 제조자에 의해 한정되어야만 하는 것이 주목되어야한다. 기재된 방법은 장치 제조자의 임의의 주어진 샘플링 계획으로 동작하는 것에 관련된다.
도 28은, 인터넷 공보에서 발췌된 것이고, 웨이퍼(2800)에 걸친 오버레이 오류 맵(2810)의 예시를 나타낸다. 스케일링 또는 직교성(S/O) 교정에 의해 보상될 수 없는 오류 기여를 얻기 위하여, 이러한 오류가 도 28의 오버레이 오류 맵(2810)으로부터 제거된다. 생성된 오버레이 오류 맵(2900)은 도 29에 제시된다. 도 29의 필드내 오류 맵(2900)에서, 3개의 영역(2910, 2920 및 2930)은 시스템 오류를 갖는 필드의 영역의 예시로서 식별될 수 있다.
도 30은, 시스템 오류(2910, 2920 및 2930)이 포토리소그래피 마스크의 기판에서 국부적으로 지속적인 수정부의 개별 배치를 도입함으로써 교정된 후 도 29의 남아있는 필드내 오류 분포(3010)를 도시한다. 개별 오버레이 오류의 상승을 야기하는, 결합된 마스크 및 리소그래피 처리의 시스템 오류(2910, 2920 및 2930)가 필수적으로 제거된다.

Claims (15)

  1. 적어도 하나의 포토리소그래피 마스크에 의해 처리된 웨이퍼 상의 적어도 하나의 오버레이 오류를 교정하기 위한 방법으로서, 상기 방법은:
    a. 웨이퍼 처리 사이트에서 웨이퍼 상의 상기 적어도 하나의 오버레이 오류를 측정하는 단계;
    b. 선형 변환에 의해 상기 적어도 하나의 포토리소그래피 마스크의 상기 적어도 하나의 오버레이 오류의 글로벌 오버레이 오류를 수정하는 단계; 및
    c. 상기 적어도 하나의 포토리소그래피 마스크에 국부적으로 지속적인 수정부의 적어도 하나의 배치를 도입함으로써 상기 적어도 하나의 포토리소그래피 마스크의 상기 적어도 하나의 오버레이 오류의 국부적인(local) 오버레이 오류를 수정하는 단계를 포함하는, 방법.
  2. 청구항 1에 있어서, 상기 적어도 하나의 오버레이 오류는, 다수의 패터닝 리소그래피 처리에서 사용된 적어도 2개의 포토리소그래피 마스크 중 적어도 하나의 포토리소그래피 마스크의 적어도 하나의 오류를 포함하는, 방법.
  3. 청구항 1 또는 청구항 2에 있어서, 상기 적어도 하나의 오버레이 오류를 측정하는 단계는 (인-다이) 칩의 활성 영역에서 상기 적어도 하나의 오버레이 오류를 측정하는 단계를 포함하는, 방법.
  4. 청구항 3에 있어서, 상기 적어도 하나의 오버레이 오류의 인-다이 측정 단계는, 웨이퍼 상의 적어도 하나의 2차원 구조 및 적어도 하나의 3차원 구조 중 적어도 하나의 구조의 이동을 측정하는 단계, 이미징 기반 또는 모델 기반 계측 방법에 의한 적어도 하나의 2차원 구조 및 적어도 하나의 3차원 구조 중 적어도 하나의 구조의 타원율(ellipticity)을 측정하는 단계 중 적어도 하나를 포함하는, 방법.
  5. 청구항 1 또는 청구항 2에 있어서, 상기 오버레이 오류는 적어도 하나의 제 1 포토리소그래피 마스크 및 적어도 하나의 제 2 포토리소그래피 마스크의 적어도 하나의 오버레이 오류를 포함하고, 상기 방법은:
    상기 적어도 하나의 제 1 포토리소그래피 마스크 및 상기 적어도 제 2 포토리소그래피 마스크 중 적어도 하나에 국부적으로 지속적인 수정부의 적어도 하나의 배치를 도입함으로써 상기 적어도 하나의 오버레이 오류를 교정하여 상기 적어도 하나의 오버레이 오류가 최소화되게하는 단계를 더 포함하는, 방법.
  6. 청구항 5에 있어서, 상기 적어도 하나의 오버레이 오류를 교정하는 단계는, 상기 적어도 하나의 제 1 포토리소그래피 마스크에 국부적으로 지속적인 수정부의 적어도 하나의 제 1 배치를 도입하는 단계 및 상기 적어도 하나의 제 2 포토리소그래피 마스크에 국부적으로 지속적인 수정부의 적어도 하나의 제 2 배치를 도입하는 단계 중 적어도 하나를 포함하는, 방법.
  7. 청구항 5에 있어서, 상기 적어도 하나의 오버레이 오류는, 다수의 패터닝 리소그래피 처리에서의 상기 제 1 포토리소그래피 마스크의 적어도 하나의 임계 치수 균일도 오류 및 상기 제 2 포토리소그래피 마스크의 적어도 하나의 패턴 배치 오류 중 적어도 하나를 포함하는, 방법.
  8. 청구항 1 또는 청구항 2에 있어서, 상기 웨이퍼 상의 상기 적어도 하나의 오버레이 오류를 측정하는 단계는:
    a. 테스트 패턴을 갖는 테스트 마스크를 생성하는 단계;
    b. 상기 웨이퍼 상의 상기 테스트 마스크의 상기 테스트 패턴을 프린트하고 에칭하는 단계;
    c. 상기 웨이퍼의 상기 테스트 패턴 상의 포토리소그래피 마스크 패턴을 프린트하고 에칭하는 단계; 및
    d. 상기 포토리소그래피 마스크의 적어도 하나의 패턴 소자와 상기 테스트 마스크의 적어도 하나의 개별 테스트 패턴 소자의 차이점으로서 상기 적어도 하나의 오버레이 오류를 결정하는 단계를 포함하는, 방법.
  9. 청구항 8에 있어서, 상기 테스트 마스크의 프린트 및 에칭 단계 및 상기 포토리소그래피 마스크의 프린트 및 에칭 단계는 단일 패터닝 또는 다수의 패터닝 리소그래피 처리를 포함하는, 방법.
  10. 청구항 8에 있어서, 상기 단일 또는 다수의 패터닝 리소그래피 처리에서 사용된 상기 포토리소그래피 마스크의 패턴 소자에 대한 상기 테스트 마스크의 복수의 테스트 패턴 소자의 이동으로부터 오버레이 오류를 결정하는 단계를 더 포함하는, 방법.
  11. 청구항 1 또는 청구항 2에 있어서, 자가 정렬된 더블 패터닝 처리에서 사용된 상기 웨이퍼 상의 희생층에 국부적으로 지속적인 수정부의 상기 적어도 하나의 배치를 도입하는 단계를 더 포함하는, 방법.
  12. 적어도 하나의 포토리소그래피 마스크에 의해 처리된 웨이퍼 상의 적어도 하나의 오버레이 오류를 교정하기 위한 장치로서,
    a. 웨이퍼 처리 사이트 및 마스크 샵 중 적어도 하나에 위치되고 웨이퍼 상의 상기 적어도 하나의 오버레이 오류를 측정하도록 적응된 적어도 하나의 계측 시스템;
    b. 상기 적어도 하나의 오버레이 오류에 기초하여 적어도 두 개의 오류 교정 수단을 위한 파라미터를 계산하도록 적응된 적어도 하나의 컴퓨팅 수단;
    c. 초단광 펄스를 적용하고 상기 포토리소그래피 마스크의 국부적으로 지속적인 수정부의 적어도 하나의 배치를 도입함으로써 상기 적어도 하나의 오버레이 오류의 국부적인 오버레이 에러를 수정하도록 적응된 적어도 하나의 제1 오류 교정 수단; 및
    d. 선형 변환을 수행함으로써 상기 적어도 하나의 오버레이 오류의 글로벌 오버레이 오류를 수정하도록 적응된 적어도 하나의 제2 오류 교정 수단을 포함하는, 장치.
  13. 청구항 12에 있어서, 상기 장치는 청구항 1 또는 청구항 2에 기재된 방법을 수행하도록 적응된, 장치.
  14. 청구항 13에 있어서, 상기 적어도 하나의 계측 시스템은,
    초고정밀 스테이지;
    적어도 하나의 레이저원 및 기타 광원 중 적어도 하나; 및
    자외선 파장 범위에서 동작하는 적어도 하나의 전하 결합 디바이스 카메라, 주사 전자 현미경, 스케터로미터(scatterometer), 및 이미지 기반 또는 모델 기반 계측 시스템 중 적어도 하나;
    를 포함하는, 장치.
  15. 삭제
KR1020137017957A 2010-12-17 2011-12-02 포토리소그래피 마스크에 의해 처리된 웨이퍼 상의 오류를 교정하기 위한 방법 및 장치 KR101885394B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201061424422P 2010-12-17 2010-12-17
US61/424,422 2010-12-17
PCT/EP2011/071654 WO2012080008A2 (en) 2010-12-17 2011-12-02 Method and apparatus for correcting errors on a wafer processed by a photolithographic mask

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020187021871A Division KR101983525B1 (ko) 2010-12-17 2011-12-02 포토리소그래피 마스크에 의해 처리된 웨이퍼 상의 오류를 교정하기 위한 방법 및 장치

Publications (2)

Publication Number Publication Date
KR20130132907A KR20130132907A (ko) 2013-12-05
KR101885394B1 true KR101885394B1 (ko) 2018-08-03

Family

ID=45315753

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020137017957A KR101885394B1 (ko) 2010-12-17 2011-12-02 포토리소그래피 마스크에 의해 처리된 웨이퍼 상의 오류를 교정하기 위한 방법 및 장치
KR1020187021871A KR101983525B1 (ko) 2010-12-17 2011-12-02 포토리소그래피 마스크에 의해 처리된 웨이퍼 상의 오류를 교정하기 위한 방법 및 장치

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020187021871A KR101983525B1 (ko) 2010-12-17 2011-12-02 포토리소그래피 마스크에 의해 처리된 웨이퍼 상의 오류를 교정하기 위한 방법 및 장치

Country Status (4)

Country Link
US (3) US20120154773A1 (ko)
JP (1) JP5821100B2 (ko)
KR (2) KR101885394B1 (ko)
WO (1) WO2012080008A2 (ko)

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102008029661A1 (de) * 2008-06-24 2009-12-31 Khs Ag Redundante Inspektion
US8539394B2 (en) * 2011-03-02 2013-09-17 Carl Zeiss Sms Ltd. Method and apparatus for minimizing overlay errors in lithography
DE102012014768B4 (de) * 2012-07-23 2014-03-20 Carl Zeiss Sms Gmbh Mikroskop mit einer Übersichtsoptik
JP5943306B2 (ja) * 2012-10-30 2016-07-05 大日本印刷株式会社 反射型マスクの製造方法およびマスクブランクの製造方法
DE102012022603B3 (de) 2012-11-19 2014-05-08 Acquifer Ag Vorrichtung und Verfahren zur Mikroskopie einer Vielzahl von Proben
US9081287B2 (en) 2012-12-20 2015-07-14 Kla-Tencor Corporation Methods of measuring overlay errors in area-imaging e-beam lithography
US9176396B2 (en) * 2013-02-27 2015-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Overlay sampling methodology
US9052595B2 (en) 2013-03-15 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process
WO2014193854A1 (en) * 2013-05-27 2014-12-04 Kla-Tencor Corporation Scatterometry overlay metrology targets and methods
US9740108B2 (en) 2013-05-27 2017-08-22 Kla-Tencor Corporation Scatterometry overlay metrology targets and methods
US8863043B1 (en) * 2013-05-30 2014-10-14 Kabushiki Kaisha Toshiba Inspection data generator, inspection data generating method and pattern inspecting method
US9715180B2 (en) * 2013-06-11 2017-07-25 Cymer, Llc Wafer-based light source parameter control
US9053284B2 (en) * 2013-09-04 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for overlay control
US9070622B2 (en) * 2013-09-13 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for similarity-based semiconductor process control
US9490182B2 (en) 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
WO2015109036A1 (en) 2014-01-15 2015-07-23 Kla-Tencor Corporation Overlay measurement of pitch walk in multiply patterned targets
CN106461549B (zh) * 2014-03-10 2019-07-30 诺威量测设备股份有限公司 用于图案化结构中的测试结构及利用测试结构的计量技术
JP6305800B2 (ja) 2014-03-19 2018-04-04 東芝メモリ株式会社 マスク製造装置及びマスク製造方法
US9688027B2 (en) * 2014-04-01 2017-06-27 Stratasys, Inc. Electrophotography-based additive manufacturing with overlay control
US9919479B2 (en) * 2014-04-01 2018-03-20 Stratasys, Inc. Registration and overlay error correction of electrophotographically formed elements in an additive manufacturing system
US9262578B2 (en) * 2014-04-25 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit manufacturing
US20170146465A1 (en) * 2014-06-19 2017-05-25 Nova Measuring Instruments Ltd. Test structure design for metrology measurements in patterned samples
WO2015195272A1 (en) * 2014-06-20 2015-12-23 Applied Materials, Inc. Methods for reducing semiconductor substrate strain variation
US9632407B2 (en) * 2014-07-18 2017-04-25 Kabushiki Kaisha Yoshiba Mask processing apparatus and mask processing method
US10146141B2 (en) * 2014-08-28 2018-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process and system with enhanced overlay quality
JP6331891B2 (ja) * 2014-08-29 2018-05-30 株式会社デンソー ガスセンサ
US10883924B2 (en) 2014-09-08 2021-01-05 The Research Foundation Of State University Of New York Metallic gratings and measurement methods thereof
US9305884B1 (en) * 2014-09-26 2016-04-05 United Microelectronics Corp. Overlay mark and method for forming the same
US9490116B2 (en) 2015-01-09 2016-11-08 Applied Materials, Inc. Gate stack materials for semiconductor applications for lithographic overlay improvement
JP6687630B2 (ja) 2015-02-03 2020-04-22 エーエスエムエル ネザーランズ ビー.ブイ. マスクアセンブリ及び関連する方法
US9842724B2 (en) 2015-02-03 2017-12-12 Kla-Tencor Corporation Method and system for imaging of a photomask through a pellicle
WO2016207891A1 (en) 2015-06-22 2016-12-29 Nova Measuring Instruments Ltd. Method for use in process control of manufacture of patterned samples
US10062543B2 (en) * 2015-06-23 2018-08-28 Kla-Tencor Corp. Determining multi-patterning step overlay error
CN112925177B (zh) * 2016-01-11 2022-09-06 科磊股份有限公司 热点及工艺窗监测
CN205556762U (zh) * 2016-05-05 2016-09-07 鄂尔多斯市源盛光电有限责任公司 掩膜板、母板、掩膜板制造设备和显示基板蒸镀系统
US10897566B2 (en) 2016-09-28 2021-01-19 Kla-Tencor Corporation Direct focusing with image binning in metrology tools
WO2018089217A1 (en) * 2016-11-11 2018-05-17 Applied Materials, Inc. Hybrid laser and implant treatment for overlay error correction
KR102271283B1 (ko) * 2017-01-31 2021-07-02 에이에스엠엘 네델란즈 비.브이. 패턴 위치설정 정확도 증가 방법 및 시스템
US10103166B1 (en) 2017-04-10 2018-10-16 Macronix International Co., Ltd. Semiconductor device and critical dimension defining method thereof
KR102352673B1 (ko) * 2017-08-07 2022-01-17 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 계측법
JP6863169B2 (ja) 2017-08-15 2021-04-21 Agc株式会社 反射型マスクブランク、および反射型マスク
JP2019164300A (ja) 2018-03-20 2019-09-26 東芝メモリ株式会社 基板加工制御装置、基板加工プログラム、およびフォトマスクの作製方法
TWI749355B (zh) * 2018-08-17 2021-12-11 荷蘭商Asml荷蘭公司 用於校正圖案化程序之度量衡資料之方法及相關的電腦程式產品
DE102018218129B4 (de) * 2018-10-23 2023-10-12 Carl Zeiss Sms Ltd. Verfahren zum Bestimmen von Positionen einer Vielzahl von Pixeln, die in ein Substrat einer photolithographischen Maske eingebracht werden sollen
JP7224712B2 (ja) 2018-12-03 2023-02-20 信越化学工業株式会社 ペリクルの製造方法、ペリクル、ペリクル付フォトマスク、露光方法、半導体デバイスの製造方法、液晶ディスプレイの製造方法及び有機elディスプレイの製造方法。
KR20210008678A (ko) * 2019-07-15 2021-01-25 삼성전자주식회사 포토 마스크의 제조 방법 및 반도체 장치의 제조 방법
TW202129404A (zh) 2020-01-21 2021-08-01 日商信越化學工業股份有限公司 防護薄膜框架、防護薄膜、帶防護薄膜的曝光原版及曝光方法、以及半導體或液晶顯示器的製造方法
KR20210131798A (ko) * 2020-04-24 2021-11-03 삼성전자주식회사 Euv 노광 장치, 및 그 노광 장치를 이용한 오버레이 보정 방법과 반도체 소자 제조방법
KR20220099005A (ko) 2021-01-05 2022-07-12 삼성전자주식회사 반도체 소자 제조 방법
CN114911139A (zh) * 2021-02-09 2022-08-16 普思半导体股份有限公司 微影图案叠对校正方法及系统与光罩图案产生方法
CN114758942B (zh) * 2022-03-24 2023-05-30 中国科学院光电技术研究所 一种反应离子刻蚀掩膜
CN114815496B (zh) * 2022-04-08 2023-07-21 中国科学院光电技术研究所 应用于超分辨光刻的像素化光学邻近效应修正方法及系统

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030034570A1 (en) * 1999-09-01 2003-02-20 Micron Technology, Inc. Field correction of overlay error
US20060234139A1 (en) * 2005-04-13 2006-10-19 Kla-Tencor Technologies Corporation Systems and methods for modifying a reticle's optical properties

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0728226A (ja) 1993-04-30 1995-01-31 Internatl Business Mach Corp <Ibm> 領域的イメージを測定する装置及び方法
EP1303792B1 (en) * 2000-07-16 2012-10-03 Board Of Regents, The University Of Texas System High-resolution overlay alignement methods and systems for imprint lithography
JP4022374B2 (ja) * 2001-01-26 2007-12-19 株式会社ルネサステクノロジ 半導体デバイスの製造方法およびそのシステム
AU2002358960A1 (en) * 2002-02-20 2003-09-09 U.C.Laser Ltd. Method and system for repairing defected photomasks
US7241539B2 (en) 2002-10-07 2007-07-10 Samsung Electronics Co., Ltd. Photomasks including shadowing elements therein and related methods and systems
KR100486270B1 (ko) 2002-10-07 2005-04-29 삼성전자주식회사 웨이퍼 상의 임계 선폭을 제어할 수 있는 포토 마스크제조 방법, 이에 의한 포토 마스크 및 이를 이용한 노광방법
ATE419558T1 (de) * 2002-10-21 2009-01-15 Nanoink Inc Verfahren zur herstellung von strukturen im nanometerbereich zur anwendung im bereich der maskenreparatur
JP4302965B2 (ja) * 2002-11-01 2009-07-29 株式会社日立ハイテクノロジーズ 半導体デバイスの製造方法及びその製造システム
KR101099301B1 (ko) 2003-07-18 2011-12-26 칼 자이스 에스엠에스 엘티디 포토마스크의 임계치 변화량 정정 방법
CN1910516B (zh) * 2004-01-29 2011-01-12 克拉-坦科技术股份有限公司 用于检测标线设计数据中的缺陷的计算机实现方法
NL1027836C2 (nl) 2004-12-21 2006-06-22 Stichting Fund Ond Material Meerlagenspiegel voor straling in het zachte-röntgen- en XUV-golflengtegebied.
KR100735532B1 (ko) 2006-03-21 2007-07-04 삼성전자주식회사 기판 내에 팽창부를 포함하는 포토마스크 및 포토마스크의표면 평탄화 방법
DE102006054820B4 (de) 2006-11-21 2011-11-24 Advanced Mask Technology Center Gmbh & Co. Kg Verfahren zur Korrektur von Platzierungsfehlern
KR100886219B1 (ko) * 2007-06-07 2009-02-27 삼성전자주식회사 자기정렬된 이중 패터닝을 채택하는 미세 패턴 형성 방법
US20090046281A1 (en) * 2007-08-16 2009-02-19 Joseph Straub Method and System for Automated Inspection System Characterization and Monitoring
US20090168034A1 (en) * 2007-12-28 2009-07-02 Jens Staecker Methods and Apparatus of Manufacturing a Semiconductor Device
NL1036857A1 (nl) * 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
JP5353230B2 (ja) * 2008-12-25 2013-11-27 大日本印刷株式会社 フォトマスクのパタン位置補正方法および位置補正されたフォトマスク
US9658527B2 (en) * 2010-07-12 2017-05-23 Carl Zeiss Sms Ltd. Correction of errors of a photolithographic mask using a joint optimization process

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030034570A1 (en) * 1999-09-01 2003-02-20 Micron Technology, Inc. Field correction of overlay error
US20060234139A1 (en) * 2005-04-13 2006-10-19 Kla-Tencor Technologies Corporation Systems and methods for modifying a reticle's optical properties

Also Published As

Publication number Publication date
KR20180088527A (ko) 2018-08-03
KR101983525B1 (ko) 2019-05-28
WO2012080008A3 (en) 2012-08-09
US9436080B2 (en) 2016-09-06
US20140036243A1 (en) 2014-02-06
US20120154773A1 (en) 2012-06-21
JP5821100B2 (ja) 2015-11-24
US20160342080A1 (en) 2016-11-24
US10061192B2 (en) 2018-08-28
JP2014504376A (ja) 2014-02-20
KR20130132907A (ko) 2013-12-05
WO2012080008A2 (en) 2012-06-21

Similar Documents

Publication Publication Date Title
KR101885394B1 (ko) 포토리소그래피 마스크에 의해 처리된 웨이퍼 상의 오류를 교정하기 위한 방법 및 장치
TWI572990B (zh) 施加一圖案至一基板之方法、元件製造方法及用於此等方法之微影裝置
JP6140662B2 (ja) 応力ならびにオーバーレイのフィードフォーワード、及び/または、フィードバック・リソグラフィック・プロセス制御
US8539394B2 (en) Method and apparatus for minimizing overlay errors in lithography
KR102532467B1 (ko) 극자외선 파장 범위용 마스크를 제조하는 방법, 마스크 및 장치
US9857694B2 (en) Estimating deformation of a patterning device and/or a change in its position
JP5507387B2 (ja) リソグラフィ装置およびデバイス製造方法
KR102222149B1 (ko) 레벨 센서 장치, 기판에 걸친 토포그래피 변동을 측정하는 방법, 리소그래피 프로세스에 관련된 물리적 파라미터의 변동을 측정하는 방법, 및 리소그래피 장치
JP2007110130A (ja) 基板の熱的に引き起こされる変形を予測する方法及び設備、並びに半導体デバイス
JP4443537B2 (ja) リソグラフィ装置又はその一部を較正又は検定する方法及びデバイス製造方法
NL1035941A1 (nl) Methods of characterizing similarity between measurements on entities, computer program product and data carrier.
JP2018523152A (ja) リソグラフィ装置及び方法
TWI750640B (zh) 判定與標記佈局相關聯的對準模型之方法、電腦程式產品、量測系統及微影裝置
US9354048B2 (en) Method for measuring a lithography mask or a mask blank
CN108027572A (zh) 用于控制光刻设备的方法、光刻设备以及器件制造方法
EP3255493A1 (en) Method of determining pellicle compensation corrections for a lithographic process, metrology apparatus and computer program
US7889318B2 (en) Methods of characterizing similarity between measurements on entities, computer programs product and data carrier
JP2007180547A (ja) リソグラフィ装置、パターニングデバイスおよびデバイス製造方法
Weichselbaum et al. Immersion and dry scanner extensions for sub-10nm production nodes
CN107810447A (zh) 用于将标记图案转印到衬底的方法、校准方法以及光刻设备
TWI825417B (zh) 判定一基板之一失真分量之方法
TW201923449A (zh) 圖案化裝置、用於圖案化裝置之製造方法、用於圖案化倍縮光罩之系統、檢測工具之校準方法及微影設備
Pritschow et al. High-resolution e-beam repair for nanoimprint templates

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant