JP5821100B2 - フォトリソグラフィマスクによって処理されるウェーハ上の誤差を補正する方法及び装置 - Google Patents

フォトリソグラフィマスクによって処理されるウェーハ上の誤差を補正する方法及び装置 Download PDF

Info

Publication number
JP5821100B2
JP5821100B2 JP2013543632A JP2013543632A JP5821100B2 JP 5821100 B2 JP5821100 B2 JP 5821100B2 JP 2013543632 A JP2013543632 A JP 2013543632A JP 2013543632 A JP2013543632 A JP 2013543632A JP 5821100 B2 JP5821100 B2 JP 5821100B2
Authority
JP
Japan
Prior art keywords
mask
error
wafer
pattern
overlay
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2013543632A
Other languages
English (en)
Other versions
JP2014504376A (ja
Inventor
ディルク バイアー
ディルク バイアー
ウラジミール ドミトリエフ
ウラジミール ドミトリエフ
オフィア シャロニ
オフィア シャロニ
ナダフ ワーツマン
ナダフ ワーツマン
Original Assignee
カール ツァイス エスエムエス ゲーエムベーハー
カール ツァイス エスエムエス ゲーエムベーハー
カール ツァイス エスエムエス リミテッド
カール ツァイス エスエムエス リミテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by カール ツァイス エスエムエス ゲーエムベーハー, カール ツァイス エスエムエス ゲーエムベーハー, カール ツァイス エスエムエス リミテッド, カール ツァイス エスエムエス リミテッド filed Critical カール ツァイス エスエムエス ゲーエムベーハー
Publication of JP2014504376A publication Critical patent/JP2014504376A/ja
Application granted granted Critical
Publication of JP5821100B2 publication Critical patent/JP5821100B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/7035Proximity or contact printers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Description

本発明は、フォトリソグラフィマスクによって処理されるウェーハ上の誤差を補正する分野に関する。
集積回路の縮小するサイズの結果として、フォトリソグラフィマスク又はナノインプリントリソグラフィのテンプレートは、益々小さい構造をウェーハ上に付加された感光層、すなわち、フォトレジスト上に投影する必要がある。この要求を満たすために、フォトリソグラフィマスクの露光波長は、電磁スペクトルの近紫外領域から中間紫外領域にわたって遠紫外領域に移行した。現在、ウェーハ上のフォトレジストの露光には、一般的に193nmの波長が使用されている。フォトリソグラフィ露光系の分解能の高めるために、多くの場合に、投影対物系とウェーハの間の液浸液として水が使用される。その結果、高い分解能を有するフォトリソグラフィマスクの製造は益々複雑になってきており、従って、益々高価にもなってきている。将来、フォトリソグラフィマスクは、電磁スペクトルの極紫外(EUV)波長範囲の有意に短い波長(約13.5nmにおける)を使用することになる。二重パターン化リソグラフィは、水ベースの193nm液浸リソグラフィとEUVリソグラフィの間の格差を橋渡しするものである。
フォトリソグラフィマスクは、透過率の均一性、平面性、純粋性、及び温度安定性に関して非常に高い要求を満たさなければならない。ある程度の収量を伴ってフォトリソグラフィマスクを製作するためには、マスクの欠陥又は誤差を製造工程の終了時点で補正する必要がある。フォトリソグラフィマスクの様々な種類の誤差、及びこれらの誤差の補正の方法は、本出願人の子会社の米国特許仮出願US 61 351 056及びUS 61 363 352に説明されており、これらの文献は、これによってその全体が引用によって本明細書に組み込まれる。
一般的に、フォトリソグラフィマスクの土台は、1つの面上に薄いクロム層又は別の光不透過材料の層を有する溶融石英又は他の低熱膨張材料の超純粋基板である。フォトリソグラフィマスクのパターン要素は、吸収材料内にパターン要素を書き込む主に電子である粒子ビーム又はそれぞれのレーザビームに基づくいわゆるパターン発生器によって生成される。その後のエッチング処理において、パターン要素は、フォトリソグラフィマスクの基板上に形成される。図1は、マスク製作工程を略示している。製作工程の詳細に対しては、本明細書の第5節に説明する。
生成されるマスク上のパターン要素の正確な位置は、位置合わせ計測ツールを用いて測定される。フォトリソグラフィマスクがパターン要素の最大許容可能位置決め誤差を超えた場合には、マスクに再度書込みを行わなければならない。再書込み工程中には、最初に、最初の書込み工程の位置決め誤差を補正することが試みられる。しかし、この試みは、位置決め誤差が系統的なものである場合にのみ機能する。臨界フォトリソグラフィマスクの書込み時間は非常に長い場合があり、20時間までの期間に達することがある。従って、フォトリソグラフィマスクの繰返し書込みは、極めて時間を消費する不経済な工程である。
別の工程では、いわゆる位置合わせ補正(RegC)工程の適用により、フォトリソグラフィマスクの位置決め誤差を最小にすることができる。文献US 61 361 056に説明されているように、この工程は、レーザ系のフェムト秒光パルス又は超短光パルスを用いて、フォトリソグラフィマスクの基板の密度を局所的に変更し、それによってフォトリソグラフィマスクの基板面上にパターン配置のシフトがもたらされる。
構造化された吸収層を保護するために、吸収パターン要素を担持するフォトリソグラフィマスクの面上にペリクルが装着される。臨界マスク又はより正確にオーバーレイ臨界マスクでは、位置決め誤差に対するペリクルの影響を決定するために、パターン要素の位置の測定を繰り返さなければならない。この工程を図1に概略的に表している。
ウェーハ上での集積回路の生成は、異なる層又は構成要素レベルの製作のために、いくつかの異なるフォトリソグラフィマスクの連続的な適用を必要とする。集積回路の生成に必要な複数のフォトリソグラフィマスクをマスクセットと呼ぶ。高度な集積回路では、マスクセットは、20個から50個の異なるフォトリソグラフィマスクを含む場合がある。マスク製作工程の終了時点において、完全なマスクセットが、マスク工場からウェーハ処理サイト又はウェーハ製作サイトに移送される。
ウェーハ処理サイトでは、様々なマスクのパターン要素をウェーハ上のそれぞれのフォトレジスト層に転写するために、投影デバイスが、マスクセットの個々のフォトリソグラフィマスクを用いてウェーハを順次照明する。図2は、この工程を概略的に表している。リソグラフィ工程及びその後のエッチング処理により、フォトリソグラフィマスクのパターン要素はウェーハに複写され、集積回路のそれぞれの層が形成される。ウェーハ上での異なるフォトリソグラフィマスクの重ね合わせ精度をオーバーレイと呼び、オーバーレイは、オーバーレイ計測システムを用いてフォトリソグラフィマスクからウェーハ上のフォトレジスト層に同じく複写されるオーバーレイターゲットを用いて決定される。
連続するマスクのオーバーレイ誤差が予め決定された閾値を超えた場合には、投影デバイスは再調節され、最後のマスクの照明が繰り返され、オーバーレイ誤差が再度測定される。オーバーレイ誤差が依然としてオーバーレイ割当量を超えた場合には、誤差の根本原因を解析しなければならず、オーバーレイ仕様が引き締められる。それぞれのマスクは、そのパターン要素の再書込みのために、マスク製作サイト又はマスク工場に送り返される。既に略述したように、この修復工程又は再書込み工程は極めて時間を消費し、ウェーハ処理サイトにおけるウェーハ処理を有意に阻害する。
現在、ウェーハ処理サイトでは、オーバーレイは、集積回路のスクライブラインの4つのコーナに配置されたボックスインボックスオーバーレイターゲット、バーインバーオーバーレイターゲット、及びAIM(高度結像計測)オーバーレイターゲットのようないくつかの専用ターゲットにおいて決定される。B.Schulz他による論文「ダイ内オーバーレイ計測を使用する未来技術ノードにおけるオーバーレイ要件を満たすこと(Meeting overlay requirements for future technology nodes with in−die overlay metrology)」、SPIE会報、第6518巻、2007年は、フォトリソグラフィマスクの品質をスクライブライン内の標準の位置合わせ測定によって決定するのは、ダイ内の構造の配置を少しも表すものではないことを説明している。この状況は、フォトリソグラフィマスクのパターン配置誤差の仕様が、代表的な構造、特にダイ内の場所を含む密度サンプリング計画に基づく場合にのみ改善することができる。この論文の著者は、オーバーレイ誤差に対するペリクルの影響に関する測定も報じている。著者は、この寄与が1nmの範囲(3σ値)内にあるが、利用可能な手法及びオーバーレイ計測システムの精密度レベルを用いて決定するには小さすぎると結論付けている。
32nm技術ノードへの193nmArF(フッ化アルゴン)リソグラフィの拡張により、フォトリソグラフィマスクの位置決め誤差及びウェーハ上のオーバーレイ精度に対して非常に高い要求が課せられる。32nmノードでは、オーバーレイ割当量は、生産されるデバイス又は集積回路に基づいて、約6nm(3σ値)まで低下する。更に、本出願人は、位置決め誤差へのペリクルのマウントの寄与が、上述の論文において推定されたものよりも有意に大きい場合があることを見出した。この誤差は、数ナノメートルの寸法に達する可能性があり、全オーバーレイ割当量の50%超を占める場合がある。この誤差は、全体のウェーハ製作工程の収量を有意に低下させ、従って、許容することができない。更に、ペリクル装着工程の影響は、その不十分な系統性に起因して不完全に事前補正することができないので、状況は複雑である。
32nmノードよりも下では、いくつかの手法において2.5nmよりも小さいオーバーレイ精度を必要とする、いわゆる二重パターン技術が適用される。二重パターン化リソグラフィ(DPL)では、パターン分解能を制限するピッチサイズが、単一パターンに対して2倍になる。著者P.Zimmermannは、その論文「二重パターン化リソグラフィ:問題を2倍にするのか、それとも喜びを2倍にするのか?(Double patterning lithography: double the trouble or double the fun?)」、SPIE Newsroom、2009年7月20日において、様々なパターン手法を要約している。現在、3つの二重パターン変形が、リソグラフィ系における用途において有望であると思われる。
(a)図9に、リソグラフィ−エッチング−リソグラフィ−エッチング(LELE)工程を略示している。ハードマスク(図9のハードマスク1番)が、パターンされる層(図9のハードマスク2番)上に堆積される。ウェーハが、第1のフォトリソグラフィマスクを用いて露光される(1回目の露光、図9の黒塗りの列)。ハードマスク2番がエッチングされる(1回目のエッチング)。次に、ウェーハが第2のマスクを用いて露光される(2回目の露光、図9の黒塗りの列)最後にハードマスク2番がエッチングされ、こうしてこの層内に第1のマスクとこのマスクとの組合せパターンが形成される。
(b)図10は、リソグラフィ−フリーズ−リソグラフィ−エッチング(LFLE)工程を略示している。この工程は、図10の3つの列で表す1回目の露光の現像されたフォトレジストパターンをフリーズさせることによって機能する。次に、2回目の露光(図10には示していない)の前に、第2のフォトレジストが追加される。更に、フォトレジストの現像の後に、両方のフォトリソグラフィマスクのフォトレジストパターンが、1つの段階でエッチングされる。
(c)自己整列二重パターン化(SADP)工程を図11に示している。この工程は、エッチングされる層上へのフォトレジスト層の堆積で始まる。次の段階では、フォトレジスト層が露光されて現像される。
次に、リソグラフィ段階で生成されたパターンの上に、全てのパターン要素を覆うスペーサ層が堆積される。更に、覆われた層が選択的にエッチング除去され、あらゆる稜部に沿って2つの側壁が残される。次の段階では、フォトレジスト材料が除去され、残っているスペーサがエッチングマスクを形成して、層がエッチングされる。最後に残留スペーサが除去される。
二重パターン化工程、特にLELE工程及びLFLE工程では、臨界寸法均一性(CDU)及びオーバーレイ誤差が複雑である。更に、二重パターン化リソグラフィ工程は、CDUとオーバーレイ誤差とを混乱させる。論文「3nmオーバーレイ及び臨界寸法均一性に向けて:二重パターン化リソグラフィにおける積分誤差割当量(Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography)」において、W.A.Arnoldは、誤差割当量への様々な寄与を解説している。この著者は、二重パターン化リソグラフィ工程が、CDU、並びにオーバーレイ誤差に対して重大な関わりを有することを見出している。上述したように、二重パターン化リソグラフィ工程は、約2.5nmのオーバーレイ誤差及び1nmよりも小さいCDU変化を必要とする。
US 61 351 056 US 61 363 352 US 61 361 056
B.Schulz他著「ダイ内オーバーレイ計測を使用する未来技術ノードにおけるオーバーレイ要件を満たすこと(Meeting overlay requirements for future technology nodes with in−die overlay metrology)」、SPIE会報、第6518巻、2007年 P.Zimmermann著「二重パターン化リソグラフィ:問題を2倍にするのか、それとも喜びを2倍にするのか?(Double patterning lithography: double the trouble or double the fun?)」、SPIE Newsroom、2009年7月20日 W.A.Arnold著「3nmオーバーレイ及び臨界寸法均一性に向けて:二重パターン化リソグラフィにおける積分誤差割当量(Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography)」
従って、本発明の1つの目的は、上記に解説した問題を少なくとも部分的に回避するフォトリソグラフィマスクによって照明されるウェーハ上の誤差を測定して(すなわち、「計測法」)補正する方法及び装置を提供することである。
本発明の第1の態様により、請求項1に記載の方法を提供する。一部の実施形態において、少なくとも1つのフォトリソグラフィマスクによって処理されるウェーハ上の少なくとも1つの誤差を補正する方法は、ウェーハ処理サイトにおいてウェーハ上の少なくとも1つの誤差を測定する段階と、少なくとも1つのフォトリソグラフィマスク内に少なくとも1つの局所的持続性修正配列を導入することによって少なくとも1つのフォトリソグラフィマスクを修正する段階とを含む。
この定められた方法は、ウェーハ処理サイト又はウェーハ製作サイトにおけるフォトリソグラフィマスクを用いた最終ウェーハオーバーレイでのウェーハの照明又は露光において生成される、ウェーハ上の誤差を測定する。従って、本発明の方法は、ウェーハ上で測定されるオーバーレイ誤差に対して影響を及ぼした全ての問題を考慮する。未来技術ノードでは、オーバーレイ割当量は、更に小さくなるので、マスクオーバーレイにおける全体的な誤差を決定するのは必須である。全体的なオーバーレイ誤差への様々な寄与を分離し、これらを個別に補正するのは益々困難になる。例えば、本発明の原理は、測定データに対するペリクル装着工程の影響を事前に考慮する。更に、フォトリソグラフィ照明系の投影デバイスの問題も自動的に考慮される。
本発明の原理は、異なるフォトリソグラフィマスクの照明工程によって生成されるウェーハ上の誤差を検出する。位置合わせ補正(RegC)として公知であり、文献US 61 351 056に説明されている方法は、パターン要素のシフト又は変位の計算を可能にし、それによってウェーハ上で検出される誤差をそれぞれのフォトリソグラフィマスク上のパターン配置を修正することによって補正することができる。この目的で、マスク基板密度を修正し、それによって必要とされるパターン配置シフトを誘発するために、ウェーハ処理サイトにおいて、レーザ系のフェムト秒光パルス又は超短光パルスが印加される。この工程により、集積回路の異なる層を表す個々のマスクを互いに直接に整列させることができる。従って、この定められた方法は、異なるマスクの整列誤差を低減し、オーバーレイ誤差の最小化をもたらす。従って、本発明の方法は、関わっている既存のフォトリソグラフィマスクの再書込みをかなりの程度まで回避する。
更に別の態様において、ウェーハ処理サイトにおいてフォトリソグラフィマスクによって処理されるウェーハ上の誤差を補正する方法は、ウェーハ処理サイトにおけるウェーハ上の誤差の測定段階と、フォトリソグラフィマスクにレーザ系のフェムト秒光パルスを局所的に印加することによってフォトリソグラフィマスク上のパターン配置を修正する段階とを含む。
本発明の方法は、フォトリソグラフィマスクの局所CD誤差及びオーバーレイ誤差、すなわち、投影系のスキャナのスケール及び直交性(S/O)の補正によって補正することができない誤差を補償することができる。従って、本方法は、フォトリソグラフィマスク又は単純にマスクの局所誤差を補正するのに非常に適し、集積回路(IC)の製作において低いオーバーレイ誤差をもたらす。従って、本発明の方法は、厳しいオーバーレイ誤差割当量を有するDPL工程を使用する更に別の技術ノードの導入を助ける。
更に別の態様において、ウェーハ上の少なくとも1つの誤差は、少なくとも1つのパターン配置誤差、少なくとも1つの臨界寸法誤差、及び/又は複数のフォトリソグラフィマスクの少なくとも1つのオーバーレイ誤差を含む。
本発明の方法は、フォトリソグラフィマスク上のパターン配置誤差から起因するオーバーレイ誤差、及び/又はフォトリソグラフィ照明系内に使用される投影デバイスのアラインメント問題、並びにマスク加熱、パターン忠実性に影響を及ぼす機械的歪み、及びウェーハレベルでのオーバーレイ誤差への付加的な寄与要素から起因するオーバーレイ誤差の補正に限定されない。本発明の方法は、ウェーハにわたるCD(臨界寸法)誤差をもたらす光学透過率変化を補正するのに使用することができる。更に、本発明の方法は、両方の種類の誤差を同時に補正することを可能にする。
別の態様において、ウェーハ上の少なくとも1つの誤差は、少なくとも1つのペリクル装着誤差、及び/又はフォトリソグラフィ投影露光系の少なくとも1つの結像誤差を含む。
1つ又はいくつかのフォトリソグラフィマスクによって生成される誤差は、ウェーハ処理サイトで測定され、従って、検出される誤差は、実際の生産環境の全ての寄与を含む。それぞれのマスクの誤差に加えて、検出される誤差は、マスク上へのペリクルの装着に起因して発生する部分欠陥、及び投影露光系の補正することができない欠陥も含む。
別の態様により、少なくとも1つのオーバーレイ誤差は、多重パターン化リソグラフィ工程に使用される少なくとも2つのフォトリソグラフィマスクのうちの少なくとも1つが有する少なくとも1つの誤差を含む。
多重パターン化リソグラフィ工程は、二重、三重、四重のようなパターン化リソグラフィ工程とすることができる。多重パターン化リソグラフィは、ウェーハ上の単層の印刷に複数のフォトリソグラフィマスクを必要とする。従って、多重パターン化リソグラフィ工程は、オーバーレイ誤差への新しい寄与を付加する。この寄与は、ウェーハ上の単層においてパターンを形成するのに使用される2つ又はいくつかのマスクの配置誤差である。
更に別の態様において、少なくとも1つのオーバーレイ誤差は、二重パターン化リソグラフィ工程に使用される少なくとも2つのフォトリソグラフィマスクのうちの少なくとも1つが有する少なくとも1つの誤差を含む。更に別の態様において、二重パターン化リソグラフィ工程は、リソグラフィ−エッチング−リソグラフィ−エッチング(LELE)工程、リソグラフィ−フリーズ−リソグラフィ−エッチング(LFLE)工程、又は自己整列二重パターン(SADP)工程を含む。
既に上述したように、現在、DPL工程は、特にCDU及びオーバーレイ誤差に関して非常に厳しい誤差割当量を満足することができる場合の更に別の技術モードの導入を可能にするリソグラフィ技術として着目されている。定められた方法の様々な特徴が、これらの誤差を有意に低減することができる。
別の態様により、少なくとも1つの誤差の測定段階は、チップの有効区域内(ダイ内)の少なくとも1つの誤差の測定段階を含む。
既に上述したように、32nm技術ノード及び未来技術ノードは、ダイの4つのコーナにおけるスクライブライン内のパターン配置だけではなく、ダイ自体の上(ダイ内)の規則的な格子におけるパターン配置の検出を必要とすることになる。この呈示した方法は、ダイ内のパターン要素の位置決め誤差の測定をサポートする。特にこの場合、これまで検出することができなかったオーバーレイ誤差への寄与をこれからは検出することができるように、サブナノメートル範囲での位置決め誤差の測定を可能にするオーバーレイ計測システムが利用可能である。
更に別の態様において、少なくとも1つの誤差の測定段階は、走査電子顕微鏡及び/又は散乱計を使用する段階を含む。
ウェーハ上の誤差を検出するために光子、並びに電子を使用することができる総合的な計測システムの適用は、高い多項式次数を有し、すなわち、高い分解能及び精度を有するウェーハ上視野内オーバーレイ計測を可能にする。この計測は、全てのオーバーレイ計測使用事例を網羅することができる。更に、マスク内への局所的持続性修正配列の導入に一般的に使用されるレーザ源を個別のツールによって実施することができ、又は全体的な計測システム内に統合することができる。これは、上記に定めた補正法の両方の段階を単一のツール内に組み合わせることができることを意味する。従って、定める補正法は、寸法、パターン配置、及びウェーハ上に配置されるパターン間のオーバーレイに関するウェーハ製作仕様の範囲でウェーハ上に高品質パターンを得るという最終目標を提供するために、ウェーハ処理サイトにおいて完全に実施することができる。ウェーハ処理サイトにおいてウェーハ上の誤差を測定し、マスク工場又はあらゆる他の場所でマスクを修正又は補正するか又はその逆を行うことも可能である。
工程がウェーハデータに基づいて実施される場合には、マスク誤差とフォトリソグラフィ処理誤差の両方(すなわち、投影、マスクアラインメント、フォトレジスト処理、並びにフォトリソグラフィ工程における付加的な構成要素及び機器のモジュールの誤差)が処理される。
別の態様により、少なくとも1つの誤差のダイ内測定段階は、ウェーハ上の少なくとも1つの2次元構造及び/又は少なくとも1つの3次元構造のシフトの測定段階、及び/又は画像ベース又はモデル−モデルベースの計測法を用いた少なくとも1つの2次元構造及び/又は少なくとも1つの3次元構造の楕円率の測定段階を含む。更に別の態様において、少なくとも1つの誤差のダイ内測定段階は、走査電子顕微鏡を用いた少なくとも1つのコンタクトホールのシフトの測定段階、及び/又は少なくとも1つのコンタクトホールの楕円率の測定段階を含む。
複数のコンタクトホール又はより一般的に2次元構造及び/又は3次元構造のシフト及び楕円率の決定は、2次元パターンを使用する二重パターン化リソグラフィ工程又はより一般的に多重パターン化リソグラフィ工程において一方又は両方のマスクのオーバーレイ誤差及び/又はCDU誤差を検出することを可能にする。
別の態様において、ウェーハ上の少なくとも1つの誤差の測定段階は、少なくとも1つのフォトリソグラフィマスク内の少なくとも1つの局所的持続性修正配列に対するダイ内フラグを与える。
ウェーハ上での誤差検出の精度の改善と並行して、ダイ内測定は、検出された誤差を補正又は補償する局所的持続性修正配列がフォトリソグラフィマスク内の何処に位置決めされるかに関する情報も与える。
更に別の態様において、少なくとも1つの誤差の測定段階は、ウェーハ上の現像されたフォトレジスト層における測定段階、及び/又はウェーハ上での測定段階を含む。
ウェーハ上のオーバーレイ誤差の測定段階は、ウェーハ上の現像されたフォトレジストにおいて実施することができる。従って、検出された誤差が予め決定された閾値よりも小さい場合には、ウェーハの処理を続行することができる。検出された誤差が許容可能レベルを超えた場合には、ウェーハからフォトレジストを除去し、新しいフォトレジスト層を付加することにより、最後の照明段階又は露光段階を繰り返すことができる。2回目の照明の前に、フォトリソグラフィマスクの誤差も補正される。
別の態様において、少なくとも1つのフォトリソグラフィマスクはペリクルを含む。
更に別の態様において、少なくとも1つの局所的持続性修正配列を導入する段階は、少なくとも1つのフォトリソグラフィマスク上にレーザ系の超短光パルスを局所的に印加する段階を含む。
更に別の態様により、フォトリソグラフィマスクを修正する段階は、少なくとも1つの局所的持続性修正配列を導入することにより、フォトリソグラフィマスクのパターン配置及び/又は光学透過率を修正する段階を含む。更に別の態様において、少なくとも1つの局所的持続性修正配列を導入する段階は、フォトリソグラフィマスクにわたる光学透過率の変化を導入しない。更に別の態様により、少なくとも1つの局所的持続性修正配列を導入する段階は、フォトリソグラフィマスクのパターン配置誤差及び/又は光学透過率誤差を補正する。
既に簡単に上述したように、レーザ系のフェムト秒光パルス又は超短光パルスは、例えば、フォトリソグラフィマスクの基板内に、フォトリソグラフィマスクの面上のパターン要素を予め決定された位置にシフトさせるピクセルと呼ぶ局所密度変化配列を書き込むことができる。誘発された基板密度変化は、フォトリソグラフィマスクの面上のパターン配置誤差を補正し、従って、マスクのオーバーレイ誤差を最小にする。その一方、フォトリソグラフィマスクの基板の面上でのパターン要素のシフトを含むことなくCD誤差を補正することができるように、フォトリソグラフィマスクにわたる光学透過率の変化を補正するピクセル配列をマスク基板内に書き込むことができる。更に、パターン配置誤差と光学透過率誤差の両方を補正するピクセル配列を定めて書き込むことができる。
別の態様において、少なくとも1つの局所的持続性修正配列を導入する段階は、フォトリソグラフィマスクの基板の密度を局所的に変更する。別の態様は、少なくとも1つの局所的持続性修正配列を基板の高さの中心に導入する段階を更に含む。
マスク基板の中心へのピクセルの書込みは、それぞれのフォトリソグラフィマスクを用いて照明されるウェーハ上で更に別の誤差をもたらす像欠陥を導入する可能性がある基板の屈曲を回避する。
更に別の態様において、フォトリソグラフィマスクは、透過フォトリソグラフィマスク、反射フォトリソグラフィマスク、及び/又はナノインプリントリソグラフィのためのテンプレートを含む。
複数のあらゆる種類のマスクを拠り所とするウェーハ上での集積回路の生成は、異なるマスクのパターン要素を整列させるという問題を有する。従って、本発明の方法は、これらのウェーハ製作工程において発生するオーバーレイ誤差を解決するか又は少なくとも大幅に低減するのに使用することができる。
別の態様において、この誤差は、フォトリソグラフィマスクのうちの殆どのものが有する少なくとも1つのオーバーレイ誤差であり、本方法は、フォトリソグラフィマスクのうちの殆どのものうちの少なくとも1つの内部に少なくとも1つの局所的持続性修正配列を導入することにより、少なくとも1つのオーバーレイ誤差を補正する段階を更に含む。更に別の態様において、フォトリソグラフィマスクのうちの殆どのものは、多重パターン化リソグラフィ工程に使用される。
有利な態様において、この誤差は、少なくとも1つの第1のフォトリソグラフィマスク及び少なくとも1つの第2のフォトリソグラフィマスクの少なくとも1つのオーバーレイ誤差であり、本方法は、少なくとも1つのオーバーレイ誤差が最小にされるように、少なくとも1つの第1のフォトリソグラフィマスク及び/又は少なくとも1つの第2のフォトリソグラフィマスク内に少なくとも1つの局所的持続性修正配列を導入することにより、少なくとも1つのオーバーレイ誤差を補正する段階を更に含む。
更に別の態様により、多重フォトリソグラフィ工程では、複数のフォトリソグラフィマスクが使用される。別の態様では、二重パターン化リソグラフィ工程において、少なくとも1つの第1のフォトリソグラフィマスク及び少なくとも1つの第2のフォトリソグラフィマスクが使用される。
2つの先行段落において定めたオーバーレイ誤差補正法は、複数回の露光を使用する多重パターン化リソグラフィ(MPL)工程に適用することができる。特に、このオーバーレイ誤差補正法は、2回の露光を使用するDPL工程に適用することができる。二重パターン化リソグラフィ工程では、多くの場合に、2つのマスクが、ウェーハの単層のパターンを生成するのに使用される。これらのマスクの一方又は両方は、DPL工程のオーバーレイ誤差において出現する誤差に寄与することができる。その結果、DPL工程に使用される両方のマスクの部分誤差が測定され、DPL工程のオーバーレイ誤差を最小にするために、これらのマスクの一方又は両方が補正される。
更に別の態様において、少なくとも1つのオーバーレイ誤差を補正する段階は、少なくとも1つの第1のフォトリソグラフィマスク内に少なくとも1つの第1の局所的持続性修正配列を導入する段階、及び/又は少なくとも1つの第2のフォトリソグラフィマスク内に少なくとも1つの第2の局所的持続性修正配列を導入する段階を含む。別の態様により、少なくとも1つの第1の局所的持続性修正配列は、少なくとも1つの第2の局所的持続性修正配列とは異なる。
DPL工程においてパターンの生成に使用されるマスクの一方又は両方は、修正することができる。従って、第1のマスク上のオーバーレイ誤差の第1の部分と第2のマスク上のオーバーレイ誤差の第2の部分とを補償することができる。従って、両方のマスク内の局所的持続性修正配列は、類似か又は異なるものとすることができる。
更に別の態様において、少なくとも1つのオーバーレイ誤差は、第1のフォトリソグラフィマスクの少なくとも1つの臨界寸法均一性誤差、及び/又は多重パターン化リソグラフィ工程における第2のフォトリソグラフィマスクの少なくとも1つのパターン配置誤差を含む。別の態様において、多重パターン化リソグラフィ工程は、1次元パターンを使用する。
更に別の態様により、少なくとも1つのオーバーレイ誤差は、第1のフォトリソグラフィマスクの少なくとも1つの臨界寸法均一性誤差、及び/又は二重パターン化リソグラフィ工程における第2のフォトリソグラフィマスクの少なくとも1つのパターン配置誤差を含む。別の態様において、二重パターン化リソグラフィ工程は、1次元パターンを使用する。更に別の態様において、少なくとも1つのオーバーレイ誤差を補正する段階は、少なくとも1つの第1のフォトリソグラフィマスク内に少なくとも1つの局所的持続性修正配列を導入してパターン配置修正をもたらす段階、及び/又は少なくとも1つの第2のフォトリソグラフィマスク内に少なくとも1つの局所的持続性修正配列を導入して光学透過率変化をもたらす段階を含む。
更に別の有利な態様において、ウェーハ上の少なくとも1つの誤差を測定する段階は、()試験パターンを有する試験マスクを生成する段階と、(b)ウェーハ上に試験マスクの試験パターンを印刷してエッチングする段階と、(c)ウェーハの試験パターン上にフォトリソグラフィマスクパターンを印刷してエッチングする段階と、(d)少なくとも1つの誤差をフォトリソグラフィマスクの少なくとも1つのパターン要素と試験マスクの少なくとも1つのそれぞれの試験パターン要素との差として決定する段階とを含む。
更に別の有利な態様において、ウェーハ上の少なくとも1つの誤差を測定する段階は、(a)試験パターンを有する試験マスクを生成し、試験マスクの位置合わせ、臨界寸法、及び/又は欠陥率を測定し、測定データをウェーハ上の全体のオーバーレイ構造の全体のオーバーレイ及び臨界寸法シフトついての入力として記録する段階と、(b)ウェーハ上に試験マスクの製品パターンの試験パターンを印刷してエッチングし、印刷された試験マスクの位置合わせ、臨界寸法、及び/又は欠陥率を測定し、測定データをウェーハ上の全体のオーバーレイ構造の全体のオーバーレイ及び臨界寸法シフトに関する入力として記録する段階と、(c)ウェーハの試験パターン又は製品パターン上にフォトリソグラフィマスクパターンを印刷してエッチングし、試験マスクパターンが、製品マスクパターンの前に測定されるか、又はその逆が行われる段階と、(d)ウェーハ上で全体のオーバーレイ構造を完成させるために印刷された各層の位置合わせシフト及び/又は臨界寸法シフトの記録された測定データに基づくフォトリソグラフィマスクの少なくとも1つのパターン要素と(e)試験マスクの少なくとも1つのそれぞれの試験パターン要素との差として少なくとも1つの誤差を決定する段階とを含む。
更に別の態様において、試験マスクの印刷及びエッチングの段階、並びにフォトリソグラフィマスクの印刷及びエッチングの段階は、単一パターン化リソグラフィ工程又は多重パターン化リソグラフィ工程を含む。
更に別の態様において、試験マスクの印刷及びエッチングの段階、並びにフォトリソグラフィマスクの印刷及びエッチングの段階は、二重パターン化リソグラフィ工程を含む。
試験マスクの試験パターンは、予め決定された形状を有し、予め決定された位置に配置されていることが詳細に調査される基準パターンを与える。従って、試験マスクと、MPL工程の単一のものにおけるウェーハ製作工程に使用されるマスクとのオーバーレイ誤差の主要部分は、生産マスクからもたらされる。これは、フォトリソグラフィ試験マスクのパターンをフォトリソグラフィ生産マスクのパターンに適応させなければならないことを意味する。
更に別の態様は、単一パターン化リソグラフィ工程又は多重パターン化リソグラフィ工程に使用されるフォトリソグラフィマスクのパターン要素に対する試験マスクの複数の試験パターン要素のシフトからオーバーレイ誤差を決定する段階を更に含む。
別の態様は、二重パターン化リソグラフィ工程に使用されるフォトリソグラフィマスクのパターン要素に対する試験マスクの複数の試験パターン要素のシフトからオーバーレイ誤差を決定する段階を更に含む。更に別の態様は、スキャナ又はステッパのスケール及び直交性の補正機能を使用することにより、オーバーレイ誤差を補正する段階を含む。
マスクの局所誤差の検出に加えて、DPL工程において試験マスクと共に使用されるフォトリソグラフィマスクのオーバーレイ誤差を検出するために、試験マスクの試験パターンを使用することができる。
別の態様により、試験パターンは、ダイ内試験特徴部を含む。
上述したように、この特徴部は、誤差決定における高い分解能を保証する。更に、この特徴部は、補正ピクセルをフォトリソグラフィマスクの基板内に導入すべきである位置の精密な決定を可能にする。
更に別の態様は、密パターン要素、中密パターン要素、及び単離パターン要素を有する試験パターンを含む。
リソグラフィにおける近接効果及びエッチング負荷効果に起因して、マスク上で等しい幅を有する密線の幅と単離線の幅とは、ウェーハ上で異なる。試験パターン上で密線及び単離線を利用可能にすることにより、パターン要素と補助特徴部の両方に対するマスクの効果を光学近接補正(OPC)として制御することが可能になる。試験マスクパターンは、上述の考察の補正に関する入力として後に使用されることになる高品質計測データを生成するためにパターン寸法、配置、オーバーレイ、及び忠実性に関して考慮すべきである。
更に別の有利な態様において、試験マスクの試験パターンは、少なくとも1つのオーバーレイ臨界フォトリソグラフィマスクの少なくとも1つのオーバーレイ誤差を決定するようになったパターン要素を含む。更に別の態様は、各オーバーレイ臨界フォトリソグラフィマスクのオーバーレイ誤差を測定する段階を更に含む。
オーバーレイ臨界マスクの誤差を測定することにより、IC製作工程のオーバーレイ誤差の誤差割当量を制御することができる。オーバーレイ臨界マスクの誤差を補償することにより、MPL工程又はDPL工程の厳しい誤差割当量を満足することができる。
更に別の態様は、自己整列二重パターン化工程に使用されるフォトリソグラフィマスク内に少なくとも1つの局所的持続性修正配列を導入する段階を含む。別の態様は、自己整列二重パターン化工程に使用されるウェーハ上の犠牲層内に少なくとも1つの局所的持続性修正配列を導入する段階を更に含む。更に別の態様において、少なくとも1つの局所的持続性修正配列は、ウェーハ上に配置された犠牲層の臨界寸法均一性誤差を補正する。
SADP工程の犠牲層内のCDU誤差は、エッチングされた最終パターン内にオーバーレイ状の誤差をもたらす。この効果は、「ピッチウォーキング」として公知である。エッチングマスクを形成するスペーサ要素のダイ内測定により、SADP工程の線及び間隔の変化をマスクの光学透過率を局所的に修正することで、修正又は補正を受けたマスクを用いて生成されたパターンは、線及び間隔の変化を相殺するように補正することができる。
更に別の態様により、フォトリソグラフィマスクによって処理されるウェーハ上の少なくとも1つの誤差を補正するための装置は、(a)ウェーハ処理サイト及び/又はマスク工場に位置付けられ、ウェーハ上の少なくとも1つの誤差を測定するようになった少なくとも1つの計測システムと、(b)少なくとも1つの測定誤差に基づいて、少なくとも1つの誤差補正手段に対するパラメータを計算するようになった少なくとも1つの計算手段と、(c)超短光パルスを印加することにより、フォトリソグラフィマスク内に少なくとも1つの局所的持続性修正配列を導入するようになった少なくとも1つの誤差補正手段とを含む。
別の態様において、ウェーハ処理サイトにおいてフォトリソグラフィマスクによって処理されるウェーハ上の誤差を補正するための装置は、(a)ウェーハ上の誤差を測定するようになった少なくとも1つのオーバーレイ計測システムと、(b)測定誤差データからフォトリソグラフィマスクに対するフェムト秒光パルスの配列を計算するようになった少なくとも1つの計算手段と、(c)フォトリソグラフィマスク上にフェムト秒光パルス配列を印加することにより、フォトリソグラフィマスク上のパターン配置を修正するようになった少なくとも1つのレーザ系とを含む。
更に別の態様において、装置は、上記に定めた態様のうちのいずれかによる方法を実施するようになっている。
更に別の態様により、少なくとも1つの誤差補正手段は、少なくとも1つのレーザ系を含む。別の態様において、少なくとも1つのレーザ系は、超短レーザパルス、特に、フェムト秒レーザパルスを生成するようになっている。
最後に、別の態様において、少なくとも1つの計測システムは、超高精度台、紫外波長範囲で作動する少なくとも1つのレーザ源及び電荷結合デバイスカメラ、走査電子顕微鏡、散乱計、及び/又は画像ベース又はモデルベースの計測システムを含む。
本発明をより明快に理解し、その実際の用途を理解するために、以下の図を提供し、かつ以下で参照する。これらの図は、単なる例として提供するものであり、本発明の範囲を限定することは決してないことに注意されたい。
従来技術のフォトリソグラフィマスクセットの製作工程の略流れ図である。 従来技術のウェーハ処理サイトにおけるフォトリソグラフィマスクセットの使用事例の略流れ図である。 ウェーハ上のオーバーレイ誤差を測定するのに使用される装置の主要構成要素のうちの一部の略ブロック図である。 フォトリソグラフィマスクの基板内のオーバーレイ誤差を補正するのに使用される装置の略ブロック図である。 本発明の方法の実施形態によるフォトリソグラフィマスクセットの製作工程の略流れ図である。 本発明の方法の実施形態によるウェーハ処理サイトにおけるフォトリソグラフィマスクセットの使用事例の略流れ図である。 ダイのスクライブラインにおいて測定された変位ベクトルマップの略図である。 規則的な格子のノードにおいて、すなわち、ダイのスクライブラインとダイ内とにおいて測定された変位ベクトルマップの略図である。 リソグラフィ−エッチング−リソグラフィ−エッチング(LELE)工程の工程段階を示す概略図である。 リソグラフィ−フリーズ−リソグラフィ−エッチング(LFLE)工程の工程段階を示す概略図である。 自己整列二重パターン(SADP)工程の工程段階を示す概略図である。 LELE工程又はLFLE工程を用いて生成された1次元パターンの抜粋部の臨界寸法均一性誤差とオーバーレイ誤差とを示す概略図である。 LELE工程又はLFLE工程を用いて生成された2次元パターンの抜粋部を示す概略図である。 水平パターンがオーバーレイ誤差を有する場合の図13を再度示す図である。 垂直パターンが臨界寸法均一性誤差を有する場合の図13を示す図である。 水平パターンがオーバーレイ誤差を有し、垂直パターンが臨界寸法均一性誤差を有する場合の図13を再度示す図である。 臨界均一性誤差に起因して楕円形に変形された図15のコンタクトホールの略拡大図である。 自己整列二重パターン(SADP)工程の工程段階とその変形とを示す概略図である。 試験マスクの試験パターンの概略図である。 LELE工程における2回目のリソグラフィ−エッチング段階の実施後に高い精度、感度、及び精密度での高分解能計測を可能にするための面及びフォトレジスト層への高光学コントラストを有するハードマスク膜の導入に起因して実質的に平面の面を有するウェーハ上のフォトレジストの概略図である。 LELE工程における2回目のリソグラフィ−エッチング段階の実施後に有意な面トポグラフィを有するウェーハ上のフォトレジストを示す概略図である。 垂直線間隔特徴部を有する試験マスクパターンのLELE工程とマスクパターンのLELE工程とを示す概略図である。 試験マスクパターンのLELE工程とDPL工程に使用されるマスクのコンタクトホールのLELE工程とを示す概略図である。 水平線間隔特徴部を有する試験マスクパターンのLELE工程とマスクパターンのLELE工程とを示す概略図である。 図19の試験マスクの試験パターンと図21から図23のマスクパターンとの重ね合わせを示す概略図である。 図19の試験マスクの試験パターンと図21から図23のマスクパターンの誤差が補正された場合の図21から図23のマスクパターンとの重ね合わせを示す概略図である。 平均視野内オーバーレイ誤差の決定のための視野内ターゲットを示す概略図である。 図26のオーバーレイターゲットを各々が有する視野で含まれたウェーハを示す概略図である。 ウェーハにわたるオーバーレイ誤差マップの略図である。 ウェーハにわたる視野内誤差分布の平均化からもたらされた視野内誤差マップの略図である。 図28のウェーハの印刷に使用されるフォトリソグラフィマスクの基板内にそれぞれの局所的持続性修正配列を導入することによって系統的誤差が補正された後の図29の平均視野内誤差マップの略図である。
以下では、本発明の例示的な実施形態を示す添付図面を参照して、ここで本発明をより完全に説明する。しかし、本発明は、異なる形態に実施することができ、本明細書に公開する実施形態に限定されると解釈すべきではない。それとは逆にこれらの実施形態は、本発明の開示が完全なものになり、本発明の範囲を当業者に伝達するように提供するものである。
この第1節では、本発明の方法の実施形態を説明する。本発明の原理を示すために、フォトリソグラフィマスクセットの製作における従来技術の製作に対する差を強調する。更に、これらの差も、マスクセットの使用事例における例示的なものである。本発明の方法を透過フォトリソグラフィマスクの利用において説明する。しかし、当業者は、この透過フォトリソグラフィマスクの利用が単なる例に過ぎず、上記に定めた方法は、反射フォトリソグラフィマスクに適用することができることは理解すべきであろう。更に、本発明の方法は、ウェーハ処理サイト又はウェーハ製作サイトにおいてナノインプリントリソグラフィのためのテンプレートのオーバーレイ誤差を補正するのにも非常に適している。
以下では、例えば、メモリ又は論理構成要素、センサ、検出器、及びディスプレイを含むMEMS(マイクロ電気機械システム)、並びにレーザ及び光ダイオードを含むPIC(光集積回路)のような半導体ウェーハ上に製作される全てのデバイスに対して集積回路(IC)という用語を使用する。
本発明の方法は、ウェーハを照明した際に用いたフォトリソグラフィマスクにレーザ系のフェムト秒光パルスを印加することにより、ウェーハ上で検出される誤差を補正する。本発明の補正特徴に対して、本明細書は、米国特許仮出願第61 363 352号明細書を参照する。この文献は、フォトリソグラフィマスクの基板内へのそれぞれのピクセル配列の書込みにより、パターン配置誤差を如何に補正することができるかを詳細に説明している。上述の文献では、フォトリソグラフィマスク及びナノインプリントリソグラフィのためのテンプレートの問題のうちの一部に対しても簡単に解説されている。
図1は、従来技術のマスクセットのための製作工程100を略示している。工程は、105において、第1のマスク110のパターンの書込み115で始まる。次に、同じく段階115において、吸収体層の過剰部分が、例えば、エッチングによってマスク基板から除去される。次の段階120において、マスクパターンの位置決め誤差を決定するために、フォトリソグラフィマスクが測定される。決定ブロック125において、位置決め誤差が予め決定された仕様を満足するか否かが決定される。この決定が真であった場合には、段階135においてマスク上にペリクルが装着される。次に、決定ブロック145において、マスクがオーバーレイ臨界マスクであるか否かが決定される。製作工程は、マスクmがオーバーレイ臨界マスクではなかった場合に完了する。マスクmがオーバーレイ臨界のものであった場合は(決定ブロック145)、位置決め誤差に対するペリクル装着の効果を検査するために、段階155においてマスクは再度測定される。決定ブロック165において、マスクが仕様を満たさないと決定された場合には、段階160において、ペリクルは除去され、新しいマスク内にパターンが再度書き込まれる。
決定ブロック125において、マスクmの位置決め誤差が予め決定された仕様を満たさないと決定された場合には、段階130において、US 61 351 056及びUS 61 363 352に詳細に説明されているいわゆるRegC(位置合わせ補正)工程を使用することにより、パターン配置誤差が補正される。RegC工程により、マスクmが仕様を満足するように位置決め誤差を低減することができない場合には、新しいマスク上にパターンが書き込まれ、工程は、マスクが測定される段階120に進む。
図1の工程は、マスクセットのM個の全てのマスクが製作されるまで繰り返される(決定ブロック150及び175)。次に、ブロック180において、完全なマスクセットがウェーハ処理サイトに供給される。
図2は、ウェーハ処理サイトにおけるICの製作のためのマスク照明工程のいくつかの段階を簡単に例示している。工程200は、205において、マスクセットの第1のマスク(段階210)を用いたウェーハ(段階215)の照明又は露光で始まる。ウェーハ上のフォトレジストが現像され、ウェーハは、例えば、エッチング処理の実施によって処理される。次に、フォトレジストの第2の(一般的に第mの)層がウェーハ上に配置される(図2には例示していない)。段階220において、ウェーハは、第2又は一般的に第(m+1)のマスクを用いて照明される。段階230において、第1のマスクと第2のマスクとの間、又は一般的に第mのマスクと第(m+1)のマスクの間のオーバーレイ誤差が測定される。決定ブロック240において、オーバーレイ誤差が予め決定されたオーバーレイ割当量よりも小さいことが決定された場合には、決定ブロック265において、製作されるICが、その仕様に従って作動することになるか否かが検査される。この決定が真であった場合には、工程は、決定ブロック295を通じて段階225からブロック220に進み、ウェーハは、次の(3番目又は第(m+2)の)マスクを用いて照明される。決定ブロックにおいて、mがそれぞれのマスクセットにおけるマスク数であるMに達したと決定された場合には、工程はブロック270で終了する。
決定ブロック265において、ICがその仕様に従って作動することにはならないと決定された場合には、ブロック280において問題の根本原因が解析され、マスクm+1に対する位置決めし仕様が引き締められる。次に、段階290において、新しいマスクm+1が、マスク処理サイトにおいて書き込まれる。工程はブロック270において終了する。その後に、新しいマスクm+1がマスク製作サイトからウェーハ処理サイトに供給され、工程は、図2のブロック205において再度始まる。
決定ブロック240においてオーバーレイ誤差が仕様を満たさないと決定された場合には、ブロック245において照明系の投影デバイスが再調節される。次に、段階260において、オーバーレイ誤差測定が繰り返される。決定ブロック275において、オーバーレイ誤差が依然として予め決定された誤差割当量を満たさないと決定された場合には、工程は段階280に進み、問題の原因が解析される。オーバーレイ誤差が仕様を満足する場合には、決定ブロック285において、製作されるICがその仕様に従って作動することになるか否かが決定される。この決定が真である場合には、工程は、決定ブロック295を通じて段階225を通ってブロック220に進み、ウェーハは、マスクセットの次の(3番目又は第(m+2)の)マスクを用いて照明される。代替的に、照明されるマスクがマスクセットの最後のマスクであった場合は(m=M)、工程はブロック270において終了する。
図3は、パターン配置誤差及びオーバーレイ誤差を測定することができる位置合わせ計測ツールの機能図を示している。フォトリソグラフィマスク310が、高精度台320によって支持される。台320は、全ての6つの自由度で能動的に制御され、計測システムにおける唯一の移動部分である。光源として、DUV(深紫外)波長範囲で約193nmの光を放出するエキシマレーザ330が使用される。大部分のマスクは、現在、193nmの光源を用いて照明されるので、上述のことは、フォトリソグラフィマスク310の検査と照明とが同じ波長で行われることを意味する。従って、位置合わせ及び/又はオーバーレイ計測システム300は、材料特性の効果を正しく考慮する。
結像対物系340は、0.6という開口数(NA)を有するが、更に高い分解能を得るためにより高いNAに拡張することができる。レーザ系330の短い波長は、分解能を有意に改善し、同時に、CD(臨界寸法)計測に有利な中程度のNAを可能にし、約7.5mmのペリクル対応自由作動距離を可能にする。結像対物系340は、光学タワーに堅固に固定され、移動不能である。フォトリソグラフィマスク310上へのレーザビームの集束は、z方向への台移動によって行われる。
CCD(電荷結合デバイス)カメラ350が、フォトリソグラフィマスク310から反射される光を測定する検出器デバイスとして使用される。CCDカメラ350は、信号をCCDカメラ350によって検出された信号の像を計算する信号処理ユニット355に送る。
コンピュータシステム360は、信号処理ユニット355によって計算された像を表示することができ、測定データを格納することができる。更に、コンピュータシステム360は、実験データから制御信号を抽出することを可能にするハードウエア、ソフトウエア、又はその両方で達成されたアルゴリズムを含むことができる。制御信号は、フォトリソグラフィマスク310のパターン配置誤差を補正するための第2のレーザ系(下記の図4を参照されたい)によるフォトリソグラフィマスク310の基板内へのピクセル配列の書込みを制御することができる。更に、コンピュータシステム360は、レーザ源330、高精度台320、対物系340、CCDカメラ350、及び/又はAF系370を制御することができる。
フォトリソグラフィマスク310の面は僅かに傾斜することができ、更に、マスク310自体の重量によるマスク310の屈曲は、最適な焦点位置の変化をもたらす。従って、位置合わせ計測ツール300は、傾斜した格子(図3には示していない)に基づいて、測定工程を助ける自動焦点(AF)システム370を有する。傾斜したミラー390及び部分透過ミラー395は、レーザビームを結像対物系340内に誘導する。
更に、位置合わせ計測ツール300は、フォトリソグラフィマスク310上でのパターン配置要素の大まかなアラインメントのための補助光学系380を含む。
図4は、フォトリソグラフィマスクの基板を修正することによってウェーハ上の誤差を補正するのに使用することができる装置400の略ブロック図を示している。更に、装置400は、ナノインプリントリソグラフィに使用されるテンプレートの誤差を補正することができる。装置400は、3次元で可動とすることができるチャック420を含む。チャック420には、例えば、圧着のような様々な技術を使用することにより、フォトリソグラフィマスク420又はナノインプリント技術のためのテンプレートを固定することができる。
装置400は、パルス又は光パルスのビーム又は光ビーム435を生成するパルスレーザ源430を含む。レーザ源430は、可変持続時間を有する光パルスを生成する。レーザ430のいくつかの重要パラメータの調節可能範囲を以下の表に要約する。表1は、本発明の方法の実施形態に対して使用することができる周波数2倍Nd−YAGレーザ系のレーザビームパラメータの概要を表している。
(表1)
レーザ系の別の実施形態において、光パルスは、800nmの波長で作動するTi:サファイアレイザによって生成することができる。しかし、パターン配置誤差の補正はこれらのレーザ方式に限定されず、原理的に、フォトリソグラフィマスク410の基板に対して禁制帯幅よりも小さい光子エネルギを有し、フェムト秒範囲に持続時間を有するパルスを生成することができる全てのレーザ方式を使用することができる。
ステアリングミラー490は、パルスレーザビーム435を集束対物系440内に誘導する。対物系440は、パルスレーザビーム435をフォトリソグラフィマスク410上に集束させる。適用される対物系のNA(開口数)は、予め決定された焦点スポットサイズ及びフォトリソグラフィマスク410又はテンプレートの内側にある焦点の位置に依存する。表1に示すように対物系440のNAは最大0.9とすることができ、それによって実質的に1μmの焦点スポット直径及び実質的に1020W/cm2の最大強度がもたらされる。
装置400は、レーザビームに対して垂直な(x方向及びy方向の)平面内でのサンプルホルダ420の2軸位置決め台の平行移動を管理するコントローラ480及びコンピュータシステム460も含む。コントローラ480及びコンピュータシステム460は、対物系440が固定された1軸位置決め台450によるチャック420の平面に対して垂直な(z方向の)対物系440の平行移動も制御する。装置400の他の実施形態において、チャック420に、フォトリソグラフィマスク410を目標の場所に移動するための3軸位置決めシステムを装備することができ、かつ対物系440を固定することができ、又はチャック420を固定し、かつ対物系440を3次元で可動とすることができることに注意されたい。経済的ではないが、対物系440とチャック420の両方に3軸位置決めシステムを装備するように考えることができる。パルスレーザビーム435の目標の場所へのマスク410のx方向、y方向、及びz方向の移動のために手動位置決め台を使用することができ、及び/又は対物系440は、3次元移動のための手動位置決め台を有することができることに注意されたい。
コンピュータシステム460は、マイクロプロセッサ、汎用プロセッサ、専用プロセッサ、CPU(中央演算処理装置)、GPU(グラフィック処理ユニット)などとすることができる。コンピュータシステム460は、コントローラ480に配置することができ、又はPC(パーソナルコンピュータ)、ワークステーション、メインフレームのような個別のユニットとすることができる。更に、コンピュータ460は、キーボード、タッチパッド、マウス、ビデオ/グラフィックディスプレイ、プリンタのようなI/O(入力/出力)ユニットを含むことができる。更に、コンピュータ460は、揮発性メモリ及び/又は不揮発性メモリを含むことができる。コンピュータ460は、ハードウエア、ソフトウエア、ファームウエア、又はこれらのいずれかの組合せで達成することができる。更に、コンピュータ460は、レーザ源430を制御することができる(図4には示していない)。図3のコンピュータシステム360と図4のコンピュータシステム460とは、データを交換するために連結することができる。更に、コンピュータシステム360と460は、単一のコンピュータシステム内に組み合わせることができる。
更に、装置400は、チャック420に配置された光源からダイクロイックミラー445を通じて光を受光するCCD(電荷結合デバイス)カメラ465を含む視覚システムを設けることができる。視覚システムは、目標位置へのフォトリソグラフィマスク410のナビゲーションを容易にする。更に、視覚システムは、レーザ源430のパルスレーザビーム435によるマスク410の基板材料上の修正区域の形成を観察するのに使用することができる。
図5は、本発明の方法によるマスクセットのフォトリソグラフィマスクの製作工程の例を略示している。本明細書の第2節で既に簡単に説明されているように、パターン発生器を用いてフォトリソグラフィマスクの基板上の吸収層上に吸収要素のパターンが書き込まれる。その後のエッチング処理において、吸収材料から吸収パターン要素が形成される(ボックス515)。フォトリソグラフィマスク上の吸収層において多くの場合に使用される材料はクロムである。マスク基板の面上の別の吸収体材料として、タングステンを使用することができる。本発明の方法の使用はこれらの材料に限定されず、あらゆる吸収体材料を使用することができる。
パターン書込み工程が成功裡に終わったか否か、すなわち、パターン要素が予め決定されたサイズ及び形態を有し、望ましい位置にあるか否かを決定するために、生成された吸収パターン要素の位置が、図3の位置合わせ計測システムを用いて測定される(ボックス520)。決定された位置決め誤差が予め決定されたレベルを超えた場合は(決定ボックス525)、図4の装置400のレーザ源430を用いたフォトリソグラフィマスクの基板へのピクセル配列の書込みによってパターン要素の位置が修正される(図5のボックス530)。ピクセル配列は、マスク基板の密度を局所的に変更し、従って、マスク面上のパターン要素を予め決定された位置にシフトさせる。次に、マスク修復が成功裡に終わったか否かが測定される(ボックス520)。測定された位置決め誤差がこの時点で予め決定された閾値よりも低かった場合は(決定ボックス525)、フォトリソグラフィマスクが損傷を受けることから保護するために、吸収パターン要素を担持するフォトリソグラフィマスクの面上にペリクルが装着される。マスクセットの全てのマスクをこの方式に従って処理し終わると、製作されたマスクセットは、ウェーハ処理サイトへの送出に向けて準備が整う。
図5から分るように、本発明の方法によるマスク製作工程の実施形態は、フォトリソグラフィマスクの再書込みを殆ど回避する。マスクの位置決め誤差が許容可能レベルを超えた場合には、それぞれのマスクが、RegC工程を使用することによって補正される。
図5のマスク製作工程は、ペリクルの装着後のオーバーレイ臨界フォトリソグラフィマスクにおける位置決め誤差の測定を廃棄する。これは、図1に記載のマスク製作工程と図5に記載のマスク製作工程中の決定的な違いである。
図6は、本発明の方法の実施形態によるウェーハ処理サイトでのフォトリソグラフィマスクセットの使用事例を略示している。この工程は、フォトリソグラフィ照明系の投影デバイスを用いた第1のマスクによるウェーハの照明で始まる(ボックス615)。第1のマスクは、マスクセットの第1のマスクとすることができ、又は一般的な場合には、マスクセットの最後のもの以外のあらゆるマスクとすることができる。フォトレジストは現像され、ウェーハは処理され、集積回路の第1の層又は一般的に第mの層がそれぞれ生成される。その後に、新しいフォトレジスト層がウェーハ上に付加される(図6には示していない)。
次の段階(ボックス620)では、第1のフォトリソグラフィマスクと同様に、第2のフォトリソグラフィマスクが、ウェーハ上の整列マークに対して整列される。次に、第2のマスク又は一般的に第(m+1)のマスクは、第1のマスクと同様に、集積回路の第2の層又は一般的な第(m+1)の層における構造要素をフォトリソグラフィマスクからウェーハに転写するために照明される。その後にフォトレジストは現像される。
フォトリソグラフィマスクは、第1のフォトリソグラフィマスクに対する第2のマスクのオーバーレイを決定するのに使用されるオーバーレイターゲットを有する。標準のオーバーレイターゲットは、第1のマスクに対する第2のマスクのシフト又は変位の検出を可能にするBiB(ボックスインボックス)ターゲットである。BiBターゲットは比較的大まかな構造であるので、現在ではAIM(高度結像計測)オーバーレイターゲット及びマイクロAIMオーバーレイターゲットによって次第に置換されている。
現在まで、オーバーレイターゲットは、集積回路のスクライブラインに位置決めされている。図7は、集積回路のスクライブラインに配置されたオーバーレイターゲットにおいて測定された変位ベクトルマップ又は変位ベクトル場を略示している。変位ベクトル場の個々のベクトルの矢印の先端は、第1のフォトリソグラフィマスクに対する第2のマスクのそれぞれの位置の変位方向を示している。ベクトルの長さは、第1のマスクに対する第2のマスクのそれぞれの位置のシフトの大きさを表している。図7から、スクライブラインへのオーバーレイターゲットの配置という制限が、ウェーハにわたるオーバーレイ測定点の不規則な分布をもたらすことを見ることができる。
集積回路の構造の縮小するサイズ、及び他方で集積回路の増大するサイズと共に、ダイ上で集積回路自体の上のオーバーレイを決定する(ダイ内測定)のではなくスクライブラインにおいてオーバーレイを決定するのはもはや十分ではない。図8は、ノードがスクライブライン内のみならず、集積回路自体のダイ区域上にも配置されたオーバーレイ測定点の規則的ノードの格子を略示している。この密オーバーレイ測定点格子は、高空間分解能でのオーバーレイ誤差の決定を可能にする。密オーバーレイ測定点格子は、本発明の方法の有利な適用前提条件下である。
ここで図6に戻ると、図8と類似の変位ベクトル場を決定するために、第1の(第mの)マスクに対する第2の(第(m+1)の)マスクのオーバーレイ誤差は、図3のオーバーレイ計測システム300を使用することによって測定することができる。測定されたオーバーレイ誤差が予め決定された閾値を下回り、製作された集積回路が最終的に正しく機能する場合には、望ましい集積回路の製作に第1のマスク及び第2のマスクを使用することができる。
測定されたオーバーレイ誤差が予め決定された仕様を満たさなかった場合には、投影デバイスは、オーバーレイ誤差を低減するように再調節される(ボックス645)。ウェーハからフォトレジストを除去した後に、フォトレジスト材料の新しい層がウェーハ上に付加される(図6には示していない)。次に、オーバーレイ測定が繰り返される(ボックス660)。測定されたオーバーレイ誤差が、予め決定されたオーバーレイ誤差に関する要件を満たす場合には、第1及び第2のマスクは、それぞれの集積回路の生産に使用する準備が整う。
オーバーレイ誤差が依然として高すぎる場合には、第2のフォトリソグラフィマスクにおけるピクセル配列を決定するために、オーバーレイ誤差は、図8に示すように測定された変位ベクトルに基づいて解析される。図4の装置400のレーザ源435による第2のフォトリソグラフィマスクの基板内へのピクセル配列の書込みは、第2のフォトリソグラフィマスクの面上のパターン要素をシフトさせる(ボックス690)。
ピクセルの書込みは、フォトリソグラフィマスクの有効区域に限定することができる。フォトリソグラフィマスクの有効区域内でのパターン配置誤差の補正は、補正ピクセルを誤差位置の近くに配置することができるので非常に有効である。その一方、ピクセルの書込みが有効区域に限定されない場合には、誤差補正工程の柔軟性が改善される。ピクセルの書込みを無効区域に限定することができる場合には、ピクセル書込み工程によるフォトリソグラフィマスクの基板の有効区域内への新しい誤差の導入を回避することができる。パターン配置誤差と補正ピクセルの間の距離は大きい場合があるので、補正工程の有効性は低い場合がある。この低い有効性は、ピクセルの書込みが、光学透過率の変化を考慮しなくてもよいので、部分的に補償することができる。
第2のフォトリソグラフィマスクの補正の後に、ウェーハは、上述したように、補正された第2のマスクを用いた2回目の照明又は露光に向けて準備される。補正された第2のマスクの2回目の照明では、第1のフォトリソグラフィマスクに対する2回目のマスクのオーバーレイ誤差が有意に低減し、従って、これらのマスクの組合せは、予め決定されたオーバーレイ誤差を満足する。
図2とは対照的に、図6に記載のフォトリソグラフィマスクセットの使用事例は、欠陥を有するフォトリソグラフィマスクをウェーハ処理サイトからマスク製作サイトに送る必要性を排除する。更に、オーバーレイ誤差の測定と補正されたフォトリソグラフィマスクの測定とにおいて同じ計測ツールが使用されるので、測定データに対するツール関連の影響が回避される。
以下に続く第2節は、本発明の原理の二重パターン化リソグラフィ(DPL)工程への適用例を説明する。上述したように、DPLでは、ウェーハ上のパターンにおいて、一般的にパターン分解能を制限するピッチサイズを単一の照明又は露光と比較して2倍にすることができる。以下では、本発明の原理をDPL工程の状況で説明する。しかし、本発明の原理をウェーハ上の単一の層のパターンの生成に2つより多いフォトリソグラフィマスク又は2つよりも多いパターン化工程を使用するリソグラフィ工程に適用することができることが認識されている。
第1の例では、リソグラフィ−エッチング−リソグラフィ−エッチング(LELE)DPL工程及びリソグラフィ−フリーズ−リソグラフィ−エッチング(LFLE)DPL工程における臨界寸法均一性(CDU)及びオーバーレイ工程の制御を説明する。図12は、LELE工程又はLFLE工程によって生成された1次元パターンの抜粋部の図を略示している。図9及び図10の説明において簡単に解説したように、1回目のリソグラフィ及びエッチングの段階は、線L1(図12には暗灰色に示す)を生成する。第2の線L2(図12の明灰色の矩形)は、2回目のリソグラフィ−エッチング処理で製作される。組合せDPLパターンは、線L1とL2の間の第1の間隔S1及び線L2とL1の間の第2の間隔S2によって分離された線L1及びL2をもたらす。
図12の線条の上段の行は、線L1及びL2の完全な形態を示している。更に、線L1及びL2は、その予め決定された位置にあり、従って、更に、等しい条幅を有する間隔S1及びS2を自動的に生成する。
図12の中段部は、線L2が完全な形態を有し、その予め決定された位置に正確に位置する状況を示している。一方、線L1も、その予め決定された位置を有するが、その幅は、図12の例では左から右に減少する。線L1の減少する幅は、間隔S1及びS2の幅の対応する増大をもたらす。線L1の減少する幅は、線L1、並びに間隔S1及びS2のCDU誤差をもたらす。これらのCDU誤差は、図12の上段部の線L1のパターンを生成する局所的持続性修正配列をマスク1内に導入することによって補正することができる。
CDUの問題は、CDU変化を持たない部分において最大で数パーセントの範囲でマスク1の光学透過率を変更することにより、すなわち、マスク1の欠陥のない部分の光学透過率を意図的に低減することによって補償することができる。マスク1のうちで局所CDU変化を有する部分は、マスク1のこれらの部分を最大CDU誤差を有する部分(図12の左部又は中段の行)に対して局所的に変更することによって補償される。投影系によるマスク1の露光照射量のそれぞれの増大は、マスク1のCDU変化をかなりの程度まで補正する。
図12の下段部は、線L1、並びに線L2の完全な形態又は形状を提供している。しかし、図12の抜粋部では、線L2は、垂直な一点鎖線に示すその予め決定された位置に対してシフトされている。線L2のシフトは、図12の上段部に示す予め決定された間隔と比較して狭い幅の間隔S1及び広い幅の間隔S2をもたらす。それによって間隔S1及びS2のCDU誤差がもたらされる。
間隔S1及びS2のCDU誤差は、図12に提供している線間隔パターンの抜粋部におけるマスク2の線L2の局所パターン配置誤差を補償する少なくとも1つの局所的持続性修正配列をDPL工程のマスク2内に導入することによって補正される。
線形状パターンが、図12の中段部と下段部との組合せ誤差を示す場合には、これらの誤差は、マスク1、並びにマスク2内に少なくとも1つのそれぞれの局所的持続性修正配列を導入することによって同じく補正することができる。
図2の中段部におけるマスク1のCDU変化と、マスク2の線L2の局所シフト問題又は局所オーバーレイ誤差とは、DPL工程におけるオーバーレイ誤差内に出現する。これは、DPL工程が、ウェーハ上の層の組合せパターンを形成する個々のマスクの局所誤差を単一の層のパターンのオーバーレイ誤差と混乱させる。従って、32nmよりも小さい技術ノードの厳しいオーバーレイ割当量を満足するためには、DPL工程の個々のマスクの局所誤差を補正することが必須である。
上述したように、DPL工程又は一般的に多重パターン化リソグラフィ(MPUL)工程における誤差補正又は誤差補償に対する前提条件は、高分解能での局所誤差の決定である。図3では、欠陥検出において光子を使用する高分解能計測ツールを提供した。更に、ウェーハ上の印刷誤差において出現するフォトリソグラフィマスクの局所欠陥を検出するために、走査電子顕微鏡(SEM)、並びに散乱計測、拡散計測、原子間力顕微鏡(AFM)、又は他の計測ツール方式を適用することができる。電子ビーム内の電子は小さいスポットに集束させることができ、従って、SEMは、ナノメートル範囲に至るまで小さい構造を分解することができる。
サブナノメートル範囲に分解能を有する付加的な計測技術が利用可能である。殆どの高分解能結像技術は、高い開口数(NA)の理由から相殺関係を有し、従って、焦点深度(DOF)において非常に制限され、ウェーハ面の近くで限られた結像機能のみを有する。その結果、そのようなツールは、整列することが予想される最上位層及び先行層の結像を実施するのに困難を有する可能性がある。試験マスク法(単一パターンと多重パターンの両方において役立つ)は、多重面層におけるこの問題を解決し、それに対して他の方法は、特定の工程段階における全ての関連の層が、同じトポグラフィ面に配置される多重パターン化に限定される。
上述したように、MPL工程は、二重、三重、四重、五重のようなパターン化リソグラフィ工程を含む。現時点では、DPL工程が好ましい。
32nmよりも小さい技術ノードのパターン製作に必要とされる高い分解能を提供するために、ウェーハ上でダイ内測定が必要である。上記に解説した計測ツールをこの目的で利用することができる。更に、同時に、ダイ内測定による高分解能誤差決定は、それぞれのフォトリソグラフィマスクの基板内に少なくとも1つの局所的持続性修正配列を導入することによって誤差補正の位置に桁を付与するか又は局所フラグを設定する。
現時点では、単一露光リソグラフィ工程のピッチサイズは、高い均一性のパターンを有するリソグラフィ工程において約60nmに制限されている。しかし、最先端のICの製作は、40nmよりも小さいピッチサイズを必要とする。図13は、40nmよりも小さいピッチサイズを2つの垂直方向に得ることができるDPL工程において生成された2次元(2D)パターン1300を示している。水平線1310は、第1のマスクによって生成された規則的な間隔1320によって分離された線の規則的配列である。垂直線1330は、LELE工程又はLFLE工程において第2のマスクによって生成された規則的な間隔1340によって分離された線の規則的配列である。円1350は、基準パターン要素として使用される任意のパターン要素の設計形状及び予め決定された場所を概略的に表している。要素1350と類似の形態を有する基準パターン要素1355は、例えば、コンタクトホール(CH)、ビア、メモリコンデンサー、マイクロ電気機械システム(MEMS)要素、又はあらゆる他の2D及び/又は3次元(3D)の電子デバイスである。
以下に続く図で分るように、CDU仕様からのいずれのずれも、印刷された2Dパターンの歪曲をもたらすことになる。更に、第1又は第2のマスクの一方の線1310又は1330のいずれの局所シフト又は局所変位も、印刷された2Dパターンの歪曲を同じくもたらす。
図14の図1400は、水平線1310を印刷する第1のマスクが、第1のマスクの予め決定された線位置に対する局所パターン配置問題又は局所オーバーレイ誤差を有する図13の2Dパターン1300を略示している。図14の変位問題は、線1310の代わりに線1415の印刷をもたらす。図14の抜粋部1400には、線1415のシフトによってもたらされる変位を図13の基準パターン要素1350の図14の新しい位置1455への垂直方向へのシフトによって表している。基本的に、印刷された(水平)線1415のオーバーレイは、コンタクトホール又はあらゆる他の2D特徴部のオーバーレイ問題をもたらすことになり、同じことは、垂直線1330におけるあらゆるシフトにも適用される。
図14の基準パターン要素1455の局所シフトは、水平線1415を生成する第1のマスク内に局所的持続性修正の局所配列を導入することによって補償することができ、従って、水平線1415の局所シフトが補償される。欠陥補償は、線1415を図13の線1310との緊密な一致に誘導し、それによって基準パターン要素1455は、基準パターン要素1350の位置にシフトされる。フォトリソグラフィ露光系のスキャナのスケール及び/又は直交性の補正により、第1のマスクの全ての特徴部要素の広域シフトを補償することができる。
図15は、垂直線1535の線幅が右から左に増大する図13の2Dパターン1300の抜粋部1500を概略的に表している。この増大には、垂直線1535の間の間隔1545のそれぞれの減少が伴う。不均一な線幅1535は、垂直線1535の生成に使用される第2のマスクのパターンの臨界寸法均一性(CDU)変化によってもたらされる。図15に示すように、図13の基準パターン要素1350は、円から楕円1555及び1560に変形され、それによってこれらの楕円の垂直半軸は、垂直線1535の間の変化する間隔1545を表している。楕円変形は単なる例に過ぎず、2D又は3D構造の元の形態からのあらゆるずれは、CDU変化を検出するのに使用することができることを強調しておかなければならない。
楕円1555及び1560は、最終的に印刷される2Dパターンの歪曲に対する局所CDU変化の影響を概略的に表している。楕円1555及び1560の長軸及び短軸は、例えば、SEMによって測定することができ、オーバーレイ誤差を計算するのに、この計量値を使用することができる。
図15のオーバーレイ問題は、第2のマスクの基板内に少なくとも1つのピクセル配列を書込み、それによって図12の状況で上述したように、第2のマスク内に光学透過率変化を導入することによって補償することができる。
図16のパターン抜粋部1600は、図13の理想的な線1310に対して図14の水平線1615における局所シフトを有する図13の2Dパターン1300を示している。更に、垂直線1625は、図15のCDU誤差を有する。図16では、図13の基準パターン要素1350のシフト及び変形をシフトされた楕円1655及び1660によって示している。図17は、拡大した図16の楕円1655及び1660を示している。
2つのマスクの誤差は、図14及び図15の解説中に説明されているように補正される。楕円1655及び1660の長軸及び短軸のシフト及び寸法は、ここでもまた、例えば、SEMのような高分解能計測ツールによって測定することができる。図14と同様に、垂直線1615の局所シフトは、例えば、コンタクトホール(CH)である基準パターン要素1350の配置誤差をもたらす場合がある。更に、この局所シフトは、いくつかの歪曲問題を招く場合がある。臨界寸法(CD)の不均一性は、CD歪曲をもたらすことになる。ここでもまた、図15と同様に、垂直パターン1635の生成に使用される第2のマスクのCDU誤差は、一般的に、楕円1655及び1660によって略示すように基準パターン要素1350の歪曲をもたらす。
第2部に示したW.A.Arnoldの論文「3nmオーバーレイ及び臨界寸法均一性に向けて:二重パターン化リソグラフィにおける積分誤差割当量(Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography)」から引用した図18は、自己整列二重パターン(SADP)工程のいくつかの重要な工程段階を略示している。エッチング又はパターンされる層1820が、ウェーハ1810上に配置される。層1820の上部には、犠牲層1830のいわゆる硬質材料フォトレジストが堆積される。犠牲層1830はフォトレジスト層又はハードマスク層であり、窒化珪素、酸化シリコン、又はこの目的又は機能に適するあらゆる他の材料を含むことができる。犠牲層1830上には、フォトレジスト層1840が配置される。次に、ウェーハ1810は、密パターン要素1850及び単離パターン要素1870を形成するフォトリソグラフィマスクに露光される。図18の例では、パターン要素1850及び1870は、それぞれ1855又は1875の公称幅を有する。ウェーハ1810上のパターン要素1850及び1870の実際の幅1860及び1880は、それぞれ公称又は予め決定された幅1855又は1875よりも小さい。リソグラフィ段階において生成される小さい幅1860及び1880は、エッチングされる層1820内にCDU誤差をもたらす。
更に、スペーサの堆積及びその後のエッチング処理(図11に示す)は、エッチング処理によって形成されるスペーサ要素1890内に更に別の変化を導入する。残りのフォトレジスト1840及び犠牲層1830の除去の後に、スペーサ要素1890によって形成されるパターンが層1820内にエッチングされ、この場合、スペーサ要素1890は、エッチング停止要素として機能する。最後に、スペーサ要素1890及びスペーサ要素1890の下の犠牲層部分が、層1820の生成されたパターン要素から除去される。
エッチング処理では、リソグラフィパターン1850、1870の変化又はCD誤差は、図18の下段部に見ることができるように、条S2の幅の誤差に変換される。更に、エッチング処理は、スペーサ要素1890の変化を線L1及びL2の変化に変換する。線L1及びL2の変化は、間隔S1の変化ももたらされる。その結果、SADP工程によって生成されたパターンは、「ピッチウォーキング」として公知の効果であるオーバーレイ誤差を示している。
SADP工程の1次元(1D)線間隔パターンにおける誤差は、ここでもまた、図3で説明した計測ツール、例えば、SEM及び/又は散乱計を使用することによって測定することができる。
この定められた方法は、図18のSADPパターンの欠陥を補正することを可能にする。第1の段階では、リソグラフィ工程においてパターン1850及び1870の生成に使用されるフォトリソグラフィマスク内に、少なくとも1つのピクセル配列が導入されるか、又は書き込まれる。ピクセル配列は、フォトリソグラフィマスクの基板内にそれぞれの光学透過率変化を導入することによってCDU変化を補償する。この工程は、図18の間隔S2を予め決定された間隔との緊密な一致に誘導する。
第2の段階では、犠牲層1830内に局所的持続性修正配列が導入される。犠牲層1830内の局所的持続性修正又はピクセルは、犠牲層1830の材料の構造変化を誘発し、従って、層1820のエッチング中の線の変化が回避される。修正された犠牲層1830は、層1820のエッチング段階中の「ピッチウォーキング」効果を防止する。その結果、均一な幅を有する線L1及びL2が生成される。ピクセルは、フォトレジスト1840の堆積の前に犠牲層1830内に書き込まれる。犠牲層1830が不正に配置された場合には、犠牲層1830の局所配置、並びに不正配置を補正するために、RegC工程を適用することができ、一方、スキャナが、広域(低周波)オーバーレイ誤差のみを補正することができる。
これは、犠牲層1830内の臨界寸法不均一性(CDNU)が、SADP工程においてオーバーレイ誤差をもたらすことを意味する。この誤差は、CD SEM(臨界寸法走査電子顕微鏡)、AFM、散乱計測、及び/又は形状測定等であるがこれらに限定されない従来のウェーハ製作計測のツール又はシステムによって測定することができる。次に、フォトリソグラフィマスクの基板に対して上述したものと同様に、犠牲層1830内に少なくとも1つの局所的持続性修正配列を付加することにより、識別されたオーバーレイ誤差を修復することができる。
以下では、本発明の原理の適用の更に別の例を提供する。この例では、ウェーハ上の少なくとも1つの誤差が、試験マスクを用いて測定される。この目的のために、ウェーハ上でのICの製作に使用される少なくとも1つのマスクと整列された試験特徴部を有する試験マスクが設計されて作成される。以下では、このマスクを生産マスクとも呼ぶ。誤差検出において高い分解能を保証するために、試験特徴部は、ダイ内試験特徴部である。
図19は、試験パターン1910を有する試験マスク1900を略示している。試験マスク1900の実際の試験パターン1910は、IC又はデバイスの設計者によって設計される。試験マスク1900の試験パターン1910のレイアウトの決定において、光学近接補正(OPC)設計者に助言を求めるのも有利である。図19の試験パターン1910は、密パターン要素1920、準密(又は「密集」)パターン要素1930、及び単離パターン要素1940を含む。
試験マスク1900は、マスクレベルにおいて詳細に調査される。更に、試験マスク1900によってウェーハ上に印刷されるパターンも、CDU及びパターン配置誤差に関して調査される。従って、試験マスク1900は、そのパターン要素1910を最小ではあるが十分にマップされて記録されたCDU及びパターン配置誤差で印刷する基準試験マスクと見なすことができる。
現時点では、試験マスク1900は、好ましくは、二重パターン化工程において、第2のマスク又は生産マスクとの組合せで適用される。しかし、試験マスク概念は、単一露光リソグラフィ技術を用いたウェーハの製作工程において適用することができることを理解すべきである。以下では、この概念をDPL工程の状況で解説する。
第1の段階において、試験マスク1900はウェーハに露光される。露光されたフォトレジストの現像の後に、試験マスク1900の試験パターン1910が、ウェーハ内でエッチングされる。露光工程とエッチング処理との組合せを試験マスク1900の印刷とも呼ぶ。第2の段階において、ウェーハ上でのDPL層の製作に使用されるフォトリソグラフィマスク又は生産マスクも、試験マスク1900に対して上述したようにウェーハ上に印刷される。
標準の超大規模集積(VLSI)工程は、ウェーハ上のフォトレジストの非平坦面トポグラフィに起因する焦点深度(DOF)の問題を回避するために、面の平坦化を必要とする。提案する方法は、この難題を解消する。しかし、標準の製作工程からのずれを最小にするために、試験マスク1900を薄層上に、この層をハードマスク、例えば、窒化珪素層のように処理することができることに起因して高い光学コントラストで印刷し、試験マスク上で完全なリソグラフィ工程及びエッチング処理を実施し、それにDPL工程に使用される生産マスクの印刷工程を続け、更にウェーハ上でオーバーレイ及びCDのダイ内測定を実施することが有利である。
図20aは、ウェーハ2000の窒化物層2020上に配置された試験パターン2010を略示している。試験パターン2010は、1回目のリソグラフィ−エッチング(LE)工程において生成されたものである(図20aの右部)。エッチング段階を実施し、第2のフォトレジスト2030を付加した後に、ハードマスク層2020は最小のトポグラフィを与え、すなわち、実質的に平坦である。これを図20aの左部に示している。一方、図20bは、ウェーハ2005がハードマスク層2020を持たず、フォトレジスト2035の面が明瞭なトポグラフィ2045を示す例を示している。このトポロジーは、フォトリソグラフィ工程と計測の分解能、精度、及び精密度との両方に悪影響を及ぼす場合がある。従って、図20aの方法を使用することが推奨される。
次の段階では、試験マスク1900と生産マスクの間のオーバーレイ誤差が測定される。この測定は、図3で説明した計測ツール、SEM、散乱計、及び/又は画像ベース又はモデルベースの補助的な計測法を使用することによって実施することができる。ウェーハ全体のオーバーレイ誤差マップは、ウェーハにわたって試験マスク1900及び生産マスクの個々の露光の関連のサンプリング視野を積み重ねることによって決定される。
次に、ウェーハレベルにおけるオーバーレイ誤差は、生産マスクの基板内に1つ又はそれよりも多くのピクセル配列を書き込むことによって補償される。その後に、ピクセル配列の書込みによる補正工程が成功裡に終わったか否かを検査するために、試験マスク1900及び補正された生産マスクの印刷及び測定の工程が繰り返される。
全体のオーバーレイ誤差割当量を最小にするために、説明した手順は、全てのオーバーレイ臨界生産マスクにおいて実施される。この工程では、試験マスク1900の試験パターン1910内に、可能な限り多くの生産マスクのパターンの検査を可能にするパターン要素を含めるのが有利である。この手順は、1つだけ又は非常に限られた個数の試験マスク1900しか必要とされないので、試験マスク概念のコストを最小にする。その一方、更にこの手順は、第1の試験マスクから第2の試験マスクへの移行において導入される誤差を低減する。これを以下の例を用いて簡潔に説明する。
第1の例は、ウェーハのいくつかの層のいくつかのパターンの制御のための単一の試験マスク1900の適用を説明する。A及びBと呼ぶ2つの層をオーバーレイ臨界層と仮定する。位置iにおける層A及びBのパターンPをPAi及びPBiと呼ぶ。試験マスク1900の試験パターン1910は、互いに近い試験パターンTAiとTBiとを有する。それによって試験パターンTAiの配置誤差とTBiの配置誤差とが等しいという仮定が可能になる。これは、実際には1つの試験パターンTiが、両方のパターンPAi及びPBiの試験に役立たせることができることを意味する(1)。
第1の段階において、LELE工程又はLFLE工程を使用することにより、試験マスク1900及び層Aに対するマスク又は生産マスクが印刷され、試験パターンに対するパターン要素の変位が測定される(2)。
次に、試験マスク1900及びウェーハの層Bに対する生産マスクがLELE工程又はLFLE工程において印刷され、試験パターン1910とマスクBのパターンの間に得られる変位が決定される(3)。
式2及び式3に与えられる2つの測定値から、パターン要素PAiとPBiとの相対変位を決定することができる(4)。
上述したように、試験パターンTAiとTBiとの相対的な場所を上述の計測ツールのうちの1つ又はそれよりも多くを用いた試験マスク1900の詳細解析から追加的に得ることができる。
以下の例では、2つの試験マスクA及びBが設計され、試験マスクAは試験パターンTAiを含み、第2の試験マスクBは試験パターンTBiを含む。各試験マスクの試験パターンは、例えば、アーチャーアラインメントマスクのようなアラインメント要素ALを含む。上述の場合のように、それぞれのアラインメント要素ALに対する試験パターンTAi及びTBiの配置誤差を無視することができるように、試験パターンTAi及びTBiは、アラインメントALに近いと仮定する。
試験マスクA及びBは、ウェーハの2つのオーバーレイ臨界層A及びBを検査するために適用される。この目的のために、マスクAの試験印刷が実施され、試験パターンTAiの場所が、整列マークALAiを使用することによって決定される(5)。
次に、マスクBの試験印刷が実施され、試験パターンTBiの場所が、整列マークALBiを使用することによって決定される(6)。
1回目のLELE工程又はLFLE工程では、試験マスクAの試験パターンTAi及び生産マスクAのパターンPAiが印刷される。それによって試験パターンTAiに対するパターン要素PAiの変位がもたらされる(7)。
2回目の印刷工程では、試験マスクの試験パターンTBi及び生産マスクBのパターンPiが印刷され、それによって試験マスクBの試験パターンTBiに対するパターン要素PBiの変位がもたらされる(8)。
2つの測定値から、相対変位PAi及びPBiが、次式に従って把握される(9)。
ここで、括弧内の項は、上述したように試験マスクA及びBの試験印刷から決定される。
提供した手順の可能な変形は、相対位置の測定を可能にする試験パターンTAi及びTBiの設計である。この場合、整列マークALは重複し、更に別の定性化目的に役立たせることができる。
図21は、図19の試験マスク1900の試験パターン1910(暗灰色の要素)及び生産マスクの垂直パターン2110(明灰色の要素)がLELE工程又はLFLE工程において印刷された後のウェーハレイアウトの視野を略示している。生産マスクは、密垂直線2120、中密線2130、及び1つの単離線2140を含む。図21の生産マスクでは、試験マスク1900の試験パターン1910の垂直線のみが関わる。生産マスクパターンの密線2120及び単離線2140と、試験マスクパターン1910の密線2120及び単離線2140とは互いに実質的に整列され、それに対して中密線2130は、試験マスク1900のそれぞれの試験パターン要素に対して局所線間隔誤差2150を有する。図21の試験パターン要素と垂直線パターンとの間で検出される局所オーバーレイ誤差2150は、ダイ内配置又は位置合わせ誤差によってもたらされる。図21の生産マスクの欠陥を補償するために、このオーバーレイ誤差2150を図21のマスクの中密線2130の範囲にピクセル配列を書き込むことによって補正することができる。
図22は、試験マスク1900の試験マスクパターン1910が、リソグラフィ−エッチング(LE)工程において印刷される状況を略示している。LELE工程のLE工程である第1の段階において、生産マスクのコンタクトホールが印刷され、エッチングされる。図22には、コンタクトホール(CH)を円に示している。何千個ものCHが、最新のICの層を形成するウェーハの層の視野内でエッチングされる。試験マスク1900の試験パターン1910によるCH配列の試験には、これらのうちの5つだけが重要である。図22には、これらを暗灰色の円2220、2230、2240、2250、及び2260に示している。試験パターン1910の4つの小さい正方形1915は、CH配列の配置を検査するのに使用される。
図22から分るように、4つの試験CH2220、2230、2240、及び2250は、実質的にこれらの予め決定された位置にあり、それに対してCH2260は、その予め決定された場所に対してシフトされる。コンタクトホール2260の配置誤差は、誤差を有するCH2260の範囲にピクセル配列を書込み、それによってCH2260をその予め決定された位置に対して実質的にシフトさせることによって補正することができる。ダイ内誤差決定は、欠陥補償に使用される少なくとも1つの局所的持続性修正配列を何処に位置決めするかの桁を与える。この場合、並びに本明細書内の他の箇所において、「実質的に」とう表現は、最先端の計測ツールの分解能の限界値の範囲での位置決めを意味する。
図21及び図22と同様に、図23は、図19の試験マスク1900の試験パターン1910と水平線間隔パターンを有する生産マスクとによって印刷されてエッチングされたウェーハパターンを示している。この使用事例では、試験パターン1910の水平バー1925、1935、及び1945のみが関わり、図23の生産マスクの水平線2320及び2330の検査に使用される。図23から分るように、生産マスクの線2320及び2330は、試験パターン要素1925、1935、及び1945に対して単純に垂直にシフトされる。これは、検出される誤差が広域オーバーレイ誤差であることを意味する。図19の解説において上述したように、試験マスク1900の試験パターン1910は、試験パターンを基準パターンとして採用することができるように、非常に詳細に調査される。従って、試験パターン要素1925及び1935と線2320との間、並びに試験パターン要素1945と線2330との間で検出されるシフトは、生産マスクの線2320及び2330のシフトに帰することができる。従って、試験マスクパターン1910は、生産マスクの局所誤差を検出するだけではなく、生産マスクの広域オーバーレイ誤差を解析するのにも使用することもできる。
ダイ内測定によって検出される図23のマスクの水平線2320及び2330の変位誤差は、DPL工程に使用されるスキャナの線形変換(スケール及び直交性の補正)によって補償される。これは、ダイ内測定に基づく試験マスク概念が、広域オーバーレイ誤差を補正するのに使用することができ、従って、オーバーレイ誤差に厳しいDPL工程のオーバーレイ誤差、及びオーバーレイがウェーハ上の異なるトポグラフィ平面内の層の間で測定される単一パターン化工程の誤差が最小にされることを意味する。
代替的に、線2320及び2330を試験パターン要素1925、1935、及び1945と一直線にするために、図23のマスク内にピクセル配列を書き込むこともできる。
図24は、図21から図23の3つの様々な層のウェーハレイアウトの視野の重ね合わせを略示している。様々なマスクのオーバーレイ誤差を最小にするための個々のマスクの補正作用を様々な層の解説において示している。図25は、個別誤差の誤差が、試験マスク1900の試験パターン1910の対応するパターン要素に対して補正された後の図24の様々な層の重ね合わせを概略的に表している。図25から分るように、図21から図23の層のオーバーレイ誤差は、各マスクの補正作用によって最小にされる。
これまでに提供した例は、ウェーハ上のマスクの単一の露光に関連する。しかし、ウェーハレイアウトは、製作されるICの層をウェーハ上に多数回印刷するために、マスクのパターンでウェーハ区域全体を網羅するための多数回のその後のマスク露光を含む。ウェーハ上のマスクの単一の露光を視野と呼ぶ。従って、これまでに解説した誤差は視野内誤差である。
以下では、ウェーハレベルでのオーバーレイ誤差の決定及び補償のためのデータ解析を解説する。より正確には、ウェーハにわたる二乗平均平方根(RMS)オーバーレイ誤差の決定、及びその補償の方法を提供する。図26は、マスクの単一露光又は例えば二重露光のような多重露光の視野2600を概略的に表している。視野は、視野内オーバーレイ誤差が決定される3つの視野内計測箇所2610、2620、及び2630を含む。上述の方法が、実際の製品特徴部に対するダイ内オーバーレイ計測値の測定を可能にし、従って、実際のデバイス特徴部のオーバーレイと相関性を有するか又は持たない場合がある試験特徴部ではなく、製品特徴部のオーバーレイを制御する独特の機会をもたらすことを強調しておくのは重要である。
図27は、フォトリソグラフィマスクのその後の露光の視野2720によって含まれるウェーハ2700を略示している。LELE工程又はLFLE工程では、単一の視野内露光に2つのマスクが必要である。ウェーハ2700上の視野2600、2720の各々は、図26のオーバーレイ視野内ターゲット2610、2620、及び2630を有する。図27に×印を付けたオーバーレイターゲットによって示すように、ウェーハの縁部2710からサブミリメートル範囲にある区域から最大で数ミリメートルの区域が、ウェーハ2700にわたるオーバーレイ誤差の決定から除外される。この解決法は、ウェーハレベルにおけるオーバーレイ誤差の決定において縁部ずれ効果を除外する。ウェーハ製作の経験に基づいて、縁部ダイ効果をリソグラフィ工程によって補償することはできず、従って、この効果は、全ての視野の計測データをRegC工程及びCDC工程の入力として平均化する間に考慮してはならない。
次の段階では、視野レベルにおけるオーバーレイ誤差が、視野毎に複数の点で測定され、図26には3つの位置2610、2620、及び2630を示している。視野内オーバーレイ誤差の決定に、上記に提供した方法のうちの1つを使用することができる。統計的に有意な視野内誤差決定に十分な実験データを有するように、視野内計測は、マスクの露光区域内のホットスポットに着目する。サンプリングする視野におけるターゲットの各々の平均化に基づいて平均視野レベル誤差を作成するために、完全なウェーハサンプリング又はフィールドサンプリングを使用することができる。
次に、個々の視野2600、2720の視野内オーバーレイ誤差マップが積み重ねられる。次に、マスクは、マスクの基板内に少なくとも1つのピクセル配列を書き込むことによって補正される。縁部による影響を受ける視野を除く全てのウェーハ視野の平均計測値に基づいて補正されたマスクを用いて新しいウェーハが印刷され、この補正工程は、ウェーハにわたって最小誤差を得るために、この平均値に対して適用される。誤差補償による改善を検査するために、視野内レベルとウェーハレベルの両方において、補正されたマスクからもたらされるオーバーレイ誤差が測定される。
より多くの測定箇所は、より長い計測時間及び最終製品のより高いコストを意味するので、視野毎の測定点の個数は、補正効率と計測生産率の間の相殺を最適化するために、工程のウェーハから製作されるデバイスの製造業者が定義すべきであることに注意することは重要である。解説した方法は、デバイス製造業者のいずれかの予め決定されたサンプリング計画と共に機能するのに適している。
インターネット公開から引用した図28は、ウェーハ2800にわたるオーバーレイ誤差マップの例2810を提供している。スケール又は直交性(S/O)の補正によって補償することができない誤差寄与を得るために、これらの誤差が図28のオーバーレイ誤差マップ2810から除去される。得られるオーバーレイ誤差マップ2900を図29に提供している。図29の視野内誤差マップ2900では、視野内で系統的誤差を有する区域の例として3つの領域2910、2920、及び2930を識別することができる。
図30は、系統的誤差2910、2920、及び2930が、フォトリソグラフィマスクの基板内にそれぞれの局所的持続性修正配列を導入することによって補正された後の図29の残りの視野内誤差分布3010を示している。それぞれのオーバーレイ誤差の増大をもたらす組合せマスク及びリソグラフィ工程の系統的誤差2910、2920、及び2930は、実質的に排除される。

Claims (11)

  1. 少なくとも1つのフォトリソグラフィマスクによって処理されるウェーハ上の少なくとも1つの誤差を補正する方法であって、
    a.ウェーハ処理サイトにおいてウェーハ上の前記少なくとも1つのオーバーレイ誤差を測定する段階と、
    b.線形変換をすることによって前記少なくとも1つのフォトリソグラフィマスクの前記少なくとも1つのオーバーレイ誤差の広域オーバーレイ誤差を修正する段階と、
    c.前記少なくとも1つのフォトリソグラフィマスク内に少なくとも1つの局所的持続性修正配列を導入することによって前記少なくとも1つのフォトリソグラフィマスクの前記少なくとも1つのオーバーレイ誤差の局所オーバーレイ誤差を修正する段階と、
    を含むことを特徴とする方法。
  2. 前記少なくとも1つの誤差を測定する段階は、チップの有効区域内(ダイ内)の該少なくとも1つの誤差を測定する段階を含むことを特徴とする請求項1に記載の方法。
  3. 前記少なくとも1つの誤差をダイ内測定する段階は、ウェーハ上の少なくとも1つの2次元構造及び/又は少なくとも1つの3次元構造のシフトを測定する段階、及び/又は画像ベース又はモデルベースの計測法を用いた少なくとも1つの2次元構造及び/又は少なくとも1つの3次元構造の楕円率を測定する段階を含むことを特徴とする請求項に記載の方法。
  4. 前記少なくとも1つのオーバーレイ誤差を補正する段階は、前記少なくとも1つの第1のフォトリソグラフィマスク内に少なくとも1つの第1の局所的持続性修正配列を導入する段階、及び/又は前記少なくとも1つの第2のフォトリソグラフィマスク内に少なくとも1つの第2の局所的持続性修正配列を導入する段階を含むことを特徴とする請求項に記載の方法。
  5. 前記少なくとも1つのオーバーレイ誤差は、多重パターン化リソグラフィ工程における前記第1のフォトリソグラフィマスクの少なくとも1つの臨界寸法均一性誤差及び/又は前記第2のフォトリソグラフィマスクの少なくとも1つのパターン配置誤差を含むことを特徴とする請求項に記載の方法。
  6. 前記ウェーハ上の前記少なくとも1つの誤差を測定する段階は、
    a.試験パターンを有する試験マスクを生成する段階と、
    b.前記ウェーハ上に前記試験マスクの前記試験パターンを印刷してエッチングする段階と、
    c.前記ウェーハの前記試験パターン上にフォトリソグラフィマスクパターンを印刷してエッチングする段階と、
    d.前記少なくとも1つの誤差を前記フォトリソグラフィマスクの少なくとも1つのパターン要素と前記試験マスクの少なくとも1つのそれぞれの前記試験パターン要素との差として決定する段階と、
    を含む、
    ことを特徴とする請求項1から請求項のいずれか1項に記載の方法。
  7. 前記試験マスクを印刷及びエッチングする段階、及び前記フォトリソグラフィマスクを印刷及びエッチングする段階は、単一パターン化又は多重パターン化リソグラフィ工程を含むことを特徴とする請求項に記載の方法。
  8. 前記単一又は多重パターン化リソグラフィ工程に使用される前記フォトリソグラフィマスクのパターン要素に対する前記試験マスクの複数の試験パターン要素のシフトからオーバーレイ誤差を決定する段階を更に含むことを特徴とする請求項又は請求項に記載の方法。
  9. 自己整列二重パターン化工程に使用される前記ウェーハ上の犠牲層に前記少なくとも1つの局所的持続性修正配列を導入する段階を更に含むことを特徴とする請求項1から請求項のいずれか1項に記載の方法。
  10. 少なくとも1つのフォトリソグラフィマスクによって処理されるウェーハ上の少なくとも1つの誤差を補正するための装置であって、
    a.ウェーハ処理サイト及び/又はマスク工場に位置付けられ、かつウェーハ上の前記少なくとも1つの誤差を測定するようになった少なくとも1つの計測システムと、
    b.前記少なくとも1つの誤差に基づいて少なくとも1つの誤差補正手段に対するパラメータを計算するようになった少なくとも1つの計算手段と、
    c.超短光パルスを印加することによって前記フォトリソグラフィマスク内に少なくとも1つの局所的持続性修正配列を導入するようになった前記少なくとも1つの誤差を補正する手段と、
    を含み、
    前記装置は、請求項1から請求項9のいずれか1項に記載の方法を実行するようになっていることを特徴とする装置。
  11. 前記少なくとも1つの計測システムは、超高精度台、少なくとも1つのレーザ源及び/又は他の光源、及び紫外波長範囲で作動する少なくとも1つの電荷結合デバイスカメラ及び/又は走査電子顕微鏡及び/又は散乱計及び/又は画像ベース又はモデルベースの計測システムを含むことを特徴とする請求項10に記載の装置。
JP2013543632A 2010-12-17 2011-12-02 フォトリソグラフィマスクによって処理されるウェーハ上の誤差を補正する方法及び装置 Active JP5821100B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201061424422P 2010-12-17 2010-12-17
US61/424,422 2010-12-17
PCT/EP2011/071654 WO2012080008A2 (en) 2010-12-17 2011-12-02 Method and apparatus for correcting errors on a wafer processed by a photolithographic mask

Publications (2)

Publication Number Publication Date
JP2014504376A JP2014504376A (ja) 2014-02-20
JP5821100B2 true JP5821100B2 (ja) 2015-11-24

Family

ID=45315753

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013543632A Active JP5821100B2 (ja) 2010-12-17 2011-12-02 フォトリソグラフィマスクによって処理されるウェーハ上の誤差を補正する方法及び装置

Country Status (4)

Country Link
US (3) US9436080B2 (ja)
JP (1) JP5821100B2 (ja)
KR (2) KR101983525B1 (ja)
WO (1) WO2012080008A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11237474B2 (en) 2018-12-03 2022-02-01 Shin-Etsu Chemical Co., Ltd. Pellicle and method for producing the same

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102008029661A1 (de) * 2008-06-24 2009-12-31 Khs Ag Redundante Inspektion
US8539394B2 (en) * 2011-03-02 2013-09-17 Carl Zeiss Sms Ltd. Method and apparatus for minimizing overlay errors in lithography
DE102012014768B4 (de) * 2012-07-23 2014-03-20 Carl Zeiss Sms Gmbh Mikroskop mit einer Übersichtsoptik
JP5943306B2 (ja) * 2012-10-30 2016-07-05 大日本印刷株式会社 反射型マスクの製造方法およびマスクブランクの製造方法
DE102012022603B3 (de) * 2012-11-19 2014-05-08 Acquifer Ag Vorrichtung und Verfahren zur Mikroskopie einer Vielzahl von Proben
US9081287B2 (en) 2012-12-20 2015-07-14 Kla-Tencor Corporation Methods of measuring overlay errors in area-imaging e-beam lithography
US9176396B2 (en) * 2013-02-27 2015-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Overlay sampling methodology
US9052595B2 (en) * 2013-03-15 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process
US9740108B2 (en) 2013-05-27 2017-08-22 Kla-Tencor Corporation Scatterometry overlay metrology targets and methods
KR102077884B1 (ko) * 2013-05-27 2020-02-14 케이엘에이 코포레이션 산란 측정 오버레이 계측 타겟 및 방법
US8863043B1 (en) * 2013-05-30 2014-10-14 Kabushiki Kaisha Toshiba Inspection data generator, inspection data generating method and pattern inspecting method
US9715180B2 (en) 2013-06-11 2017-07-25 Cymer, Llc Wafer-based light source parameter control
US9053284B2 (en) * 2013-09-04 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for overlay control
US9070622B2 (en) * 2013-09-13 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for similarity-based semiconductor process control
US9490182B2 (en) 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
WO2015109036A1 (en) 2014-01-15 2015-07-23 Kla-Tencor Corporation Overlay measurement of pitch walk in multiply patterned targets
CN106461549B (zh) * 2014-03-10 2019-07-30 诺威量测设备股份有限公司 用于图案化结构中的测试结构及利用测试结构的计量技术
JP6305800B2 (ja) 2014-03-19 2018-04-04 東芝メモリ株式会社 マスク製造装置及びマスク製造方法
US9688027B2 (en) * 2014-04-01 2017-06-27 Stratasys, Inc. Electrophotography-based additive manufacturing with overlay control
US9919479B2 (en) * 2014-04-01 2018-03-20 Stratasys, Inc. Registration and overlay error correction of electrophotographically formed elements in an additive manufacturing system
US9262578B2 (en) * 2014-04-25 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit manufacturing
US20170146465A1 (en) * 2014-06-19 2017-05-25 Nova Measuring Instruments Ltd. Test structure design for metrology measurements in patterned samples
WO2015195272A1 (en) * 2014-06-20 2015-12-23 Applied Materials, Inc. Methods for reducing semiconductor substrate strain variation
US9632407B2 (en) 2014-07-18 2017-04-25 Kabushiki Kaisha Yoshiba Mask processing apparatus and mask processing method
US10146141B2 (en) * 2014-08-28 2018-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process and system with enhanced overlay quality
JP6331891B2 (ja) * 2014-08-29 2018-05-30 株式会社デンソー ガスセンサ
EP3221897A1 (en) 2014-09-08 2017-09-27 The Research Foundation Of State University Of New York Metallic gratings and measurement methods thereof
US9305884B1 (en) * 2014-09-26 2016-04-05 United Microelectronics Corp. Overlay mark and method for forming the same
US9490116B2 (en) 2015-01-09 2016-11-08 Applied Materials, Inc. Gate stack materials for semiconductor applications for lithographic overlay improvement
EP4020087A1 (en) * 2015-02-03 2022-06-29 ASML Netherlands B.V. Mask assembly and associated methods
US9842724B2 (en) 2015-02-03 2017-12-12 Kla-Tencor Corporation Method and system for imaging of a photomask through a pellicle
WO2016207891A1 (en) 2015-06-22 2016-12-29 Nova Measuring Instruments Ltd. Method for use in process control of manufacture of patterned samples
US10062543B2 (en) * 2015-06-23 2018-08-28 Kla-Tencor Corp. Determining multi-patterning step overlay error
JP7117242B2 (ja) 2016-01-11 2022-08-12 ケーエルエー コーポレイション ホットスポット及び処理窓監視
CN205556762U (zh) * 2016-05-05 2016-09-07 鄂尔多斯市源盛光电有限责任公司 掩膜板、母板、掩膜板制造设备和显示基板蒸镀系统
US10897566B2 (en) 2016-09-28 2021-01-19 Kla-Tencor Corporation Direct focusing with image binning in metrology tools
WO2018089217A1 (en) * 2016-11-11 2018-05-17 Applied Materials, Inc. Hybrid laser and implant treatment for overlay error correction
KR102271283B1 (ko) * 2017-01-31 2021-07-02 에이에스엠엘 네델란즈 비.브이. 패턴 위치설정 정확도 증가 방법 및 시스템
US10103166B1 (en) 2017-04-10 2018-10-16 Macronix International Co., Ltd. Semiconductor device and critical dimension defining method thereof
CN110998449B (zh) * 2017-08-07 2022-03-01 Asml荷兰有限公司 计算量测
JP6863169B2 (ja) 2017-08-15 2021-04-21 Agc株式会社 反射型マスクブランク、および反射型マスク
JP2019164300A (ja) 2018-03-20 2019-09-26 東芝メモリ株式会社 基板加工制御装置、基板加工プログラム、およびフォトマスクの作製方法
TWI749355B (zh) * 2018-08-17 2021-12-11 荷蘭商Asml荷蘭公司 用於校正圖案化程序之度量衡資料之方法及相關的電腦程式產品
DE102018218129B4 (de) * 2018-10-23 2023-10-12 Carl Zeiss Sms Ltd. Verfahren zum Bestimmen von Positionen einer Vielzahl von Pixeln, die in ein Substrat einer photolithographischen Maske eingebracht werden sollen
KR20210008678A (ko) * 2019-07-15 2021-01-25 삼성전자주식회사 포토 마스크의 제조 방법 및 반도체 장치의 제조 방법
TW202129404A (zh) 2020-01-21 2021-08-01 日商信越化學工業股份有限公司 防護薄膜框架、防護薄膜、帶防護薄膜的曝光原版及曝光方法、以及半導體或液晶顯示器的製造方法
KR20210131798A (ko) * 2020-04-24 2021-11-03 삼성전자주식회사 Euv 노광 장치, 및 그 노광 장치를 이용한 오버레이 보정 방법과 반도체 소자 제조방법
KR20220099005A (ko) 2021-01-05 2022-07-12 삼성전자주식회사 반도체 소자 제조 방법
CN114911139A (zh) * 2021-02-09 2022-08-16 普思半导体股份有限公司 微影图案叠对校正方法及系统与光罩图案产生方法
CN114758942B (zh) * 2022-03-24 2023-05-30 中国科学院光电技术研究所 一种反应离子刻蚀掩膜
CN114815496B (zh) * 2022-04-08 2023-07-21 中国科学院光电技术研究所 应用于超分辨光刻的像素化光学邻近效应修正方法及系统

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0728226A (ja) 1993-04-30 1995-01-31 Internatl Business Mach Corp <Ibm> 領域的イメージを測定する装置及び方法
US6440612B1 (en) * 1999-09-01 2002-08-27 Micron Technology, Inc. Field correction of overlay error
KR100862301B1 (ko) * 2000-07-16 2008-10-13 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 임프린트 리소그래피를 위한 고분해능 오버레이 정렬 방법 및 시스템
JP4022374B2 (ja) * 2001-01-26 2007-12-19 株式会社ルネサステクノロジ 半導体デバイスの製造方法およびそのシステム
WO2003071358A1 (en) 2002-02-20 2003-08-28 U.C.Laser Ltd. Method and system for repairing defected photomasks
US7241539B2 (en) 2002-10-07 2007-07-10 Samsung Electronics Co., Ltd. Photomasks including shadowing elements therein and related methods and systems
KR100486270B1 (ko) * 2002-10-07 2005-04-29 삼성전자주식회사 웨이퍼 상의 임계 선폭을 제어할 수 있는 포토 마스크제조 방법, 이에 의한 포토 마스크 및 이를 이용한 노광방법
AU2003290531A1 (en) * 2002-10-21 2004-05-13 Nanoink, Inc. Nanometer-scale engineered structures, methods and apparatus for fabrication thereof, and applications to mask repair, enhancement, and fabrication
JP4302965B2 (ja) * 2002-11-01 2009-07-29 株式会社日立ハイテクノロジーズ 半導体デバイスの製造方法及びその製造システム
KR101099301B1 (ko) 2003-07-18 2011-12-26 칼 자이스 에스엠에스 엘티디 포토마스크의 임계치 변화량 정정 방법
KR101056142B1 (ko) * 2004-01-29 2011-08-10 케이엘에이-텐코 코포레이션 레티클 설계 데이터의 결함을 검출하기 위한 컴퓨터로구현되는 방법
NL1027836C2 (nl) 2004-12-21 2006-06-22 Stichting Fund Ond Material Meerlagenspiegel voor straling in het zachte-röntgen- en XUV-golflengtegebied.
US7303842B2 (en) * 2005-04-13 2007-12-04 Kla-Tencor Technologies Corporation Systems and methods for modifying a reticle's optical properties
KR100735532B1 (ko) 2006-03-21 2007-07-04 삼성전자주식회사 기판 내에 팽창부를 포함하는 포토마스크 및 포토마스크의표면 평탄화 방법
DE102006054820B4 (de) 2006-11-21 2011-11-24 Advanced Mask Technology Center Gmbh & Co. Kg Verfahren zur Korrektur von Platzierungsfehlern
KR100886219B1 (ko) * 2007-06-07 2009-02-27 삼성전자주식회사 자기정렬된 이중 패터닝을 채택하는 미세 패턴 형성 방법
US20090046281A1 (en) * 2007-08-16 2009-02-19 Joseph Straub Method and System for Automated Inspection System Characterization and Monitoring
US20090168034A1 (en) * 2007-12-28 2009-07-02 Jens Staecker Methods and Apparatus of Manufacturing a Semiconductor Device
NL1036857A1 (nl) * 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
JP5353230B2 (ja) 2008-12-25 2013-11-27 大日本印刷株式会社 フォトマスクのパタン位置補正方法および位置補正されたフォトマスク
DE102011078927B4 (de) * 2010-07-12 2019-01-31 Carl Zeiss Sms Ltd. Verfahren zum Korrigieren von Fehlern einer photolithographischen Maske

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11237474B2 (en) 2018-12-03 2022-02-01 Shin-Etsu Chemical Co., Ltd. Pellicle and method for producing the same
US11599018B2 (en) 2018-12-03 2023-03-07 Shin-Etsu Chemical Co., Ltd. Pellicle and method for producing the same

Also Published As

Publication number Publication date
US20120154773A1 (en) 2012-06-21
WO2012080008A3 (en) 2012-08-09
JP2014504376A (ja) 2014-02-20
US20160342080A1 (en) 2016-11-24
KR20130132907A (ko) 2013-12-05
US20140036243A1 (en) 2014-02-06
KR101885394B1 (ko) 2018-08-03
US10061192B2 (en) 2018-08-28
WO2012080008A2 (en) 2012-06-21
KR20180088527A (ko) 2018-08-03
KR101983525B1 (ko) 2019-05-28
US9436080B2 (en) 2016-09-06

Similar Documents

Publication Publication Date Title
JP5821100B2 (ja) フォトリソグラフィマスクによって処理されるウェーハ上の誤差を補正する方法及び装置
KR102256685B1 (ko) 검사 장치용 조명 소스, 검사 장치 및 검사 방법
US8539394B2 (en) Method and apparatus for minimizing overlay errors in lithography
JP6140662B2 (ja) 応力ならびにオーバーレイのフィードフォーワード、及び/または、フィードバック・リソグラフィック・プロセス制御
JP7437441B2 (ja) マスクブランクの欠陥を補償する方法及び装置
JP6674465B2 (ja) 極紫外波長範囲のためのマスクを生成する方法、マスク、及びデバイス
JP4911541B2 (ja) リソグラフィツールの光学イメージングシステムの収差をその場で測定する方法
JP2016103041A (ja) Euvフォトマスクの欠陥を解析かつ除去する方法及び装置
CN102272678A (zh) 检验方法和设备、光刻设备、光刻处理单元和器件制造方法
KR100394585B1 (ko) 패턴들간의 정렬 상태 측정 방법 및 오버레이 측정 프로세스
KR102222149B1 (ko) 레벨 센서 장치, 기판에 걸친 토포그래피 변동을 측정하는 방법, 리소그래피 프로세스에 관련된 물리적 파라미터의 변동을 측정하는 방법, 및 리소그래피 장치
JP2018523152A (ja) リソグラフィ装置及び方法
US9354048B2 (en) Method for measuring a lithography mask or a mask blank
JP2006019755A (ja) 較正の方法、較正基板、及びデバイス製造の方法
US11022898B2 (en) Apparatus for EUV lithography and method of measuring focus
JP2019020719A (ja) Opc方法、及びそのopc方法を利用したマスク製造方法
JP4425214B2 (ja) 露光装置、傾斜機器、傾斜集束試験を実行するための方法及びそれによって製造されたデバイス
US20150070713A1 (en) Fabrication of on-product aberration monitors with nanomachining
JP2006286747A (ja) 位置合わせ方法、その装置、プロセス制御装置およびプログラム
CN107810447A (zh) 用于将标记图案转印到衬底的方法、校准方法以及光刻设备
JP4997748B2 (ja) フォーカスモニターマークを有するフォトマスクの転写シミュレーション方法
TWI798773B (zh) 在沿至少一軸可位移且對至少一軸可旋轉的樣品台上確定對準光罩的裝置和方法以及包含指令的電腦程式
Boegli et al. Electron-beam-induced processes and their applicability to mask repair
KR20230040354A (ko) 리소그래픽 마스크의 결함을 수리하기 위한 방법 및 장치

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140410

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20141125

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20141201

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150213

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150430

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150529

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150810

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150909

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150914

R150 Certificate of patent or registration of utility model

Ref document number: 5821100

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313115

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250