JP7117242B2 - ホットスポット及び処理窓監視 - Google Patents

ホットスポット及び処理窓監視 Download PDF

Info

Publication number
JP7117242B2
JP7117242B2 JP2018536101A JP2018536101A JP7117242B2 JP 7117242 B2 JP7117242 B2 JP 7117242B2 JP 2018536101 A JP2018536101 A JP 2018536101A JP 2018536101 A JP2018536101 A JP 2018536101A JP 7117242 B2 JP7117242 B2 JP 7117242B2
Authority
JP
Japan
Prior art keywords
elements
sub
along
asymmetric
repeating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018536101A
Other languages
English (en)
Other versions
JP2019502959A (ja
JP2019502959A5 (ja
Inventor
ボリス ゴロヴァネフスキ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2019502959A publication Critical patent/JP2019502959A/ja
Publication of JP2019502959A5 publication Critical patent/JP2019502959A5/ja
Priority to JP2022122800A priority Critical patent/JP7477564B2/ja
Application granted granted Critical
Publication of JP7117242B2 publication Critical patent/JP7117242B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/44Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70325Resolution enhancement techniques not otherwise provided for, e.g. darkfield imaging, interfering beams, spatial frequency multiplication, nearfield lenses or solid immersion lenses
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Image Analysis (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Description

本発明はイメージング及びスキャタロメトリ(散乱計測)オーバレイ計量の分野に関し、より具体的にはターゲットの設計及び生産における諸プロセス欠点の監視に関する。
(関連出願への相互参照)
本願は、2016年1月11日付米国暫定特許出願第62/277,274号の利益を主張する出願であるので、この参照を以てその全容を本願に繰り入れることにする。
テクノロジノードの微細化が進むもリソグラフィ波長が193nmのままであるため、サブ波長ギャップが拡がっている。ギャップが拡がるにつれ、印刷済ウェハ上での形状がデザインレイアウト形状に対し類似性をますます呈さなくなってきており、光近接効果補正(OPC)その他の分解能向上技術(RET)を以てしてさえもそうなっている。RETツールは、この形状非忠実性について良好な解明性を有するものの、描画されたレイアウトを変更する能力を有していない。デザインツールは、描画されたレイアウトを修正する余力を有するものの、リソグラフィ効果についてそれらにより解明されることは限られていて、「ホットスポット」の防止を図れるデザインルールなる形態のそれになる。ホットスポットとは、なにがしかのリソグラフィ的印刷問題例えばピンチング、ブリッジング又はライン端部短縮が現れうる部位、ひいてはデバイス不調や許容不能な処理窓収縮が生じる部位のことであり、後掲の図1B中にその例が示されている。
一方、デザインルールはますます複雑化しており、ついにはリソグラフィ上の困難に対処する上でほとんど役立たないものになろうとしている。更に、デザインルールの複雑性に起因するホットスポット問題が、昨今のマルチパターニングプロセスでは重大な歩留まり制限要因の一つとなりつつある。
典型的なマスク製造及びホットスポット管理フローはデザインルールクリーンレイアウトで始まり、OPC及びモデルベース検証(MBV)がそれに後続する。OPC及びMBVを数回反復することでポストOPCレイアウトをMBVに合格させることができるが、モデルが完全でないことから、ウェハ上に欠陥が存在しないと保証することはできず、特にパターン複雑度が増したときにはそのようになる。結果として、ウェハ処理後のウェハ検査が、欠陥の所在個所を見いだすための必須なステップとなる。
20nmノード及びそれを上回る(即ちより小値の)ノードでは、歩留まり損失のうち系統的欠陥による部分が既に増大及び多様化している。系統的欠陥は、デザインの複雑度や複雑な先進OPCによって、またエッチング、化学研磨(CMP)等に起因する物理的不調によっても、引き起こされうるものである。辺縁構造がプロセス変動に見舞われると、もたらされるパターンが、ピンチング、ブリッジング及びライン端部短縮を特徴とする変形した構造になってしまいかねない。
米国特許第7557921号
そうした現象はホットスポットの個数を増加させるものであり、それを識別し緩和するため多大な労力が費やされている。ホットスポットの発生を減らし、大量生産時の生産性をより高歩留まりなものにするため、設計上の弱点を予測し排除すること、例えばシミュレーションを用いるそれに、多大な労力が投入されている。しかしながら、設計者の目標に到達させるべくシミュレーションを校正した場合ですら、20nmノード及びそれを上回る(より小値に至る)ノードにおける先進的なプロセスでは、シミュレーションの結果とウェハ上の実印刷パターンとの間に大差が生じる。これは、処理マージンが少ないため実際のウェハ処理で生じる実際の欠陥をシミュレータでは予測できないことを、意味している。
更に、2D(二次元)デザインパターンでは、1D方向決めパターンに比べかなり広範な組合せの重要フィーチャが提供される。反対側にある2個のライン端部間(チップトゥチップ)又はライン端部とそれに垂直なトレンチとの間(チップトゥトレンチ)の距離の計測結果が、そのプロセスの関数として得られる。
図1Aに、2Dパターン75Aをより単純なパターン75Bへと分割し、別々のマスクマスク78A,78Bを用い処理された要素75Cへと更に分割する従来技術を、模式的に示す。このマルチパターニング方式では、2D領域(多角形)をスティッチ(継ぎ目)73付の2個以上の多角形へと分割(71)し(いわゆる層分解)、それらの構造を別々の処理用マスクへと(例.ダブルパターニング、例えばLELE即ちリソエッチリソエッチにより)更に分割(72)する。こうした方式はOVL誤差及びプロセス変動に対し非常に敏感であり、ホットスポットの目立ち具合が甚だしく増大する。
図1Bに、処理窓に関わるホットスポットの挙動を従来技術に従い模式的に示す。描写80A,80Bは、順に処理コーナ,処理ギャップ内にあるホットスポットの非限定的二例を模式的に表したものであり、従来技術の非限定的な例たるネッキングホットスポット82,ブリッジングホットスポット84を順に有している。
以下のものは本発明の初期的理解をもたらす簡略な概要である。この概要は必ずしも本発明の根幹要素を特定し又は技術的範囲を限定するものではなく、後掲の記述への導入部として働くに過ぎない。
本発明の一態様は、少なくとも2個の周期的構造を有する計量オーバレイターゲットであり、それら周期的構造のうち少なくとも1個が、その周期的構造に対応するセグメント化方向に沿い反復する非対称的な要素を有するものを、提供するものである。
本発明のこれらの、更なる及び/又はその他の態様及び/又は長所は、後掲の詳細記述にて説明されており、場合によってはその詳細記述から推論することができ、及び/又は、本発明の実施により学習することができる。
本発明の諸実施形態についてより良好な理解を図るため、並びにそれらをどのようにして実施すればよいかを示すため、以下、対応する諸要素又は部分に一貫して同様の符号が付されている添付図面を、純粋な例示により参照することにする。
添付図面は以下の通りである。
2Dパターンをより単純なパターンへと分割し、別々のマスクマスクを用い処理された要素へと更に分割する従来技術を、模式的に描いた図である。 処理窓との関連でのホットスポットの挙動を従来技術に従い模式的に描いた図である。 従来技術に係るイメージングターゲットの周期的構造の模式図である。 従来技術に係るイメージングターゲット内周期的構造の諸要素の上位模式図である。 本発明の幾つかの実施形態に係る、オーバレイターゲット内周期的構造及びその構成部分、並びにオーバレイターゲットの周期的構造の諸要素に関する様々な非限定的要素デザイン例、の模式図である。 本発明の幾つかの実施形態に係る方法を描いた上位フローチャートである。
以下の記述では本発明の諸態様を述べている。説明が目的であるので、本発明の全般的理解を図るべく具体的な構成及び細部を説明している。とはいえ、本件技術分野に習熟した者(いわゆる当業者)にはやはり自明な通り、本発明は本願記載の具体的な細部抜きで実施しうるものである。更に、本発明が曖昧にならないよう周知の特徴を省略又は簡略化しているところがある。図面への具体的参照については、図中の細目が例示であり本発明の例証的議論のみを目的としていること、並びに本発明の諸原理及び概念的諸側面の最有用で理解しやすい記述と覚しきものを提供する企図で提示されていることを、強調しておく。但し、本発明の根本的理解に必要なそれを超え詳細に本発明の構造的細部を示すような試みはしておらず、本発明の幾通りかの形態を実際にはどのように実施すればよいかが記述と併せ図面からいわゆる当業者にわかるようにしている。
本発明の少なくとも1個の実施形態を詳細に説明する前にご理解頂きたいのは、本発明の適用先が、後掲の記述中で説明され又は図面中に描かれる諸部材の構成及び配列の細部に限られていないことである。本発明は、様々なやり方で実施又は実行されうる他の諸実施形態だけでなく、開示されている諸実施形態の組合せにも適用することができる。また、本願で採用されている表現法及び用語法が記述を目的としており、限定と見なされるべきではないことも、ご理解頂きたい。
別様の具体的な明言がない限り、後掲の議論から明らかな通り、本明細書の随所にあり例えば「処理」、「情報処理」、「計算」、「導出」、「拡張」等の語が用いられている議論は、コンピュータ若しくは情報処理システム又はそれに類する電子情報処理装置の動作及び/又はプロセスのうち、その情報処理システムのレジスタ及び/又はメモリ内で物理量例えば電子的な量として表現されるデータを操作及び/又は変換し、その情報処理システムのメモリ、レジスタその他、同類の情報格納、伝送又は表示装置にて物理量として同様に表現される他のデータにするものを、指しているものと認められる。
開示されている発明はイメージング及びスキャタロメトリオーバレイターゲットの双方に適用可能であるが、イメージング技術に非限定的な形態で関連付けられたターゲットデザイン例を本願では提示している。同様の手法を用いスキャタロメトリターゲットを設計してもよい。
オーバレイ(OVL)イメージングツールの光学的分解能は割合に低く、ミクロン(μm)レベルのフィーチャをオーバレイターゲットの分解可能要素として用いることが求められる。他方、非イメージング又は非分解的な技術(例.スキャタロメトリ)では、ターゲットの設計に同様の意義が課されることになる。これら「大型」フィーチャは昨今のプロセスデザインルールと調和していないので、対応するセグメント化/ダミー化(例.より小スケールのサブ要素を用い「より大型」なミクロンスケールのターゲット要素、通常は図3に示す如く周期的パターンを形成するそれを満たすこと)が求められる。適正にセグメント化すればオーバレイターゲットの挙動はデバイスの挙動により近くなる。しかしながら、垂直ラインセグメント化(図3中の非限定的な描写96を参照のこと)ではデバイス同様のライン端部短縮(LES)が悩みとなり、リソグラフィ光学系の収差又は軸外れ照明に起因する非対称LESの場合には、このLESがオーバレイ計測に影響を及ぼすこととなりかねない。更に、平行ラインセグメント化(図3中の非限定的な描写98を参照のこと)では、セグメント化されたバーにおける外側ラインの幅が内側ラインの幅と異なるものになる、という一種のPPE(パターン配置誤差)が悩みとなる。
図2は、従来技術に係る先進的イメージングターゲット90の周期的構造の模式図である。従来技術によれば、イメージングターゲット90(例.AIMターゲット即ち先進イメージング計量ターゲット)により、2個超の層に係るOVLを計測することができる。提示例のAIMターゲットは周期的構造91A,91B,91Cを有している。AIMターゲットは、通常、X,Y両方向に係るOVL計測を担当する諸ブロックを有している。図2上の例は、方向毎に2個のブロックを有し時計回り対称性を呈している。通常、それらの設計先はウェハ内の別々の層、例えば2個の先行形成層及びレジスト層である外側層、中葉層及び内側層内である。周期的構造91A,91B,91Cは要素95で組成されており、それら要素95は、個別層に係るDR(デザインルール)上の要請次第で、様々な周期的構造91A,91B,91C内で互いに同様になることも別様になることもある。図3は、従来技術に係るイメージングターゲット90内周期的構造91A,91B,91Cの諸要素95の上位模式図である。要素95は、通常は、要素95のセグメント化物を形成しているサブ要素96とスペース97とを有するセグメント化されたバーであり、そのスペース97は、(デザイン92の如く)空白のまま残されるか(デザイン94の如く)サブ要素98を有するセグメント化されたダミー化デザイン97Aで満たされている。バー95のセグメント化物は垂直96でも平行98でもかまわない。いずれの従来技術事例でもサブ要素96,98は対称バーである。
本発明の諸実施形態によれば、「大型」ターゲットフィーチャを変換し特別に設計されたターゲットを用いる効率的で経済的な方法であり、ホットスポット例えばLESをオーバレイと併せ(又はオーバレイから分離して)監視すること、並びにLES及びホットスポット検討の従来型検討手法たるCD-SEM(限界寸法走査型電子顕微鏡)計測の所要回数を減らすことが可能なものが、提供される。
ある種の実施形態では、OVLツール及びOVLアルゴリズムを、特別に設計されたターゲットと併用することで、ホットスポット及び/又は処理窓が監視される。有益なことに、CD-SEM的ツールをホットスポットのインライン検出及び監視に用いる従来技術、ひいてはスループットが非常に低くツール所有コスト(CoO)が高い従来技術に対し、開示されている方法及びターゲットでは効果的なホットスポット監視を実現して歩留まり改善に導くことができる。加えて、ホットスポット監視とOVL計測を組み合わせることで、ウェハ計測スループット及びウェハリアルエステート(ウェハ占有率)を改善することができる。
プロセス欠点監視方法と併せ、非限定的な例たる計量イメージングターゲットが提供される。ターゲットは周期的構造を有するものとし、それら周期的構造のうち少なくとも1個を、その周期的構造に対応するセグメント化方向に沿い反復する非対称な要素を有するものとする。それら要素の非対称性は様々なやり方、例えばそれら要素のセグメント化方向に対し垂直な方向に沿い非対称的なサブ要素を反復させるやり方で設計することができる。それらサブ要素の非対称性は、監視対象プロセス欠点、例えば諸種ホットスポット、ライン端部短縮、処理窓パラメタ等の種類に従い、様々なやり方で設計することができる。計測結果を用い、プロセスを改善すること及び/又は計量計測の正確性を高めることができる。
図4は、オーバレイターゲット100内及びその部分109内の周期的構造101、並びに本発明の幾つかの実施形態に係るオーバレイターゲット100の周期的構造101の諸要素105に関する様々な非限定的要素デザイン例105A~105E、の模式図である。オーバレイターゲット100はイメージングターゲット100及び/又はスキャタロメトリターゲット100を構成しうるものであり、複数個の図示部分109を有するものとしそれらを様々なレイアウトに従い配列すること、例えば図2のイメージングターゲット90に類する構成や他の諸構成に従い配列することができる。どのようなデザインのイメージング及びスキャタロメトリターゲットでも本願開示の如き周期的構造を採用しうるので、この模式図は本発明の実施形態を限定するものではない。描かれている周期的構造は、いずれも、イメージング又はスキャタロメトリオーバレイターゲット100の一部分とすることができる。周期的構造101A,101B,101Cのうち少なくとも1個を要素105で組成すればよく、その要素105が別々の周期的構造101A,101B,101C内で互いに同様でも別様でもかまわない。周期的構造101A,101B,101Cは別々の層内に及び/又は別々の処理工程との関連で設計しうるものであり、例えば(i)オーバレイ計測用に望ましいプロセス層、(ii)LES監視用セグメント化を伴うアンカーセームレジスト層(例.105A~105E又はそれと等価なデザインのいずれか)、並びに(iii)アンカーレジスト層(セグメント化無し)に、周期的構造101A,101B,101Cを所在させることができる。何個の別様な周期的構造101をイメージング及び/又はスキャタロメトリオーバレイターゲット100内に設計してもよく(2個、3個、4個又はそれ以上の個数の周期的構造101)、要素105の設計上の詳細は計測の目的、例えばLES、諸種ホットスポット(上記を参照)、並びに後述する付加的な諸目的と、場合によってはオーバレイ計測との組合せに従い、選択すればよい。
ターゲットセグメント化物即ち要素105のセグメント化物は、デバイス様構造の可反復パターン(群)として設計すればよい。これらの構造はオーバレイイメージングツールの光学的分解能を遙かに下回るものにすることができ、またLES効果(その他の監視に望ましい種類のホットスポット)の結果としてセグメント化バー(のエッジ又は重心)が動く形態、即ちLES及び/又はホットスポットの存在及び場合によってはその規模が示される形態に設計することができる。
図4には、その類いの様々なパターン105A~105Eが非限定的形態にて模式的に例示されている。描かれている諸例は、OVLターゲットのうち(描かれている方向指示によれば)X方向に沿ったOVL計測を担う部分109と、そのOVLターゲットのうちY方向に沿ったOVL計測を担う部分109、例えば同例を90°回すことで生成されうる部分と、の双方に適用することができる。各例証には、ギャップ97が各要素105A~105E間にある例102と、平行セグメント化を伴うバー98が各要素105A~105E間に描かれている例104とが含まれている。セグメント化バー98は、計測ターゲットのフィルインダミー化物として、アンカーバーとして、或いは他の何らかの機能部分として用いうる。平行バーセグメント化がこの例で選ばれているのは専ら可視化のためであり、これは個別の層向けの所要バー機能及びデザインルールに基づき別様に設計されることもありうる。バーが非セグメント化バーや非対称セグメント化バーとされることさえありうる。
要素105(バーにより非限定的形態で例示されているそれ)は、構造101A,101B及び/又は101Cに対応する計測方向(図4中にて「Y」と付記されているそれ)に沿い、セグメント化されていて反復性で且つ非対称的なものにするとよい。反復性非対称要素105を、計測(反復)方向に対し垂直な方向(図4中にて「Y」と付記されているそれ)に沿い内部セグメント化してもよい。反復性非対称要素105は、デザイン例105A~105E中に描かれている通り、垂直方向(Y)に沿い反復するサブ要素110を有し、それらサブ要素がセグメント化方向(X)に沿い非対称的なものにするとよい。
ある種の実施形態によれば、サブ要素110を、ギャップ112によりデザイン105A、105C及び105D中に描かれている通り、少なくとも1回途切れるラインを有するものとすることができる。サブ要素110を、サブ要素110の設計用に何らかのOPCフィーチャを有し、それによりサブ要素110の片側のPPEを少なくする一方、そのサブ要素110の逆側を、単純な線とするか、所望ホットスポットの監視向けに設計されたフィーチャを有するものとしてもよい。
ある種の実施形態によれば、サブ要素110を、例えば105B中の不等ライン114,116、105D中の不等ライン114,116、並びに105C,105E中の同じ長さのライン110によりデザイン105B~105E中に描かれている通り、少なくとも2本の平行ラインセグメントを有するものとすることができる。
ある種の実施形態によれば、それら平行ラインセグメントのうち少なくとも幾本かを、ラインセグメント114,116によりデザイン105D中に、またデザイン105B及び105E中に描かれている通り、相互接続ライン118を介し相互接続することができる。
ある種の実施形態によれば、サブ要素110のうち少なくとも幾つかを、デザイン105C、105D及び105E中に描かれている通り、垂直方向(Y)に沿い縦ライン122を有するものとすることができる。
ある種の実施形態によれば、ライン110及び/又はラインセグメント114,116,119のうち少なくとも幾本かを、デザイン105C中に例示されている通り、縦ライン122のうち少なくとも幾本かに相互接続することができる。
ある種の実施形態によれば、サブ要素110のうち少なくとも幾つかを、例えばデザイン105B及び105E中に描かれている通り、垂直方向(Y)に沿い相互接続することができる。
ある種の実施形態によれば、サブ要素110を、例えばデザイン105A、105C及び105D中に描かれている通り、垂直方向(Y)に沿い互いに間隔配置することができる(スペース117を参照)。
ある種の実施形態によれば、非対称要素105を、セグメント化方向(X)に対し垂直に非対称配置された、少なくとも1本のライン120を有するものとすることができる。例えばデザイン105Aでは(垂直方向Yに沿い延びる)1本の縦ライン120が示されており、デザイン105Eではライン118が同様に配置されている。それらフィーチャの寸法(主にCD)は個別の層に係るデザインルールに依存しており、そのプロセスにより許容される最小値(例.現在の最も先進的なプロセスでは7nm)からそのプロセスにより許容される最大値(例.非限定的な諸例では300nm)まで変化させること及び隠れピッチを算入することができる。
明示すべきことに、デザイン特性の種類を示すのに用いた符号は相互排他的なものではなく、ある特定のデザインフィーチャにより上述のデザイン原理を複数個実現するようにしてもよい。更に、別々のデザイン105A~105Eに由来する諸要素を組み合わせることで、上に列記した諸原理や本発明のうち同様に考えられる部分に従い、更なるデザイン及び異なるデザインを生み出してもよい。デザイン105A~105Eに由来する諸要素は動作可能な何らかの組合せをなすよう組み合わせればよく、ある特定の図面にある特定の要素が描かれているが他の図面には描かれていないとしても、その役割は単なる説明目的であり非限定的なものである。
ある種の実施形態は、開示されているデザインパターンのうちいずれかを呈する要素105を有し少なくとも1個の周期構造を呈するスキャタロメトリオーバレイターゲットを備える。
ある種の実施形態は、ターゲット100のターゲットデザインファイルと、ターゲット100のうちいずれかから計測された計量信号と、を備える(例えば従来技術のターゲットパターン90に示す如くターゲットデザイン全体は様々なパターンに従い複数個のターゲット要素105で構成される)。
パターン配置誤差(PPE)を計測するため要素デザインに対し修正を施してもよい。フィーチャ形状、サイズ、ピッチ及びライン対スペース比の違いはPPEに違いをもたらすので、そうした違いをサブ要素110に設計的に組み込みPPE計測に役立てることができる。
ある種の実施形態によれば、ターゲット100(並びにそれから導出されたターゲットデザイン)を、任意種類のホットスポットを監視可能となるよう、且つリソグラフィ工程に加え任意の処理工程例えばエッチング及びCMPにて処理窓を監視しうるよう、設計することができる。
ある種の実施形態によれば、ターゲット100(並びにそれから導出されたターゲットデザイン)を用い、非対称なLES、PPE又はCMP効果を計測すること及びオーバレイ結果から減ずることで、オーバレイ計測の正確性を向上させることができる。
有益なことに、開示されている2Dパターンでは、単一方向決めパターンに対しかなり広範な組合せの重要フィーチャが提供される。逆側にある2個のライン端部間(チップトゥチップ)又はライン端部とそれに垂直なトレンチとの間(チップトゥトレンチ)の距離の計測結果を、そのプロセスの関数として得ることができる。
ターゲットデザインは、首尾一貫したターゲットシミュレーション及びオーバレイツール設定最適化を行うシミュレーションツール等、オーバレイツールのイメージングデバイスへとターゲット画像を伝搬させる何らかのリソグラフィシミュレーションソフトウェアを用い、最適化すればよい。
有益なことに、開示されている発明によれば、ウェハリアルエステート及びCoOに何ら大きな影響を及ぼすことなく、標準的なオーバレイツール及びアルゴリズムを利用することができる。スループットが高く、用い方が単純で、結果が短時間で得られるため、開示されている発明によれば、ホットスポットのサンプリング回数を大きく増やし、より良好なプロセス監視を行うことができる。
図5は、本発明の幾つかの実施形態に係る方法200を示す上位フローチャートである。本方法の諸ステージは上述したターゲット100に関し実行しうるものであり、それを以て随意に設計された方法200とすることができる。方法200は、少なくとも1個のコンピュータプロセッサ(図示せず)、例えばターゲット設計モジュール(図示せず)内のそれにより、少なくとも部分的に実現することができる。ある種の実施形態に係るコンピュータプログラム製品では、それに備わるコンピュータ可読格納媒体によりコンピュータ可読プログラムが体現されると共に、方法200のうち関連するステージを実行するようそのコンピュータ可読プログラムが構成される。ある種の実施形態は、方法200の諸実施形態により設計された個々のターゲットのターゲットデザインファイルを有する。ある種の実施形態は、ターゲット100及び/又は方法200の諸実施形態により設計されたターゲットから計測された、信号を有する。方法200を、リソグラフィのシミュレーションによりターゲット100を設計し、その上でそのターゲットデザインを最適化するものにしてもよい。
方法200は、少なくとも2個の周期的構造を有するものとなるよう計量イメージングターゲットを設計するステージ210と、それら周期的構造のうち少なくとも1個をその周期的構造に対応するセグメント化方向に沿い反復する非対称的な要素で組成するステージ220とを、有するものとすることができる。
方法200は、そのセグメント化方向に対し垂直な方向に沿い周期性を呈するようそれら反復性非対称要素を構成するステージ230と、場合によっては当該垂直方向に沿い反復する非対称的なサブ要素でそれら反復性非対称要素を組成するステージ240とを、有するものとすることができる。
方法200は、少なくとも1回途切れるラインを有するものとなるようそれらサブ要素を構成するステージ242と、少なくとも2本の平行ラインセグメントを有するものとなるようそれらサブ要素を構成するステージ244と、相互接続されるようそれら平行ラインセグメントのうち少なくとも幾本かを構成するステージ246と、上記垂直方向に沿った縦ラインをそれらサブ要素のうち少なくとも幾つかに導入するステージ250と、上記ライン又はラインセグメントのうち少なくとも幾本かをそれら縦ラインのうち少なくとも幾本かに相互接続するステージ252と、のうちいずれかを有するものとすることができる。
方法200は、それらサブ要素のうち少なくとも幾つかを上記垂直方向に沿い相互接続するステージ260、及び/又は、それらサブ要素のうち少なくとも幾つかを当該垂直方向に沿い互いに間隔配置するステージ270を、有するものとすることができる。
方法200は、縦ライン(群)を非対称配置するステージ280、即ちセグメント化方向に対し垂直な少なくとも1本のラインを非対称配置するステージを、有するものとすることができる。
方法200は、設計210を少なくとも1個のコンピュータプロセッサにより実行するステージ290、及び/又は、計量イメージングターゲットを作成するステージ292、及び/又は、その計量イメージングターゲットから計量信号を導出するステージ294を、有するものとすることができる。
本発明の諸態様について、本発明の諸実施形態に係る方法、装置(システム)及びコンピュータプログラム製品のフローチャート描写及び/又は部分図を参照し記述してきた。ご理解頂けるように、そのフローチャート描写及び/又は部分図の各部分や、同フローチャート描写及び/又は部分図の諸部分の組合せを、コンピュータプログラム命令により実現することができる。それらコンピュータプログラム命令を、汎用コンピュータ、専用コンピュータその他のプログラマブルなデータ処理装置に備わるプロセッサに供給することでマシンを構成することができ、ひいては、フローチャート及び/又は部分図若しくはその諸部分にて特定した機能/動作を実現する手段を、そのコンピュータその他のプログラマブルなデータ処理装置に備わるプロセッサにより実行される命令を以て生成することができる。
また、それらコンピュータプログラム命令をコンピュータ可読媒体内に格納し、コンピュータその他のプログラマブルデータ処理装置を初めとする諸装置に対し特定形態で機能せよと指令することができ、ひいては、フローチャート及び/又は部分図若しくはその諸部分にて特定した機能/動作を実現する命令が組み込まれた産品を、そのコンピュータ可読媒体内に格納された命令を以て提供することができる。
また、それらコンピュータプログラム命令をコンピュータその他のプログラマブルデータ処理装置を初めとする諸装置上にロードし、そのコンピュータその他のプログラマブル装置を初めとする諸装置上で一連の動作ステップを実行させることにより、コンピュータ実行プロセスを提供することができ、ひいては、フローチャート及び/又は部分図若しくはその諸部分にて特定した機能/動作を実現するプロセスを、そのコンピュータその他のプログラマブル装置上で実行される命令を以て提供することができる。
上掲のフローチャート及び図面は本発明の諸実施形態に係るシステム、方法及びコンピュータプログラム製品の潜在的諸実現形態のアーキテクチャ、機能及び動作を示すものである。この点に関して言えば、そのフローチャート又は部分図の各部分により表されるモジュール、セグメント又は一部のコードを、記述されている論理機能(群)を実現するための可実行命令が1個又は複数個組み込まれたものとすることができる。これもまた注記すべきことに、ある種の代替的実現形態では、その部分に記されている諸機能が図示のそれとは別の順序で実行されることもあろう。例えば、相次ぐかたちで示されている2個の部分を実際には実質同時に実行するようにしてもよいし、場合によってはそれらの部分を逆の順序で実行してもよいのであり、どのようにするかは関連する機能によって左右される。これもまた注記すべきことに、その部分図及び/又はフローチャート描写の各部分や部分図及び/又はフローチャート描写の諸部分の組合せを、記述されている機能又は動作を実行する専用ハードウェアベースシステムによって実現することが可能であるほか、コンピュータ命令や専用ハードウェアの組合せによって実現することが可能である。
上掲の記述における実施形態とは本発明の一例又は一実現形態のことである。「一実施形態」、「ある実施形態」、「ある種の実施形態」又は「各種実施形態」なる様々な表記が全て同じ実施形態群を指すとは限らない。本発明の諸特徴には単一実施形態の文脈で記述可能なものがあるが、それら特徴を別々に又は任意の好適組合せで提供することもできる。逆に、本願では明瞭化のため本発明を幾つかの実施形態の文脈で記述したところがあるが、単一実施形態の態で本発明を実施することもできる。本発明のある種の実施形態には先に開示した別々の実施形態の特徴を含めうるし、ある種の実施形態には先に開示した他の諸実施形態から要素を組み込みうる。本発明の諸要素がある特定の実施形態の文脈で開示されていることを以て、その特定の実施形態だけにそれらの用途が限定されるものと解すべきではない。更に、ご理解頂けるように、本発明は様々なやり方で実行又は実現することが可能であるし、上掲の記述で概要を示したそれとは異なるある種の諸実施形態にて本発明を実施することが可能である。
本発明はそれらの図面やそれに対応する記述に限定されるものではない。例えば、フローが、図示されているボックス又は状態それぞれを通ることや、図示及び記述されているそれと厳密に同じ順序で通ることは必要でない。本願で用いられている技術用語及び科学用語の意味合いは、別途定めてある場合を除き、本発明が属する分野におけるいわゆる当業者による理解通り、常識的に理解されるべきである。本発明を有限個数の実施形態との絡みで記述してきたが、それらを以て本発明の技術的範囲についての限定事項と解すべきではなく、寧ろその好適な諸実施形態のうち幾つかの例示として解すべきである。他の潜在的な変形、修正及び応用もまた本発明の技術的範囲に入るものとする。このように、本発明の技術的範囲は、ここまで記述してきた事項によってではなく、別項の特許請求の範囲及びそれらの法的均等物によって限定されるべきものである。

Claims (25)

  1. ウェーハと、
    上記ウェーハ上に設けられた少なくとも2個の周期的構造と、を備える、計量オーバレイターゲットであって
    上記周期的構造のうち少なくとも1個が、その周期的構造に対応するセグメント化方向に沿い反復する非対称的な要素を含み、
    上記反復する非対称的な要素が、上記セグメント化方向に対し垂直な方向に沿い内部周期性を呈し、
    上記反復する非対称的な要素が、上記垂直な方向に沿い反復するサブ要素を有し、それらサブ要素が上記セグメント化方向に沿い非対称的であり、上記非対称的な要素によりライン端部短絡(LES)とホットスポットの少なくともいずれかが計測され、
    上記サブ要素が、少なくとも1回途切れるラインを有し、
    上記サブ要素の少なくとも一部が、前記垂直な方向に沿った、該サブ要素に接続された縦ラインを有する、
    計量オーバレイターゲット。
  2. 請求項1に記載の計量オーバレイターゲットであって、上記サブ要素が、少なくとも2本の平行ラインセグメントを有する計量オーバレイターゲット。
  3. 請求項2に記載の計量オーバレイターゲットであって、上記平行ラインセグメントのうち少なくとも幾本かが相互接続されている計量オーバレイターゲット。
  4. 請求項1に記載の計量オーバレイターゲットであって、上記ライン又はラインセグメントのうち少なくとも幾本かが、上記縦ラインのうち少なくとも幾本かに相互接続されている計量オーバレイターゲット。
  5. 請求項1に記載の計量オーバレイターゲットであって、上記サブ要素のうち少なくとも幾つかが、上記垂直な方向に沿い相互接続されている計量オーバレイターゲット。
  6. 請求項1に記載の計量オーバレイターゲットであって、上記サブ要素のうち少なくとも幾つかが、上記垂直な方向に沿い互いに間隔配置されている計量オーバレイターゲット。
  7. 請求項1に記載の計量オーバレイターゲットであって、上記非対称的な要素が、上記セグメント化方向に対し垂直であり非対称配置されている少なくとも1本のラインを有する計量オーバレイターゲット。
  8. 少なくとも2個の周期的構造を有するよう計量オーバレイターゲットを設計し、上記設計が少なくとも1つのコンピュータプロセッサにより実行され、
    上記少なくとも1つのコンピュータプロセッサを用いて、上記周期的構造のうち少なくとも1個を、その周期的構造に対応するセグメント化方向に沿い反復する非対称的な要素で組成し、
    上記少なくとも1つのコンピュータプロセッサを用いて、上記セグメント化方向に対し垂直な方向に沿い内部周期性を呈するよう、上記反復する非対称的な要素を構成し、
    上記少なくとも1つのコンピュータプロセッサを用いて、上記垂直な方向に沿い反復するサブ要素を有するよう上記反復する非対称的な要素を構成し、それらサブ要素が上記セグメント化方向に沿い非対称であり、上記非対称的な要素によりライン端部短絡(LES)とホットスポットの少なくともいずれかが計測され、
    上記少なくとも1つのコンピュータプロセッサを用いて、上記サブ要素が少なくとも1回途切れるラインを有するよう構成し、
    上記少なくとも1つのコンピュータプロセッサを用いて、上記サブ要素の少なくとも一部に、前記垂直な方向に沿った縦ラインを導入し、上記縦ラインが上記サブ要素に接続され、
    ウェーハ上に前記少なくとも2個の周期的構造を備える前記計量オーバレイターゲットを製造する、
    方法。
  9. 請求項8に記載の方法であって、更に、上記少なくとも1つのコンピュータプロセッサを用いて、上記サブ要素が少なくとも2本の平行ラインセグメントを有するよう構成する方法。
  10. 請求項9に記載の方法であって、更に、上記少なくとも1つのコンピュータプロセッサを用いて、上記平行ラインセグメントのうち少なくとも幾本かを相互接続されるよう構成する方法。
  11. 請求項8に記載の方法であって、更に、上記ライン又はラインセグメントのうち少なくとも幾本かを、上記縦ラインのうち少なくとも幾本かに相互接続する方法。
  12. 請求項8に記載の方法であって、更に、上記サブ要素のうち少なくとも幾つかを、上記垂直な方向に沿い相互接続する方法。
  13. 請求項8に記載の方法であって、更に、上記サブ要素のうち少なくとも幾つかを、上記垂直な方向に沿い互いに間隔配置する方法。
  14. 請求項8に記載の方法であって、更に、前記少なくとも1つのコンピュータプロセッサを用いて、上記セグメント化方向に対し垂直な少なくとも1本のラインを非対称配置する方法。
  15. ウェーハと、
    上記ウェーハ上に設けられた少なくとも2個の周期的構造と、を備える計量スキャトロメトリオーバレイターゲットであって
    上記周期的構造のうち少なくとも1個が、その周期的構造に対応するセグメント化方向に沿い反復する非対称的な要素を含み、
    上記反復する非対称的な要素が、上記セグメント化方向に対し垂直な方向に沿い内部周期性を呈し、
    上記反復する非対称的な要素が、上記垂直な方向に沿い反復するサブ要素を有し、それらサブ要素が上記セグメント化方向に沿い非対称的であり、上記非対称的な要素によりライン端部短絡(LES)とホットスポットの少なくともいずれかが計測され、
    上記サブ要素が、少なくとも1回途切れるラインを有し、
    上記サブ要素の少なくとも一部が、前記垂直な方向に沿った、該サブ要素に接続された縦ラインを有する計量スキャトロメトリオーバレイターゲット。
  16. ウェーハと、
    上記ウェーハ上に設けられた少なくとも2個の周期的構造と、を備える計量イメージングオーバレイターゲットであって
    上記周期的構造のうち少なくとも1個が、その周期的構造に対応するセグメント化方向に沿い反復する非対称的な要素を含み、
    上記反復する非対称的な要素が、上記セグメント化方向に対し垂直な方向に沿い内部周期性を呈し、
    上記反復する非対称的な要素が、上記垂直な方向に沿い反復するサブ要素を有し、それらサブ要素が上記セグメント化方向に沿い非対称的であり、上記非対称的な要素によりライン端部短絡(LES)とホットスポットの少なくともいずれかが計測され、
    上記サブ要素が、少なくとも1回途切れるラインを有し、
    上記サブ要素の少なくとも一部が、前記垂直な方向に沿った、該サブ要素に接続された縦ラインを有する計量イメージングオーバレイターゲット。
  17. 計量ターゲットを有するオーバーレイツールを使用するステップと、
    上記オーバーレイツールを用いて上記計量ターゲットから計量信号を得るステップと、
    を含む方法であって、
    上記計量ターゲットが、
    ウェーハと、
    上記ウェーハ上に設けられた少なくとも2個の周期的構造と、を有し、それら周期的構造のうち少なくとも1個が、その周期的構造に対応するセグメント化方向に沿い反復する非対称的な要素を有し、
    上記反復する非対称的な要素が、上記セグメント化方向に対し垂直な方向に沿い内部周期性を呈し、
    上記反復する非対称的な要素が、上記垂直な方向に沿い反復するサブ要素を有し、それらサブ要素が上記セグメント化方向に沿い非対称的であり、上記非対称的な要素によりライン端部短絡(LES)とホットスポットの少なくともいずれかが計測され、
    上記サブ要素が、少なくとも1回途切れるラインを有し、
    上記サブ要素の少なくとも一部が、上記垂直な方向に沿った、該サブ要素に接続された縦ラインを有する、
    方法。
  18. 請求項17に記載の方法であって、上記サブ要素が、少なくとも2本の平行ラインセグメントを有する方法。
  19. 請求項18に記載の方法であって、上記平行ラインセグメントのうち少なくとも幾本かが相互接続されている方法。
  20. 請求項17に記載の方法であって、上記ライン又はラインセグメントのうち少なくとも幾本かが、上記縦ラインのうち少なくとも幾本かに相互接続されている方法。
  21. 請求項17に記載の方法であって、上記サブ要素のうち少なくとも幾つかが、上記垂直な方向に沿い相互接続されている方法。
  22. 請求項17に記載の方法であって、上記サブ要素のうち少なくとも幾つかが、上記垂直な方向に沿い互いに間隔配置されている方法。
  23. 請求項17に記載の方法であって、上記非対称的な要素が、上記セグメント化方向に対し垂直であり非対称配置されている少なくとも1本のラインを有する方法。
  24. 請求項17に記載の方法であって、さらに、上記計量信号を用いてホットスポットを監視する、方法。
  25. 請求項17に記載の方法であって、さらに、上記計量信号を用いてプロセスウィンドウを監視する、方法。
JP2018536101A 2016-01-11 2017-01-06 ホットスポット及び処理窓監視 Active JP7117242B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022122800A JP7477564B2 (ja) 2016-01-11 2022-08-01 ホットスポット及びプロセスウィンドウ監視装置

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662277274P 2016-01-11 2016-01-11
US62/277,274 2016-01-11
PCT/US2017/012490 WO2017123464A1 (en) 2016-01-11 2017-01-06 Hot spot and process window monitoring

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022122800A Division JP7477564B2 (ja) 2016-01-11 2022-08-01 ホットスポット及びプロセスウィンドウ監視装置

Publications (3)

Publication Number Publication Date
JP2019502959A JP2019502959A (ja) 2019-01-31
JP2019502959A5 JP2019502959A5 (ja) 2020-02-06
JP7117242B2 true JP7117242B2 (ja) 2022-08-12

Family

ID=59312167

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2018536101A Active JP7117242B2 (ja) 2016-01-11 2017-01-06 ホットスポット及び処理窓監視
JP2022122800A Active JP7477564B2 (ja) 2016-01-11 2022-08-01 ホットスポット及びプロセスウィンドウ監視装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2022122800A Active JP7477564B2 (ja) 2016-01-11 2022-08-01 ホットスポット及びプロセスウィンドウ監視装置

Country Status (8)

Country Link
US (2) US10354035B2 (ja)
EP (1) EP3403142B1 (ja)
JP (2) JP7117242B2 (ja)
KR (1) KR102424805B1 (ja)
CN (2) CN108475026B (ja)
IL (2) IL259823B (ja)
TW (1) TWI730031B (ja)
WO (1) WO2017123464A1 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10628544B2 (en) 2017-09-25 2020-04-21 International Business Machines Corporation Optimizing integrated circuit designs based on interactions between multiple integration design rules
CN109581817B (zh) * 2017-09-29 2021-07-06 联华电子股份有限公司 半导体装置的形成方法
KR102154959B1 (ko) 2020-04-29 2020-09-10 동아에스티 주식회사 지속형 glp-1 및 글루카곤 수용체 이중작용제
US11703767B2 (en) * 2021-06-28 2023-07-18 Kla Corporation Overlay mark design for electron beam overlay
US11862524B2 (en) 2021-06-28 2024-01-02 Kla Corporation Overlay mark design for electron beam overlay

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004508711A (ja) 2000-08-30 2004-03-18 ケーエルエー−テンカー・コーポレーション 重ね合わせマーク、重ね合わせマークの設計方法および重ね合わせ測定の方法
JP2004533114A (ja) 2001-04-10 2004-10-28 ケーエルエー−テンカー コーポレイション 周期パターンおよびずれを制御するための技術
WO2015090839A1 (en) 2013-12-17 2015-06-25 Asml Netherlands B.V. Inspection method, lithographic apparatus, mask and substrate

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6128089A (en) * 1998-07-28 2000-10-03 International Business Machines Corporation Combined segmented and nonsegmented bar-in-bar targets
US7068833B1 (en) * 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US6884552B2 (en) * 2001-11-09 2005-04-26 Kla-Tencor Technologies Corporation Focus masking structures, focus patterns and measurements thereof
US6772084B2 (en) * 2002-01-31 2004-08-03 Timbre Technologies, Inc. Overlay measurements using periodic gratings
US7804994B2 (en) * 2002-02-15 2010-09-28 Kla-Tencor Technologies Corporation Overlay metrology and control method
WO2004090979A2 (en) * 2003-04-08 2004-10-21 Aoti Operating Company, Inc Overlay metrology mark
US7112890B2 (en) 2003-10-30 2006-09-26 Asml Holding N.V. Tunable alignment geometry
JP2006039148A (ja) * 2004-07-26 2006-02-09 Toshiba Corp ホトマスク、それを用いたフォーカス測定方法および半導体装置の製造方法
US7655388B2 (en) 2005-01-03 2010-02-02 Chartered Semiconductor Manufacturing, Ltd. Mask and method to pattern chromeless phase lithography contact hole
US7557921B1 (en) * 2005-01-14 2009-07-07 Kla-Tencor Technologies Corporation Apparatus and methods for optically monitoring the fidelity of patterns produced by photolitographic tools
DE102005046973B4 (de) * 2005-09-30 2014-01-30 Globalfoundries Inc. Struktur und Verfahren zum gleichzeitigen Bestimmen einer Überlagerungsgenauigkeit und eines Musteranordnungsfehlers
US20070111109A1 (en) 2005-11-14 2007-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography scattering bar structure and method
NL1036856A1 (nl) 2008-04-24 2009-10-27 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
EP2392970A3 (en) 2010-02-19 2017-08-23 ASML Netherlands BV Method and apparatus for controlling a lithographic apparatus
US20120154773A1 (en) 2010-12-17 2012-06-21 Carl Zeiss Sms Gmbh Method and apparatus for correcting errors on a wafer processed by a photolithographic mask
KR102057879B1 (ko) * 2012-06-22 2019-12-20 에이에스엠엘 네델란즈 비.브이. 포커스를 결정하는 방법, 검사 장치, 패터닝 장치, 기판, 및 디바이스 제조 방법
TWI625816B (zh) * 2013-04-10 2018-06-01 克萊譚克公司 在目標設計及生產中之直接自行組裝
US20150043391A1 (en) 2013-08-08 2015-02-12 Sharp Laboratories Of America, Inc. Systems and methods for reconfiguration signaling
WO2015196168A1 (en) * 2014-06-21 2015-12-23 Kla-Tencor Corporation Compound imaging metrology targets
US10401740B2 (en) * 2015-05-15 2019-09-03 Kla-Tencor Corporation System and method for focus determination using focus-sensitive overlay targets

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004508711A (ja) 2000-08-30 2004-03-18 ケーエルエー−テンカー・コーポレーション 重ね合わせマーク、重ね合わせマークの設計方法および重ね合わせ測定の方法
JP2004533114A (ja) 2001-04-10 2004-10-28 ケーエルエー−テンカー コーポレイション 周期パターンおよびずれを制御するための技術
WO2015090839A1 (en) 2013-12-17 2015-06-25 Asml Netherlands B.V. Inspection method, lithographic apparatus, mask and substrate

Also Published As

Publication number Publication date
CN108475026A (zh) 2018-08-31
US10354035B2 (en) 2019-07-16
IL259823B (en) 2021-09-30
US20180232478A1 (en) 2018-08-16
TWI730031B (zh) 2021-06-11
CN112925177B (zh) 2022-09-06
JP2019502959A (ja) 2019-01-31
EP3403142B1 (en) 2022-11-09
US10755016B2 (en) 2020-08-25
IL285530A (en) 2021-09-30
CN112925177A (zh) 2021-06-08
IL285530B (en) 2022-06-01
TW201732660A (zh) 2017-09-16
JP7477564B2 (ja) 2024-05-01
EP3403142A4 (en) 2019-09-04
CN108475026B (zh) 2021-04-23
EP3403142A1 (en) 2018-11-21
KR20180095717A (ko) 2018-08-27
US20190286781A1 (en) 2019-09-19
KR102424805B1 (ko) 2022-07-22
JP2022153592A (ja) 2022-10-12
WO2017123464A1 (en) 2017-07-20
IL259823A (en) 2018-07-31

Similar Documents

Publication Publication Date Title
JP7117242B2 (ja) ホットスポット及び処理窓監視
US9910348B2 (en) Method of simultaneous lithography and etch correction flow
JP5355112B2 (ja) パターンレイアウト作成方法
CN106200273B (zh) 检测光刻热点的方法
US7820346B2 (en) Method for collecting optical proximity correction parameter
TWI575308B (zh) 修正輔助圖案的方法
JP2004302263A (ja) マスクパターン補正方法およびフォトマスク
US8443309B2 (en) Multifeature test pattern for optical proximity correction model verification
US8677289B1 (en) Method of generating assistant feature
Raghunathan et al. Edge placement errors in EUV from aberration variation
JP4952420B2 (ja) 多重露光技術用フォトマスクの設計パタン検証方法
Badger et al. Your worst nightmare: inspection of aggressive OPC on 14nm masks with emphasis on defect sensitivity and wafer defect print predictability
CN111965934B (zh) Opc修正通孔的选择方法
KR100741879B1 (ko) 반도체 소자의 설계 방법
Torres et al. Study toward model-based DRC verification
Pang et al. Defect printability analysis on alternating phase-shifting masks
Wu et al. Lithography process calibration with applications in defect printability analysis
Melvin III et al. Assist feature placement analysis using focus sensitivity models
O'Brien et al. OPC on real-world circuitry
Yu et al. Production-worthy full chip image-based verification
Toyama et al. Estimating DPL photomask fabrication load compared with single exposure
KR20090109839A (ko) 하이브리드 광학 근접 보정 방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191223

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191223

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20201022

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201027

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210126

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210518

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210813

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211214

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220310

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220705

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220801

R150 Certificate of patent or registration of utility model

Ref document number: 7117242

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150