TWI730031B - 度量重疊目標、用於產生其之方法與用於導出度量信號之方法及設備 - Google Patents

度量重疊目標、用於產生其之方法與用於導出度量信號之方法及設備 Download PDF

Info

Publication number
TWI730031B
TWI730031B TW106100886A TW106100886A TWI730031B TW I730031 B TWI730031 B TW I730031B TW 106100886 A TW106100886 A TW 106100886A TW 106100886 A TW106100886 A TW 106100886A TW I730031 B TWI730031 B TW I730031B
Authority
TW
Taiwan
Prior art keywords
elements
sub
along
asymmetric
target
Prior art date
Application number
TW106100886A
Other languages
English (en)
Other versions
TW201732660A (zh
Inventor
波里斯 葛勒發尼維斯基
Original Assignee
克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 克萊譚克公司 filed Critical 克萊譚克公司
Publication of TW201732660A publication Critical patent/TW201732660A/zh
Application granted granted Critical
Publication of TWI730031B publication Critical patent/TWI730031B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/44Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70325Resolution enhancement techniques not otherwise provided for, e.g. darkfield imaging, interfering beams, spatial frequency multiplication, nearfield lenses or solid immersion lenses
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Image Analysis (AREA)

Abstract

本發明提供度量重疊目標及監測程序缺點之方法。目標包括週期性結構,其等之至少一者包括沿著該週期性結構之一對應分段方向之重複不對稱元件。例如,可以不同方式將該等元件之不對稱性設計為沿著垂直於該等元件之該分段方向的一方向重複不對稱子元件。可根據監測程序缺點之類型(諸如各種類型之熱點、線邊緣縮短、處理窗參數等等)來以不同方式設計該等子元件之不對稱性。量測之結果可用於改良程序及/或提高度量量測之精度。

Description

度量重疊目標、用於產生其之方法與用於導出度量信號之方法及設備
本發明係關於成像及散射量測重疊度量之領域,且更特定言之,本發明係關於目標設計及生產之各種程序缺點之監測。
次波長間隙隨著技術節點縮小且微影波長保持於193nm處而增大。隨著此間隙增大,印刷晶圓形狀顯得越來越不像設計佈局形狀,即使使用光學近接校正(OPC)及其他解析度提高技術(RET)。儘管RET工具能夠很好理解此形狀失真,但其無法改變繪畫佈局。儘管設計工具具有更多餘地來修改繪畫佈局,但其對微影效應之理解係有限的,表現為試圖防止「熱點」之設計規則形式。一熱點係可說明一些微影印刷問題(諸如捏縮、橋接或線端縮短)之一位置,該等問題導致裝置失效或處理窗之不可接受縮小,如下文圖1B中所例示。
同時,設計規則變得越來越複雜且最終無法用於解決微影挑戰。再者,設計規則複雜性導致熱點問題變成限制當代多重圖案化程序之良率之一重要因數。
一典型遮罩製造及熱點管理流程自設計規則清潔佈局開始,接著進行OPC及基於模型之驗證(MBV)。儘管在OPC及MBV之若干反覆之後,後OPC佈局可通過MBV,但其無法保證晶圓上不存在缺陷,此係因為模 型並非完美的,尤其當圖案複雜性增加時。因此,在晶圓處理之後,晶圓檢測變成用於找到缺陷位置之一必要步驟。
在20nm節點及其超過節點(即,更小節點)中,歸因於系統缺陷之良率損失部分已增加且多樣化。設計複雜性及複雜先進OPC會引起系統缺陷,且來自蝕刻、化學拋光(CMP)等等之物理失效亦會引起系統缺陷。當邊際結構遇到程序變動時,所得圖案會最終成為以捏縮、橋接及線端縮短為特徵之變形結構。
此等現象引起熱點之數目增加,且需要付出大量努力來識別及減輕熱點。為減少熱點發生且在大量產生時獲得具有較高良率之生產率,需要投入大量努力來預測及消除薄弱設計,例如,使用模擬。然而,即使模擬經校準以達到設計者之目標,但20nm節點及其超過節點(更小節點)中之先進處理導致模擬之結果與晶圓上之實際印刷圖案之間的巨大差異。此意謂:模擬器由於較小程序裕度而無法預測發生於實際晶圓處理中之實際缺陷。
再者,2D(二維)設計圖案提供比1D定向圖案更寬很多之一臨界特徵組合範圍。獲得依據程序而變化之兩個對置線端(末端至末端)之間或一線端垂線至一溝槽(末端至溝槽)之間之距離量測。
圖1A示意性地繪示根據先前技術之使用單獨遮罩78A、78B來將2D圖案75A分裂成較簡單圖案75B且進一步分裂成經處理元件75C。多重圖案化方案將2D區域(多邊形)分裂(71)成具有拼接段73之兩個或兩個以上多邊形(稱為層分解)且將結構進一步分裂(72)成用於處理之單獨遮罩(例如,藉由雙重圖案化,諸如LELE(微影蝕刻-微影蝕刻))。此等方案對OVL誤差及程序變動非常敏感,且大幅增加熱點之重要性。
圖1B示意性地繪示根據先前技術之與處理窗結合之熱點行為。說明圖80A、80B分別示意性地展示分別具有一頸縮熱點82及一橋接熱點84之一處理拐點及一處理間隙中之兩個非限制性熱點實例作為先前技術之非限制性實例。
下文係提供本發明之一初步理解的一簡明概要。該概要未必識別關鍵元件且亦不限制本發明之範疇,而是僅為以下描述之一簡介。
本發明之一態樣提供包括至少兩個週期性結構之重疊度量目標,其中該等週期性結構之至少一者包括沿著該週期性結構之一對應分段方向之重複不對稱元件。
本發明之此等、額外及/或其他態樣及/或優點闡述於以下[實施方式]中,可自[實施方式]推知,及/或可藉由實踐本發明而獲知。
71:分裂
72:分裂
73:拼接段
75A:二維(2D)圖案
75B:較簡單圖案
75C:經處理元件
78A:遮罩
78B:遮罩
80A:說明圖
80B:說明圖
82:頸縮熱點
84:橋接熱點
90:成像目標
91A:週期性結構
91B:週期性結構
91C:週期性結構
92:設計
94:設計
95:元件
96:子元件
97:間隔/間隙
97A:分段虛設化設計
98:平行線段
100:重疊目標
101:週期性結構
101A:週期性結構
101B:週期性結構
101C:週期性結構
102:實例
104:實例
105:元件
105A至105E:元件/圖案/元件設計
109:重疊(OVL)目標之部分
110:子元件/線
112:間隙
114:線段
116:線段
117:間隔
118:線
119:線段
120:線
122:垂直線
200:方法
210:階段
220:階段
230:階段
240:階段
242:階段
244:階段
246:階段
250:階段
252:階段
260:階段
270:階段
280:階段
290:階段
292:階段
294:階段
為較佳理解本發明之實施例且展示可如何有效實施本發明之實施例,現將僅以實例方式參考附圖,其中相同元件符號標示全部圖中之對應元件或區段。
在附圖中:
圖1A示意性地繪示根據先前技術之使用單獨遮罩來將2D圖案分裂成較簡單圖案且進一步分裂成處理元件。
圖1B示意性地繪示根據先前技術之與處理窗結合之熱點行為。
圖2係根據先前技術之一成像目標之週期性結構之一示意圖。
圖3係根據先前技術之成像目標中之週期性結構之元件之一高階示意圖。
圖4係根據本發明之一些實施例之重疊目標及其部分中之週期性結構及重疊目標之週期性結構之元件之各種例示性、非限制性元件設計之一示意圖。
圖5係繪示根據本發明之一些實施例之一方法的一高階流程圖。
相關申請案之交叉參考
本申請案主張2016年1月11日申請之美國臨時專利申請案第62/277,274號之權利,該案之全文以引用方式併入本文中。
在以下描述中,描述本發明之各種樣態。出於解釋之目的,闡述特定組態及細節以提供本發明之一透徹理解。然而,熟習此項技術者亦應明白,可在無本文所呈現之特定細節之情況下實踐本發明。此外,已省略或簡化熟知特徵以免使本發明不清楚。應強調的是,在參考特定圖式時,所展示之詳細情況僅供例示且僅用於本發明之繪示性討論,且為了提供被認為是本發明之原理及概念態樣之最有用且最容易理解之描述的內容而呈現。就此而言,不會試圖展示比基本理解本發明所需之結構細節更詳細之本發明之結構細節,結合圖式之描述使熟習此項技術者明白可如何在實踐中體現本發明之若干形式。
在詳細解釋本發明之至少一實施例之前,應瞭解,本發明之應用不受限於以下描述中所闡述或圖式中所繪示之組件之構造及配置之細節。本發明可應用於可以各種方式實踐或實施之其他實施例及所揭示實施例之組合。此外,應瞭解,本文所採用之片語及術語係出於描述之目的且不應被視為限制。
除非另外明確說明(如自以下討論所明白),否則應瞭解,在本說明書 中,利用諸如「處理」、「運算」、「計算」、「判定」、「增強」或其類似者之術語之討論係指一電腦或運算系統或類似電子運算裝置之動作及/或程序,該電腦或運算系統或類似電子運算裝置將表示為電腦系統之暫存器及記憶體內之物理(諸如電子)量的資料操縱及/或變換成類似地表示為電腦系統之記憶體、暫存器或其他此類資訊儲存、傳輸或顯示裝置內之物理量的其他資料。
儘管本發明可應用於成像重疊目標及散射量測重疊目標兩者,但本文所呈現之目標設計實例以一非限制性方式與成像技術相關。一類似方法可用於設計散射量測目標。
重疊(OVL)成像工具之光學解析度相對較低且需要使用微米級特徵作為重疊目標之可解析元件。然而,非成像或非解析技術(例如散射量測)將類似蘊涵強加於目標設計。此等「大」特徵與當代程序設計規則不相容且需要對應分段/虛設化(例如,使用具有一較小尺度之子元件來填充通常形成一週期性圖案之「較大」微米級之目標元件,參閱圖3)。適當分段使重疊目標行為更接近裝置行為。然而,正交線段(參閱圖3之96中之一非限制性說明圖)遭受類似於裝置之線端縮短(LES),且若遭受歸因於微影光學像差或偏軸照明之不對稱LES,則此LES會影響重疊量測。再者,平行線段(參閱圖3之98中之一非限制性說明圖)遭受一種PPE(圖案放置誤差),其中分段條之外線寬度不同於內線寬度。
圖2係根據先前技術之一先進成像目標90之週期性結構之一示意圖。在先前技術中,成像目標90(例如AIM目標(先進成像度量目標))能夠量測兩個以上層之OVL。AIM目標之呈現實例包含週期性結構91A、91B、91C。AIM目標通常包含負責X方向及Y方向兩者之OVL量測的區塊。圖2 上之實例具有依順時針對稱性用於各方向之兩個區塊。該等區塊通常被分別設計為在晶圓中為不同層之一外層、一中間層及一內層,諸如兩個先前產生層及一光阻層。週期性結構91A、91B、91C由元件95組成,元件95可根據與一特定層相關之DR(設計角色)要求而在不同週期性結構91A、91B、91C中彼此類似或不同。圖3係根據先前技術之成像目標90中之週期性結構91A、91B、91C之元件95之一高階示意圖。元件95通常為具有形成元件95之分段之子元件96的分段條,且間隔97被留空(如同設計92)或填充有具有子元件98之分段虛設化設計97A(如同設計94)。條95之分段可為正交條96或平行條98。在兩個先前技術事例中,子元件96、98係對稱條。
本發明之實施例提供轉換「大」目標特徵且使用特殊設計目標來使熱點(如LES)與重疊一起(或與重疊分開)被監測且減少所需CD-SEM(臨界尺寸-掃描電子顯微術)量測(其係研究LES及熱點之先前技術方式)之數目的高效且經濟方法。
某些實施例包括使OVL工具及OVL演算法與特殊設計目標一起用於監測熱點及/或處理窗。有利地,與使用CD-SEM類工具來進行熱點在線偵測及監測之先前技術(其具有一非常低產出率及一高工具擁有成本(CoO))相比,所揭示之方法及目標提供導致良率改良之有效熱點監測。另外,組合熱點監測及OVL量測允許改良晶圓量測產出率及晶圓有效面積。
提供度量成像目標作為一非限制性實例且提供監測程序缺點之方法。目標包括週期性結構,其等之至少一者包括沿著該週期性結構之一對應分段方向之重複不對稱元件。例如,可以不同方式將該等元件之不對稱性設計為沿著垂直於該等元件之該分段方向的一方向重複不對稱子元件。 可根據監測程序缺點之類型(諸如各種類型之熱點、線邊緣縮短、處理窗參數等等)來以不同方式設計該等子元件之不對稱性。量測之結果可用於改良程序及/或提高度量之精度。
圖4係根據本發明之一些實施例之重疊目標100及其部分109中之週期性結構101及重疊目標100之週期性結構101之元件105之各種例示性、非限制性元件設計105A至105E之一示意圖。重疊目標100可包括具有多個繪示部分109之成像目標100及/或散射量測目標100,部分109可配置成各種佈局,例如,配置成類似於圖2之成像目標90的一組態或配置成其他組態。成像目標及散射量測目標之任何設計可採用如本文所揭示之週期性結構,示意圖不限制本發明之實施例。所繪示之週期性結構之任何者可為成像或散射量測重疊目標100之部分。週期性結構101A、101B、101C之至少一者可由在不同週期性結構101A、101B、101C中可彼此類似或不同之元件105組成。週期性結構101A、101B、101C可設計於不同層中及/或相對於不同處理步驟來設計,例如,週期性結構101A、101B、101C可處於以下各者中:(i)用於重疊量測之一所要處理層;(ii)具有用於LES監測之分段之一錨定相同光阻層(例如105A至105E或等效設計之任何者);及(iii)錨定光阻層(無分段)。可將任何數目個不同週期性結構101(2個、3個、4個或4個以上週期性結構101)設計於成像及/或散射量測重疊目標100中,且可根據量測之目的(例如LES)、不同類型之熱點(參閱上文)及下文可結合重疊量測來描述之額外目的來選擇元件105之設計細目。
可將目標分段(即,元件105之分段)設計為裝置類結構之(若干)可重複圖案。此等結構可遠低於重疊成像工具之光學解析度且可以使得分段條(邊緣或質心)由於LES效應(或用於監測之其他所要類型之熱點)(因此指示 LES及/或熱點之存在及可能程度)而移動之一方式被設計。
圖4以一非限制性方式示意性地例示各種此等圖案105A至105E。所繪示之實例可應用於負責X方向上之OVL量測(根據所繪示之方向性)的OVL目標之部分109及負責Y方向上之OVL量測的OVL目標之部分109(其可(例如)藉由使實例旋轉90°來產生)兩者。各展示圖包含:一實例102,其具有介於各自元件105A至105E之間之間隙97;及一實例104,其繪示介於各自元件105A至105E之間之一平行分段條98。分段條98可用作為填充虛設物、一錨定條或量測目標之任何其他功能部分。平行條分段經選擇以使此實例僅用於視覺化,且可基於所需條功能及特定層之設計規則來進行不同設計。條甚至可為一未分段條或一不對稱分段條。
元件105(以一非限制性方式例示為一條)可沿著結構101A、101B及/或101C之一對應量測方向(在圖4中標示為「Y」)分段、重複及不對稱。重複不對稱元件105可沿著垂直於量測(重複)方向之一方向(在圖4中標示為「Y」)之一方向內部分段。重複不對稱元件105可包括沿著垂直方向(Y)之重複子元件110,子元件在分段方向(X)上不對稱,如例示性設計105A至105E中所繪示。
在某些實施例中,子元件110可包括至少斷開一次之線,如在設計105A、105C及105D中由間隙112所繪示。子元件110可包括用於子元件110之設計之任何OPC特徵(其減小子元件110之一側之PPE),而子元件110之對置側可為一簡單線或包含經設計以監測所要熱點之一特徵。
在某些實施例中,子元件110可包括至少兩個平行線段,如設計105B至105E中所繪示,例如在105B中由不等線114、116所繪示、在105D中之不等線114、116所繪示及在105C、105E中由具有相等長度之線 110所繪示。
在某些實施例中,平行線段之至少若干者可互連,如在設計105D中由線段114、116所繪示及在設計105B及105E中經由互連線118所繪示。
在某些實施例中,子元件110之至少若干者可包括沿著垂直方向(Y)之垂直線122,如設計105C、105D及105E中所繪示。
在某些實施例中,線110及/或線段114、116、119之至少若干者可互連至垂直線122之至少若干者,如設計105C中所例示。
在某些實施例中,子元件110之至少若干者可沿著垂直方向(Y)互連,如(例如)設計105B及105E中所繪示。
在某些實施例中,子元件110可沿著垂直方向(Y)彼此間隔(參閱間隔117),如(例如)設計105A、105C及105D中所繪示。
在某些實施例中,不對稱元件105可包括垂直於分段方向(X)而不對稱定位之至少一線120。例如,設計105A中展示一單一垂直線120(其沿著垂直方向Y延伸),且設計105E中類似地定位線118。特徵之尺寸(主要為CD)取決於特定層之設計規則且可變動於程序允許之最小值(例如用於當前最先進程序之7nm)直至程序允許之最大值(例如非限制性實例中之300nm)之間,且考量禁用節距。
應明確注意,用於指示設計特徵之類型的數字不互斥,且某些設計特徵可實施上述設計原理之一者以上。此外,來自不同設計105A至105E之元件可經組合以產生額外設計及根據上文所列原理之不同設計且同樣被視為本發明之部分。來自設計105A至105E之元件可組合成任何可操作組合,且某些圖而非其他圖中之某些元件之繪示僅供說明且不具限制性。
某些實施例包括具有至少一週期性結構之散射量測重疊目標,其具 有含揭示設計圖案之任何者的元件105。
某些實施例包括目標100之目標設計檔及自目標100之任何者量測之度量信號(全目標設計由根據各種圖案之多個目標元件105組成,參閱(例如)先前技術目標圖案90)。
可對元件設計作出修改以量測圖案放置誤差(PPE)。不同特徵形状、大小、節距及線間隔比具有不同PPE且可設計於子元件110中以提供PPE量測。
在某些實施例中,目標100(及其導出目標設計)可經設計以能夠監測任何類型之熱點及監測處理步驟之任何者(諸如蝕刻及CMP)及微影步驟中之處理窗。
在某些實施例中,目標100(及其導出目標設計)可用於藉由量測不對稱LES、PPE或CMP效應且自重疊結果減去來改良重疊量測之精度。
有利地,所揭示之2D圖案提供比單一定向圖案更寬很多之一臨界特徵組合。可獲得依據程序而變化之兩個對置線端(末端至末端)之間或一線端垂線與一溝槽(末端至溝槽)之間之距離量測。
可使用將目標影像一直傳播至重疊工具之成像裝置的任何微影模擬軟體(其可為提供完全目標模擬及重疊工具設置最佳化之模擬工具)來最佳化目標設計。
有利地,本發明可利用標準重疊工具及演算法且對晶圓有效面積及CoO無任何顯著影響。歸因於高產出率、使用簡單及短時間出結果,本發明可提供顯著增加之熱點取樣且因此提供較佳程序監測。
圖5係繪示根據本發明之一些實施例之一方法200的一高階流程圖。可相對於上文所描述之目標100來實施方法階段,其可視情況設計成方法 200。方法200可至少部分由(例如)位於一目標設計模組(圖中未展示)中之至少一電腦處理器(圖中未展示)實施。某些實施例包括電腦程式產品,其包括一電腦可讀儲存媒體,該電腦可讀儲存媒體具有由其具體實施且經組態以實施方法200之相關階段的電腦可讀程式。某些實施例包括由方法200之實施例設計之各自目標之目標設計檔。某些實施例包括自目標100量測之信號及/或由方法200之實施例設計之目標。方法200可包含藉由微影模擬來設計目標100且進一步包括最佳化目標設計。
方法200可包括:將一度量成像目標設計為具有至少兩個週期性結構(階段210);及使該等週期性結構之至少一者由沿著該週期性結構之一對應分段方向之重複不對稱元件組成(階段220)。
方法200可包括:將該等重複不對稱元件組態成沿著垂直於該分段方向之一方向週期性的(階段230);及可使該等重複不對稱元件由沿著該垂直方向之重複不對稱子元件組成(階段240)。
方法200可包括以下之任何者:將該等子元件組態成具有至少斷開一次之線(階段242);將該等子元件組態成具有至少兩個平行線段(階段244);將該等平行線段之至少若干者組態成互連的(階段246);將沿著該垂直方向之垂直線引入至該等子元件之至少若干者中(階段250);及將該等線或線段之至少若干者互連至與該等垂直線之至少若干者(階段252)。
方法200可包括:使該等子元件之至少若干者沿著該垂直方向互連(階段260);及/或使該等子元件之至少若干者沿著該垂直方向彼此間隔(階段270)。
方法200可包括:不對稱地定位(若干)垂直線,即,不對稱地定位垂直於該分段方向之至少一線(階段280)。
方法200可包括:由至少一電腦處理器實施設計210(階段290);及/或產生度量成像目標(階段292);及/或自該度量成像目標導出度量信號(階段294)。
上文已參考根據本發明之實施例之方法、設備(系統)及電腦程式產品之流程圖及/或部分圖來描述本發明之態樣。應瞭解,流程圖及/或部分圖之各部分及流程圖及/或部分圖中之部分之組合可由電腦程式指令實施。可將此等電腦程式指令提供至通用電腦、專用電腦或其他可程式化資料處理設備之一處理器以產生一機器,使得經由該電腦或其他可程式化資料處理設備之該處理器執行之該等指令產生用於實施流程圖及/或部分圖或其部分中所指定之功能/動作的方法。
亦可將此等電腦程式指令儲存於一電腦可讀媒體中,該等電腦程式指令可指導一電腦、其他可程式化資料處理設備或其他裝置以一特定方式運行,使得儲存於該電腦可讀媒體中之該等指令產生包含實施流程圖及/或部分圖或其部分中所指定之功能/動作之指令的一製品。
亦可將該等電腦程式指令載入至一電腦、其他可程式化資料處理設備或其他裝置上以引起對該電腦、其他可程式化設備或其他裝置執行一系列操作步驟以產生一電腦實施程序,使得在該電腦或其他可程式化設備上執行之該等指令提供用於實施流程圖及/或部分圖或其部分中所指定之功能/動作的程序。
上述流程圖及圖式繪示根據本發明之各種實施例之系統、方法及電腦程式產品之可行實施方案之架構、功能及操作。就此而言,流程圖或部分圖中之各部分可表示一模組、一分段或一編碼部分,其包括用於實施(若干)指定邏輯功能之一或多個可執行指令。亦應注意,在一些替代實施 方案中,部分中所提及之功能可不依圖中所提及之順序發生。例如,取決於所涉及之功能,實際上可實質上同時執行連續展示之兩個部分或有時可依相反順序執行該等部分。亦應注意,部分圖及/或流程圖之各部分及部分圖及/或流程圖中之部分之組合可由執行指定功能或動作之基於專用硬體之系統或專用硬體及電腦指令之組合實施。
在以上描述中,一實施例係本發明之一實例或實施方案。各種形式之「一實施例」、「某些實施例」或「一些實施例」未必全部係指相同實施例。儘管可在一單一實施例之內文中描述本發明之各種特徵,但亦可單獨地或以任何適合組合提供該等特徵。相反地,儘管可為了清楚而在本文之單獨實施例之內文中描述本發明,但亦可在一單一實施例中實施本發明。本發明之某些實施例可包含來自上文所揭示之不同實施例之特徵,且某些實施例可併入來自上文所揭示之其他實施例之元件。在一特定實施例之內文中揭示本發明之元件不應被視為使該等元件僅限於用於該特定實施例中。此外,應瞭解,可以各種方式實施或實踐本發明且可在除以上描述中所概述之實施例之外之某些實施例中實施本發明。
本發明不受限於該等圖式或對應描述。例如,流程無需移動通過各繪示框或狀態或依完全相同於所繪示及所描述之順序的順序進行。除非另外定義,否則本文所使用之科技術語之含義應為本發明所屬技術領域之一般者通常所理解之含義。儘管已相對於有限數目個實施例來描述本發明,但此等不應被解釋為對本發明之範疇之限制,而是作為一些較佳實施例之範例。其他可行變動、修改及應用亦在本發明之範疇內。相應地,本發明之範疇不應受限於到目前為止已描述之內容,而是受限於隨附申請專利範圍及其合法等效物。
200:方法
210:階段
220:階段
230:階段
240:階段
242:階段
244:階段
246:階段
250:階段
252:階段
260:階段
270:階段
280:階段
290:階段
292:階段
294:階段

Claims (36)

  1. 一種度量重疊目標,其包括:一晶圓;及設置於該晶圓上之至少兩個週期性結構,其中該等週期性結構之至少一者包括沿著該週期性結構之一對應分段方向之重複不對稱元件,其中該等重複不對稱元件係沿著垂直於該分段方向之一方向而為內部週期性的(internally periodic),其中該等重複不對稱元件包括沿著該垂直方向之重複子元件,該等子元件在該分段方向中係不對稱的,其中該等子元件包括至少斷開一次之線(lines which are broken at least once),且其中該等子元件之至少若干者包括沿連接至該等子元件之該垂直方向的垂直線。
  2. 如請求項1之度量重疊目標,其中該等子元件包括至少兩個平行線段。
  3. 如請求項2之度量重疊目標,其中該等平行線段之至少若干者係互連的。
  4. 如請求項1之度量重疊目標,其中該等線或線段之至少若干者互連至該等垂直線之至少若干者。
  5. 如請求項1之度量重疊目標,其中該等子元件之至少若干者沿著該垂 直方向互連。
  6. 如請求項1之度量重疊目標,其中該等子元件之至少若干者沿著該垂直方向彼此間隔。
  7. 如請求項1之度量重疊目標,其中該等不對稱元件包括垂直於該分段方向之至少一不對稱定位線。
  8. 如請求項1之度量重疊目標,其中該等子元件之至少一者是具有至少六邊之一多邊形。
  9. 一種用於導出度量信號之方法,其包括:將一度量重疊目標設計為具有至少兩個週期性結構,其中由至少一電腦處理器實施設計,使用該至少一電腦處理器,由沿著該週期性結構之一對應分段方向之重複不對稱元件組成該等週期性結構之至少一者,使用該至少一電腦處理器,將該等重複不對稱元件組態成沿著垂直於該分段方向之一方向而為內部週期性的(internally periodic),使用該至少一電腦處理器,將該等重複不對稱元件組態成具有沿著該垂直方向之重複子元件,該等子元件在該分段方向上係不對稱的,使用該至少一電腦處理器,將該等子元件組態成具有至少斷開一次之線(lines which are broken at least once), 使用該至少一電腦處理器,將沿著該垂直方向之垂直線引入至該等子元件之至少若干者中,其中該等垂直線連接至該等子元件,產生在一晶圓上具有該至少兩個週期性結構的該度量重疊目標。
  10. 如請求項9之方法,其進一步包括:使用該至少一電腦處理器,將該等子元件組態成具有至少兩個平行線段。
  11. 如請求項10之方法,其進一步包括:使用該至少一電腦處理器,將該等平行線段之至少若干者組態成互連的。
  12. 如請求項9之方法,其進一步包括:將該等線或線段之至少若干者互連至該等垂直線之至少若干者。
  13. 如請求項9之方法,其進一步包括:使該等子元件之至少若干者沿著該垂直方向互連。
  14. 如請求項9之方法,其進一步包括:使該等子元件之至少若干者沿著該垂直方向彼此間隔。
  15. 如請求項9之方法,其進一步包括:使用該至少一電腦處理器,不對稱地定位垂直於該分段方向之至少一線。
  16. 如請求項9之方法,其進一步包括:將該等子元件之至少一者組態為 具有至少六邊之一多邊形。
  17. 一種用於導出度量信號之方法,其包括:使用具有一度量目標之一重疊工具,其中該度量目標包含一晶圓及設置於該晶圓上之至少兩個週期性結構,其中該等週期性結構之至少一者包括沿著該週期性結構之一對應分段方向之重複不對稱元件,其中該等重複不對稱元件係沿著垂直於該分段方向之一方向而為內部週期性的(internally periodic),其中該等重複不對稱元件包括沿著該垂直方向之重複子元件,該等子元件在該分段方向中係不對稱的,其中該等子元件包括至少斷開一次之線(lines which are broken at least once),且其中該等子元件之至少若干者包括沿連接至該等子元件之該垂直方向的垂直線;及使用該重疊工具,自該度量目標導出度量信號。
  18. 如請求項17之方法,其中該等子元件包括至少兩個平行線段。
  19. 如請求項18之方法,其中該等平行線段之至少若干者係互連的。
  20. 如請求項17之方法,其中該等線或線段之至少若干者互連至該等垂直線之至少若干者。
  21. 如請求項17之方法,其中該等子元件之至少若干者沿著該垂直方向互連。
  22. 如請求項17之方法,其中該等子元件之至少若干者沿著該垂直方向彼此間隔。
  23. 如請求項17之方法,其中該等不對稱元件包括垂直於該分段方向之至少一不對稱定位線。
  24. 如請求項17之方法,其中該等子元件之至少一者是具有至少六邊之一多邊形。
  25. 如請求項17之方法,其進一步包括使用該等度量信號監視熱點。
  26. 如請求項17之方法,其進一步包括使用該等度量信號監視一處理窗。
  27. 一種用於導出度量信號之設備,其包括:一重疊工具,該重疊工具包含一處理器及一電腦可讀儲存媒體,其中該處理器經組態以自一度量目標導出度量信號,其中該度量目標包含一晶圓及設置於該晶圓上之至少兩個週期性結構,其中該等週期性結構之至少一者包括沿著該週期性結構之一對應分段方向之重複不對稱元件,其中該等重複不對稱元件係沿著垂直於該分段方向之一方向而為內部週期性的(internally periodic),其中該等重複不對稱元件包括沿著該垂直方向之重複子元件,該等子元件在該分 段方向中係不對稱的,其中該等子元件包括至少斷開一次之線(lines which are broken at least once),且其中該等子元件之至少若干者包括沿連接至該等子元件之該垂直方向的垂直線。
  28. 如請求項27之設備,其中該等子元件包括至少兩個平行線段。
  29. 如請求項28之設備,其中該等平行線段之至少若干者係互連的。
  30. 如請求項27之設備,其中該等線或線段之至少若干者互連至該等垂直線之至少若干者。
  31. 如請求項27之設備,其中該等子元件之至少若干者沿著該垂直方向互連。
  32. 如請求項27之設備,其中該等子元件之至少若干者沿著該垂直方向彼此間隔。
  33. 如請求項27之設備,其中該等不對稱元件包括垂直於該分段方向之至少一不對稱定位線。
  34. 如請求項27之設備,其中該等子元件之至少一者是具有至少六邊之一多邊形。
  35. 如請求項27之設備,其中該重疊工具進一步經組態以監視熱點。
  36. 如請求項27之設備,其中該重疊工具進一步經組態以監視一處理窗。
TW106100886A 2016-01-11 2017-01-11 度量重疊目標、用於產生其之方法與用於導出度量信號之方法及設備 TWI730031B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662277274P 2016-01-11 2016-01-11
US62/277,274 2016-01-11

Publications (2)

Publication Number Publication Date
TW201732660A TW201732660A (zh) 2017-09-16
TWI730031B true TWI730031B (zh) 2021-06-11

Family

ID=59312167

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106100886A TWI730031B (zh) 2016-01-11 2017-01-11 度量重疊目標、用於產生其之方法與用於導出度量信號之方法及設備

Country Status (8)

Country Link
US (2) US10354035B2 (zh)
EP (1) EP3403142B1 (zh)
JP (2) JP7117242B2 (zh)
KR (1) KR102424805B1 (zh)
CN (2) CN112925177B (zh)
IL (2) IL259823B (zh)
TW (1) TWI730031B (zh)
WO (1) WO2017123464A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10628544B2 (en) * 2017-09-25 2020-04-21 International Business Machines Corporation Optimizing integrated circuit designs based on interactions between multiple integration design rules
CN109581817B (zh) * 2017-09-29 2021-07-06 联华电子股份有限公司 半导体装置的形成方法
KR102154959B1 (ko) 2020-04-29 2020-09-10 동아에스티 주식회사 지속형 glp-1 및 글루카곤 수용체 이중작용제
US11703767B2 (en) * 2021-06-28 2023-07-18 Kla Corporation Overlay mark design for electron beam overlay
US11862524B2 (en) * 2021-06-28 2024-01-02 Kla Corporation Overlay mark design for electron beam overlay

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030021465A1 (en) * 2000-08-30 2003-01-30 Michael Adel Overlay marks, methods of overlay mark design and methods of overlay measurements
TW200302538A (en) * 2002-01-31 2003-08-01 Timbre Tech Inc Overlay measurements using periodic gratings
US20030223630A1 (en) * 2002-02-15 2003-12-04 Kla-Tencor Corporation Overlay metrology and control method
WO2015196168A1 (en) * 2014-06-21 2015-12-23 Kla-Tencor Corporation Compound imaging metrology targets

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6128089A (en) * 1998-07-28 2000-10-03 International Business Machines Corporation Combined segmented and nonsegmented bar-in-bar targets
JP5180419B2 (ja) 2000-08-30 2013-04-10 ケーエルエー−テンカー・コーポレーション 重ね合わせマーク、重ね合わせマークの設計方法および重ね合わせ測定の方法
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6884552B2 (en) * 2001-11-09 2005-04-26 Kla-Tencor Technologies Corporation Focus masking structures, focus patterns and measurements thereof
TW200507228A (en) 2003-04-08 2005-02-16 Aoti Operating Co Inc Overlay metrology mark
US7112890B2 (en) 2003-10-30 2006-09-26 Asml Holding N.V. Tunable alignment geometry
JP2006039148A (ja) * 2004-07-26 2006-02-09 Toshiba Corp ホトマスク、それを用いたフォーカス測定方法および半導体装置の製造方法
US7655388B2 (en) * 2005-01-03 2010-02-02 Chartered Semiconductor Manufacturing, Ltd. Mask and method to pattern chromeless phase lithography contact hole
US7557921B1 (en) * 2005-01-14 2009-07-07 Kla-Tencor Technologies Corporation Apparatus and methods for optically monitoring the fidelity of patterns produced by photolitographic tools
DE102005046973B4 (de) * 2005-09-30 2014-01-30 Globalfoundries Inc. Struktur und Verfahren zum gleichzeitigen Bestimmen einer Überlagerungsgenauigkeit und eines Musteranordnungsfehlers
US20070111109A1 (en) * 2005-11-14 2007-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography scattering bar structure and method
NL1036856A1 (nl) 2008-04-24 2009-10-27 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
EP2392970A3 (en) 2010-02-19 2017-08-23 ASML Netherlands BV Method and apparatus for controlling a lithographic apparatus
WO2012080008A2 (en) 2010-12-17 2012-06-21 Carl Zeiss Sms Gmbh Method and apparatus for correcting errors on a wafer processed by a photolithographic mask
WO2013189724A2 (en) * 2012-06-22 2013-12-27 Asml Netherlands B.V. Method of determining focus, inspection apparatus, patterning device, substrate and device manufacturing method
KR102231731B1 (ko) * 2013-04-10 2021-03-24 케이엘에이 코포레이션 타겟 설계 및 생산 시의 직접 자기 조립
US20150043391A1 (en) 2013-08-08 2015-02-12 Sharp Laboratories Of America, Inc. Systems and methods for reconfiguration signaling
KR101982642B1 (ko) * 2013-12-17 2019-05-27 에이에스엠엘 네델란즈 비.브이. 검사 방법, 리소그래피 장치, 마스크 및 기판
WO2016187062A1 (en) * 2015-05-15 2016-11-24 Kla-Tencor Corporation System and method for focus determination using focus-sensitive overlay targets

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030021465A1 (en) * 2000-08-30 2003-01-30 Michael Adel Overlay marks, methods of overlay mark design and methods of overlay measurements
TW200302538A (en) * 2002-01-31 2003-08-01 Timbre Tech Inc Overlay measurements using periodic gratings
US20030223630A1 (en) * 2002-02-15 2003-12-04 Kla-Tencor Corporation Overlay metrology and control method
WO2015196168A1 (en) * 2014-06-21 2015-12-23 Kla-Tencor Corporation Compound imaging metrology targets

Also Published As

Publication number Publication date
EP3403142B1 (en) 2022-11-09
JP7117242B2 (ja) 2022-08-12
US20180232478A1 (en) 2018-08-16
EP3403142A4 (en) 2019-09-04
JP2019502959A (ja) 2019-01-31
KR20180095717A (ko) 2018-08-27
IL285530A (en) 2021-09-30
JP2022153592A (ja) 2022-10-12
CN108475026B (zh) 2021-04-23
EP3403142A1 (en) 2018-11-21
KR102424805B1 (ko) 2022-07-22
IL259823A (en) 2018-07-31
CN112925177A (zh) 2021-06-08
US20190286781A1 (en) 2019-09-19
WO2017123464A1 (en) 2017-07-20
IL259823B (en) 2021-09-30
IL285530B (en) 2022-06-01
CN108475026A (zh) 2018-08-31
US10354035B2 (en) 2019-07-16
TW201732660A (zh) 2017-09-16
CN112925177B (zh) 2022-09-06
JP7477564B2 (ja) 2024-05-01
US10755016B2 (en) 2020-08-25

Similar Documents

Publication Publication Date Title
TWI730031B (zh) 度量重疊目標、用於產生其之方法與用於導出度量信號之方法及設備
US9910348B2 (en) Method of simultaneous lithography and etch correction flow
TWI464531B (zh) 製作與雙重圖案化技術相容的轉折佈局繞線的方法
JP5355112B2 (ja) パターンレイアウト作成方法
US7820346B2 (en) Method for collecting optical proximity correction parameter
TWI571701B (zh) 偵測微影熱點的方法
JP2010127970A (ja) 半導体装置の製造不良箇所の予測方法、予測装置及び予測プログラム
CN105824187B (zh) 光学邻近修正方法
US10061209B2 (en) Method for verifying a pattern of features printed by a lithography process
CN103676490A (zh) 一种监控弱点形成原因的方法
Park et al. An efficient rule-based opc approach using a drc tool for 0.18/spl mu/m asic
Ma et al. Directed self-assembly graphoepitaxy template generation with immersion lithography
Yang et al. New OPC verification method using die-to-database inspection
KR101095062B1 (ko) 광학 근접 효과 보정의 검증 방법
TWI834969B (zh) 製造光罩的系統及方法以及遮罩設計校正系統
Melvin III et al. Assist feature placement analysis using focus sensitivity models
JP2009025450A (ja) 多重露光技術用フォトマスクの設計パタン検証方法
Jeong et al. Study on design rule verification procedure of semiconductor memory devices by using design based metrology (DBM)