CN115989575A - 具有加热与夹持能力的静电吸盘 - Google Patents

具有加热与夹持能力的静电吸盘 Download PDF

Info

Publication number
CN115989575A
CN115989575A CN202180052096.4A CN202180052096A CN115989575A CN 115989575 A CN115989575 A CN 115989575A CN 202180052096 A CN202180052096 A CN 202180052096A CN 115989575 A CN115989575 A CN 115989575A
Authority
CN
China
Prior art keywords
coupled
terminal
terminals
chuck body
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180052096.4A
Other languages
English (en)
Inventor
巴斯卡尔•普拉萨德
克兰库玛尔•纽拉桑德拉•萨凡迪亚
斯里尼瓦萨•拉奥•耶德拉
尼廷·巴拉德瓦吉·萨蒂亚沃鲁
哈里•普拉萨特•兰登
拉克希米坎斯•克里希纳穆提•希拉哈蒂
托马斯•布里泽哲科
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN115989575A publication Critical patent/CN115989575A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

在一个示例中,静电吸盘包含吸盘主体,吸盘主体具有被配置为支撑基板的顶表面和与顶表面相对的底表面。吸盘主体包含一个或多个夹持电极,以及一个或多个加热元件。吸盘主体进一步包含第一端子、第二端子与第三端子,第一端子设置在吸盘主体的底表面上并与一个或多个加热元件耦接,第二端子设置在吸盘主体的底表面上并与一个或多个夹持电极耦接,第三端子设置在吸盘主体的底部第一表面上并与一个或多个夹持电极耦接。

Description

具有加热与夹持能力的静电吸盘
技术领域
本公开内容的实施方式总体上涉及用于处理基板的方法和设备。本公开内容的实施方式涉及基板处理平台,基板处理平台使用多个处理腔室来处理基板。更特定而言,本公开内容的实施方式涉及用于这种处理腔室的静电吸盘。
背景技术
传统的半导体晶片处理设备(通常称为集群工具)被配置为在基板处理期间执行一个或多个处理。例如,集群工具可包括用于在基板上执行物理气相沉积(PVD)处理的PVD腔室、用于在基板上执行原子层沉积(ALD)处理的ALD腔室、用于在基板上执行化学气相沉积(CVD)处理的CVD腔室、和/或用于在基板上执行一个或多个其他处理的一个或多个其他处理腔室。
在半导体晶片处理设备中,基板支撑件用于在处理期间保持基板(或晶片)。基板搁置在基座上,例如静电吸盘。静电吸盘(或吸盘)通过在基板和静电吸盘之间产生静电吸力来固定基板。施加到静电吸盘中的一个或多个绝缘电极的电压分别在基板表面和静电吸盘的基板支撑表面中感应出相反极性的电荷。相反的电荷产生“夹持力”,使基板被拉到或吸引到静电吸盘的基板支撑表面上,从而保持基板。常规的的静电吸盘设计包括不可分离的组件,这是因为需要与各种内部(例如冷却通道、电线/引线连接件)和外部组件(例如电源)形成良好的热耦合和电耦合,并且允许部分静电吸盘组件设置在真空环境中。
在常规的半导体晶片处理设备中执行的许多薄膜沉积和蚀刻处理都采用附接至集群工具的主机的单个基板处理腔室,其中单个基板被装载至其中具有专用硬件的专用真空处理腔室中,从而在对基板执行处理的期间支撑基板。使用能够一次拾取和传送一个晶片的机器人从专用腔室装载和卸载基板所需的时间(通常包括在每个处理腔室中在基板支撑件上夹住和卸下基板所需的时间),增加了在集群工具中处理基板所需的总时间的时间成本、降低了产量、并增加了拥有成本(Cost of ownership,CoO)。
因此,上述集群工具和基板支撑硬件受到了限制,例如机械产量、处理过程中的热稳定性和处理灵活性。因此,在本领域中需要一种能够改善机械产量、热稳定性、并增加处理灵活性的用于集群工具的传送设备。因此,还需要一种解决上述问题的基板支撑组件和基板传送机构以及使用它们的方法。
发明内容
在一个示例中,静电吸盘包含吸盘主体,吸盘主体具有顶表面与底表面,顶表面被配置为支撑基板,底表面与顶表面相对。吸盘主体包含一个或多个夹持电极,以及一个或多个加热元件。吸盘主体进一步包含第一端子、第二端子与第三端子,第一端子设置在吸盘主体的底表面上并与一个或多个加热元件耦接,第二端子设置在吸盘主体的底表面上并与一个或多个夹持电极耦接,第三端子设置在吸盘主体的底部第一表面上并与一个或多个夹持电极耦接。
在一个示例中,处理区域包括被配置为在装载位置和处理位置之间移动的基座组件。基座组件包括基板支撑件,基板支撑件包括耦接到第一电源的第一销和耦接到第二电源的第二销。处理区域进一步包含静电吸盘,静电吸盘包含吸盘主体、第一端子、第二端子与第三端子。吸盘主体具有顶表面与底表面,顶表面被配置为支撑基板,底表面与顶表面相对。吸盘主体被配置为由基板支撑件支撑,并包含一个或多个夹持电极,以及一个或多个加热元件。第一端子设置在吸盘主体的底表面上并与一个或多个加热元件耦接。第一端子被配置为与该基板支撑件的第一销配合。第二端子设置在吸盘主体的底表面上并与一个或多个夹持电极耦接。第二端子被配置为与基板支撑件的第二销配合。第三端子设置在吸盘主体的底部第一表面上并与一个或多个夹持电极耦接。
在一个示例中,集群工具组件包括处理区域。处理区域包括被配置为在装载位置和处理位置之间移动的基座组件。基座组件包括基板支撑件,基板支撑件包括耦接到第一电源的第一销和耦接到第二电源的第二销。处理区域进一步包含静电吸盘,静电吸盘包含吸盘主体、第一端子、第二端子与第三端子。吸盘主体具有顶表面与底表面,顶表面被配置为支撑基板,底表面与顶表面相对。吸盘主体被配置为由基板支撑件支撑,并包含一个或多个夹持电极,以及一个或多个加热元件。第一端子设置在吸盘主体的底表面上并与一个或多个加热元件耦接。第一端子被配置为与基板支撑件的第一销配合。第二端子设置在吸盘主体的底表面上并与一个或多个夹持电极耦接。第二端子被配置为与基板支撑件的第二销配合。第三端子设置在吸盘主体的底部第一表面上并与一个或多个夹持电极耦接。
附图说明
为了能够详细地理解本公开内容的上述特征的方式,可以通过参考实施方式来获得以上简要概括的本公开内容的更具体的描述,这些实施方式的一些在附图中示出。然而,要注意的是,附图仅说明示例性实施方式,因此不应被视为限制本公开内容的范围,可以承认其他同等有效的实施方式。
图1是根据一个或多个实施方式的集群工具组件的平面图。
图2是根据一个或多个实施方式的静电吸盘的侧视图。
图3A是根据一个或多个实施方式的静电吸盘的仰视图。
图3B是根据一个或多个实施方式的静电吸盘的俯视等距视图。
图4、图5、图6、图7和图8是根据一个或多个实施方式的示例端子。
图9是根据一个或多个实施方式的示例定心元件。
图10是根据一个或多个实施方式的静电吸盘的侧剖视图。
图11和12是根据一个或多个实施方式的处理腔室的侧剖视图。
图13是根据一个或多个实施方式的基板支撑件的平面图。
图14是根据一个或多个实施方式的定心元件和对准元件的平面图。
为了促进了解,已尽可能使用相同的附图标记来指示图中共有的相同元件。可以设想,一个实施方式的元件与特征可被有益地并入其他实施方式中可无需进一步的叙述。
具体实施方式
在描述本公开内容的几个示例性实施方式之前,应当理解,本公开内容不限于在以下描述中阐述的构造或处理步骤的细节。可以预见的是,本公开内容的一些实施方式可以与其他实施方式结合。本文提供的本公开内容的方面总体上提供了一种基板处理系统,该系统包括至少一个处理模块,该处理模块包括与其耦接的多个处理区域以及基板传送装置,该基板传送装置设置在处理模块的传送区域内,用于将多个基板传送到多个处理区域中的两个或更多个处理区域。本文公开的方法和设备可用于在基板上执行真空处理,其中在传送一个或多个基板期间,一个或多个基板在处理模块的传送区域内传送,该传送区域与多个单独隔离的处理区域中的一个处理区域的至少一部分直接连通。在一些实施方式中,在处理模块内传送基板的处理期间以及在多个处理区域中的每一个处理区域中处理基板的同时,基板被定位并保持在相同的基板支撑元件(以下称为静电吸盘)上。
在基板处理系统或集群工具组件100中,静电吸盘和基板两者都在集群工具组件100的处理腔室之间传送。如下文进一步讨论的,当静电吸盘和基板在处理腔室之间传送时,静电吸盘的端子与基板支撑件的销配合,以允许在它们之间形成电连接。基板支撑件的销向静电吸盘的端子提供功率信号。如下文进一步讨论的,在一些实施方式中,基板支撑件的销被配置为支撑静电吸盘的一部分重量,以确保基板支撑件的销和静电吸盘的端子之间的电连接允许功率信号被重复且可靠地传输。
本公开内容的一个或多个实施方式涉及一种用于基板处理的设备以及一种包括传送设备和多个处理区域的集群工具组件100。在一些实施方式中,传送设备被配置为转盘,并且处理区域可以包括实现原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、清洁、热处理、退火和/或抛光处理的设施。在使用者的判断下,其他处理平台也可以与本公开内容一起使用。本公开内容通常旨在提供具有高产量、增加的适应性和更小的占地面积的基板处理工具。
图1是具有单个传送腔室组件150的集群工具组件100的平面图。集群工具组件100包括邻接至工厂接口(Factory Interface,FI)120的多个装载锁定腔室130、与多个装载锁定腔室130相邻的多个机器人腔室180、与多个机器人腔室180相邻的多个准备腔室190、以及与多个机器人腔室180相邻的传送腔室组件150。集群工具组件100的装载锁定腔室130通常通过与多个前开式标准舱(FOUP)110相邻的FI 120来耦接到这些FOUP 110。
当基板在不同机器之间移动时,多个FOUP 110可用于安全地固定和存储基板。多个FOUP 110的数量可以根据系统的处理和产量而变化。FI 120设置在多个FOUP 110和多个装载锁定腔室130之间。FI 120在工厂与集群工具组件100之间创建接口。多个装载锁定腔室130通过第一阀125连接到FI 120,使得基板可以通过第一阀125从FI 120传送到多个装载锁定腔室130,并从多个装载锁定腔室130传送到FI 120。如图所示,第一阀125位于装载锁定腔室130的一个壁上。在一些实施方式中,第一阀125是流体隔离阀,并且可以在FI 120和装载锁定腔室130之间形成密封。此密封可以防止外部污染物进入集群工具组件100。装载锁定腔室130还包括与第一阀125相对的壁上的第二阀135。第二阀135使装载锁定腔室130与机器人腔室180对接。
传送腔室组件150包括中央传送设备145和多个处理区域160。多个处理区域160围绕中央传送设备145设置,使得多个处理站160在传送室组件150中设置在中央传送设备145的径向外侧。
如图所示,机器人腔室180位于装载锁定腔室130的一侧,使得装载锁定腔室130位于FI 120和机器人腔室180之间。机器人腔室180包括传送机器人185。传送机器人185可以是适合于将一个或多个基板从一个腔室传送到另一个腔室的任何机器人。传送机器人185用于将基板186传送到暂时连接到中央传送设备145的静电吸盘(例如,静电吸盘)187。下文将更详细地描述静电吸盘187和中央传送设备145之间的连接。静电吸盘187保持单个基板186并且与基板186一起行进到处理区域160中的每一个中。当静电吸盘187(其上具有基板)位于处理区域160中的一个处理区域中时形成处理区域160的边界。基板186与静电吸盘187的一者配合,并且基板186在此静电吸盘187上移动到处理区域160内和在处理区域160之间移动。
在一些实施方式中,传送机器人185被配置为将基板186从装载锁定腔室130传送到多个准备腔室190中。传送机器人185从装载锁定腔室130中移除基板186,将基板186移入机器人腔室180,然后将基板186移入准备腔室190。传送机器人185还被配置为将基板186移动到传送室组件150。类似于通过传送机器人185可将基板186从装载锁定腔室130移动到准备腔室190的方式,也可以通过传送机器人185将基板186从准备腔室190移动到装载锁定腔室130。传送机器人185还可将基板186从传送腔室组件150移动到准备腔室190或装载锁定腔室130。在一些替代实施方式中,传送机器人185可以将基板186从装载锁定腔室130中移出,将基板186移入机器人腔室180中,然后将基板186移入传送腔室组件150中。在此替代实施方式中,在基板186在传送腔室组件150中进行处理之前或在传送室组件150中进行处理之后,基板186都可以不进入准备腔室190。
准备腔室190可包括清洁腔室192、封装结构194和清洁腔室真空泵196。清洁腔室192可以是预清洁腔室、退火腔室或冷却腔室中的任何一个,取决于集群工具组件100中的期望处理。在一些实施方式中,清洁腔室192是湿式清洁腔室。在其他实施方式中,清洁腔室192是等离子体清洁腔室。在其他示例性实施方式中,清洁腔室192可以是可从美国加利福尼亚州圣克拉拉的应用材料公司获得的Preclean II腔室。
封装结构194可以是用于清洁腔室192的结构支撑件。封装结构194可以包括子传送腔室(未示出)、气体供应源(未示出)和排气口(未示出)。封装结构194可以提供围绕清洁腔室192的结构,并将清洁腔室192与机器人腔室180对接。清洁腔室真空泵196被设置为邻近清洁腔室192的壁,并控制清洁腔室192内的压力。每个清洁腔室192附近可以有一个清洁腔室真空泵196。清洁腔室真空泵196可以被配置为向清洁腔室192提供压力变化。在一些实施方式中,清洁腔室真空泵196被配置为增加处理腔室192的压力。在其他实施方式中,清洁腔室真空泵196被配置为降低处理腔室192的压力,诸如以在清洁腔室192内产生真空。在其他实施方式中,清洁腔室真空泵196被配置为根据在集群工具组件100内利用的处理来增加和减小清洁腔室192的压力。清洁腔室真空泵196可以通过封装结构194保持在适当的位置,使得封装结构194至少部分地围绕清洁腔室真空泵196。
装载锁定腔室130、机器人腔室180和准备腔室190可以被布置成减少集群工具组件100所需的占地面积。在一个实施方式中,一个装载锁定腔室130附接到机器人腔室180的第一壁。一个准备腔室190可以附接到机器人腔室180的第二壁。第一壁和第二壁可以是机器人腔室180上的相邻壁。在一些实施方式中,机器人腔室180是大致正方形的形状。在其他实施方式中,机器人腔室180是四边形的。在其他实施方式中,机器人腔室180可以是任何期望的形状,例如多边形或圆弧形(round shape),例如圆形(circle)。在机器人腔室180为正方形或四边形形状的实施方式中,第一壁和第二壁可以是相邻的壁,使得两个壁彼此相交。如图1所示,集群工具组件100包含两个装载锁定腔室130、两个机器人腔室180和两个清洁腔室190。当,两个装载锁定腔室130、两个机器人腔室180和两个清洁腔室190如上所述布置时,它们可以形成两个运输组件。两个传送组件彼此间隔开并且可以彼此形成镜像,从而使得准备腔室190位于它们各自的机器人腔室180的相对壁上。
如图所示,传送腔室组件150与机器人腔室180相邻,使得传送腔室组件150通过阀(未示出)连接到机器人腔室180。传送腔室组件150可以附接到机器人腔室180的第三壁。机器人腔腔室180的第三壁可以与机器人腔腔室180的第一壁相对。
腔室泵165邻近每个处理区域160设置,使得多个腔室泵165围绕中央传送设备145设置。多个腔室泵165还可以在传送腔室组件150中布置在中央传送设备145的径向外侧。为每个处理区域160提供一个腔室泵165,使得每个处理区域160连接有一个腔室泵165。在一些实施方式中,为每个处理区域160提供多个腔室泵165。在其他实施方式中,处理区域160可以不具有腔室泵165。每个处理区域160可以存在不同数量的腔室泵165,使得一个或多个处理区域160可以具有与单独的一组处理区域160不同数量的腔室泵165。在一些实施方式中,腔室泵165被配置为增加处理区域160的压力。在其他实施方式中,腔室泵165被配置为降低处理区域160的压力,例如在处理区域160内产生真空。在其他实施方式中,腔室泵165被配置为根据在集群工具组件100内利用的处理来增加和减小处理区域160的压力。
在图1所示的实施方式中,传送腔室组件150包括六个处理区域160。在一个实施方式中,传送腔室组件150包括单个处理区域160。在另一个实施方式中,提供有两个或更多个处理区域160。在一些实施方式中,二个至十二个处理区域160位于传送腔室组件150内。在其他实施方式中,四个到八个处理区域160位于传送腔室组件150内。处理区域160的数量影响集群工具组件100的总占地面积、能够由集群工具组件100执行的可能处理步骤的数量、集群工具组件的总制造成本以及集群工具组件100的处理量。
多个处理区域160可以是PVD、CVD、ALD、蚀刻、清洁、加热、退火和/或抛光平台中的任何一种。在一些实施方式中,多个处理区域160可以都是类似的平台。在其他实施方式中,多个处理区域160可以包括两种或更多种类型的处理平台。在一个示例性实施方式中,所有多个处理区域160都是PVD处理腔室。在另一个示例性实施方式中,多个处理区域160包括PVD处理腔室和CVD处理腔室。设想了多个处理区域160的构成的其他实施方式。可以改变多个处理区域160以匹配完成处理所需的处理腔室的类型。
中央传送设备145设置在传送腔室组件150的中央,使得中央传送设备145围绕传送腔室组件150的中央轴设置。中央传送设备145可以是任何合适的传送装置。中央传送设备145被配置为将静电吸盘187上的基板186传送到处理区域160中的每一个处理区域,以及从处理区域160中的每一个处理区域传送出。在一个实施方式中,中央传送设备145被配置为具有一个或多个传送臂(例如,图11的传送臂1110)的转盘系统。当静电吸盘187和基板186在处理区域160之间传送时,每个传送臂支撑对应的静电吸盘187和基板186。传送臂向静电吸盘187提供一个或多个功率信号以为加热元件(例如,图2的加热元件272)和/或夹持电极(例如,图2的夹持电极270)供电。
图2示出了根据一个或多个实施方式的静电吸盘187和基板186的横截面侧视图。静电吸盘187包括主体(例如,吸盘主体)188、端子212、端子214、端子216和定心元件218。主体188包括被配置为支撑基板186的顶表面231。主体188包括与顶表面231相对的底表面230。端子212、214和216以及定心元件218设置在底表面230上。静电吸盘187的主体188另外包括通道(即,孔)210、一个或多个夹持电极270和一个或多个加热元件272。
一个或多个夹持电极270可以包括单个夹持电极270。或者,一个或多个夹持电极270包括两个或更多个夹持电极(例如,夹持电极270a和270b)。在一个或多个夹持电极270包括两个或更多个夹持电极的实施方式中,夹持电极彼此交叉。或者,夹持电极270不相互交叉。夹持电极270可以是共面的。或者,夹持电极270可以设置在静电吸盘187内的不同层中,使得一个夹持电极270比另一个夹持电极270更靠近顶表面231。
端子212和216电性耦接到一个或多个夹持电极270。端子212和216中的第一个端子可以耦接到第一夹持电极270,并且端子212和216中的第二个端子可以耦接到第二夹持电极270。例如,端子212和216中的第一个端子耦接到夹持电极270a,而端子212和216中的第二个端子耦接到夹持电极270b。如图所示,一个或多个端子212和一个或多个端子216耦接到共同的夹持电极270。例如,端子212中的第一个端子和端子216中的第一个端子耦接到夹持电极270a,并且端子214中的第二个端子和端子216中的第二个端子耦接到夹持电极270b。
端子214电性耦接到加热元件272。加热元件272是电阻加热元件。或者,加热元件272是其他类型的加热元件。端子214中的第一个端子可以耦接到加热元件272中的第一个加热元件,并且端子214中的第二个端子可以耦接到加热元件272中的第二个加热元件。在一个实施方式中,端子214的每一个都耦接到共同的加热元件272。
静电吸盘187的主体188可由单件材料形成。或者,静电吸盘187的主体188由多层的共同材料或不同材料形成。例如,静电吸盘187包括区域(例如,台阶区域)260、262和264。一个或多个区域260、262和264可以是粘合在一起的分离件。或者,区域260、262和264中的两个或更多个区域由共同的材料件形成。
图3A示出了根据一个或多个实施方式的静电吸盘187的仰视平面图,并且图3B示出了俯视等距视图。如图所示,静电吸盘187包括三个端子212。在其他实施方式中,静电吸盘187包括多于或少于三个的端子212。端子212可以定位成使得每个端子212彼此之间的距离相等。或者,前两个端子212之间的距离可以不同于后两个端子212之间的距离。此外,端子可以被定位成使得每个端子212与静电吸盘187的中心点232的距离相等。或者,两个或更多个端子212与中心点232之间的距离可以不同。
此外,静电吸盘187包括三个端子214。在其他实施方式中,静电吸盘187包括多于或少于三个的端子214。端子214可以定位成使得每个端子214彼此之间的距离相等。或者,前两个端子214之间的距离可以不同于后两个端子214之间的距离。此外或者替代地,端子214可以被定位成使得每个端子214与中心点232的距离相等。或者,两个或更多个端子212与中心点232之间的距离可以不同。
每个端子214之间的距离可以小于每个端子212之间的距离。此外,每个端子214和中心点232之间的距离可以小于每个端子212和中心点232之间的距离。此外,端子212比端子214更靠近静电吸盘187的边缘239。
静电吸盘187包括两个端子216。在一个实施方式中,静电吸盘187包括多于两个的端子216。端子216可以被定位成使得每个端子216与中心点232的距离相等。或者,每个端子216与中心点232之间的距离可以不同。端子216比端子212更靠近中心点232。端子212比端子216更靠近静电吸盘187的边缘239。
端子212、214和216可移除地或不可移除地附接到静电吸盘187的底表面230。例如,端子212、214和216中的一个或多个可以附接到静电吸盘187的底表面230并且从其移除。在一个实施方式中,端子212、214和216中的每个端子可移除地附接到静电吸盘187的底表面230。或者,端子212、214和216中的一个或多个可以不可移除地附接到静电吸盘187的底表面230。例如,端子212、214和216中的一个或多个附接到静电吸盘187的底表面230,使得端子212、214和216无法在不会损坏静电吸盘187或端子212、214和216的情况下从静电吸盘187的底表面230移除。在一个或多个实施方式中,端子212、214和216中的一个或多个第一端子可移除地附接到静电吸盘187的底表面230,而端子212、214和216中的一个或多个第二端子不可移除地附接到静电吸盘187的底表面230。
进一步参考图2和图3B,静电吸盘187包括区域(或台阶)260、262和264。静电吸盘187包括区域260、区域262与区域264,区域260与静电吸盘187的顶部区域(例如顶部台阶)和顶表面231相关联、区域262与静电吸盘187的中间区域(例如中间台阶)相关联、区域264与静电吸盘187的底部区域(例如底部台阶)和底部表面230相关联。区域260比区域262和264更靠近静电吸盘187的顶表面231。进一步地,区域264比区域260和262更靠近静电吸盘187的底表面230。
静电吸盘187具有在约340mm至约375mm范围内的外径244。或者,外径244小于约340mm或大于375mm。在一个实施方式中,静电吸盘187具有约360mm的外径244。在另一个实施方式中,静电吸盘187具有约365mm的外径244。外径244与静电吸盘187的区域264相关联。
静电吸盘187具有第一内径242。第一内径242在约315mm至约330mm的范围内。或者,第一内径242小于约315mm或大于约330mm。在一个实施方式中,第一内径242约为294mm。第一内径242与静电吸盘187的区域262相关联。
静电吸盘187还具有第二内径240。第二内径240在约280mm至约310mm的范围内。或者,第二内径240小于约280mm或大于约310mm。在一个实施方式中,第二内径240约为294mm。此外,第二内径240与静电吸盘187的区域260相关联。
静电吸盘187的高度250在大约12mm到大约18mm的范围内。或者,高度250可小于12mm或大于18mm。在一个实施方式中,高度250约为12.5mm。在另一个实施方式中,高度250约为15.24mm。在又一个实施方式中,高度250约为17.78mm。高度250对应于静电吸盘187的总高度。
静电吸盘187的高度252在大约10mm到大约13mm的范围内。或者,高度252可小于10mm或大于13mm。在一个实施方式中,高度252约为11mm。在另一个实施方式中,高度252是12.7mm。高度252对应于静电吸盘187的区域262和260的组合高度。
静电吸盘187的高度254在大约5mm到大约7mm的范围内。或者,高度254小于约5mm或大于约7mm。在一个实施方式中,高度252约为6mm。高度254对应于静电吸盘187的区域260的高度。
图4图示了根据一个或多个实施方式的示例端子400。端子212、214和216中的一个或多个可以被配置为类似于端子400的配置。端子400的表面(例如,配合表面)是凹槽形状的(例如,配合表面是有凹槽的),并且包括凹槽410。凹槽410在+Y方向上距离端子400的表面414所具有的深度是412。
图5图示了根据一个或多个实施方式的示例端子500。端子212、214和216中的一个或多个端子可以被配置为类似于端子500的配置。端子500的表面(例如,配合表面)是包括凹陷区域510的凹形。凹部410在+Y方向上距离端子500的表面514所具有的深度是512。
图6图示了根据一个或多个实施方式的示例端子600。端子212、214和216中的一个或多个可以被配置为类似于端子600的配置。端子600包括表面(例如,配合表面)610。表面610实质上是平坦的,具有平坦的形状。例如,表面610既不是凸出的也不是凹陷的,并且在+Y或-Y方向上实质上不偏离并且实质上均匀。
图7图示了根据一个或多个实施方式的示例端子700。端子212、214和216中的一个或多个可以被配置为类似于端子700的配置。端子700的表面(例如,配合表面)具有包括凸起部分710的凸起形状。凸起部分710具有约5mm至约20mm的半径。或者,凸起部分710的半径可小于5mm或大于20mm。
图8图示了根据一个或多个实施方式的示例端子800。端子212、214和216中的一个或多个可以被配置为类似于端子800的配置。端子800的表面(例如,配合表面)具有包括凸起部分810的凸起形状。凸起部分810具有约5mm至约20mm的半径。或者,凸起部分810的半径可小于5mm或大于20mm。此外,凸起部分810包括平坦部812。
端子400、500、600、700和/或800可由钼(Mo)或钨(W)或其组合构成。或者,端子400、500、600、700和/或800可以由除Mo或W之外的材料(或者包括或不包括Mo和W的材料的组合)构成。此外,端子400、500、600、700和/或800具有在约2Ra至约6Ra范围内的表面粗糙度。或者,端子400、500、600、700和/或800可具有小于2Ra或大于约6Ra的表面粗糙度。
处理空间1160的温度范围介于约25摄氏度至约500摄氏度之间。在较高温度下,Mo和W抗氧化,增加相应引脚和端子之间的电接触。
进一步参考图3A,静电吸盘187包括三个定心元件218。在其他实施方式中,静电吸盘187包括多于或少于三个的定心元件218。定心元件218可以各自与中心点232相距相等的距离。或者,两个或多个定心元件218与中心点232之间的距离可以不同。此外,每个定心元件218之间的距离是相同的(例如,在彼此的制造公差内)。或者,定心元件218的前两个之间的距离不同于定心元件218的后两个之间的距离。
定心元件218比端子212更远离边缘239。此外,定心元件218可以比端子214和/或216更远离边缘239。
图9示出了根据一个或多个实施方式的定心元件218a。定心元件218a包括狭槽900。每个定心元件218可以被配置为类似于图9的定心元件218a的配置。
进一步参考图3A和图3B,静电吸盘187还包括装载销孔220。静电吸盘187包括至少三个装载销孔220。或者,静电吸盘187包括少于三个的装载销孔220或多于三个的装载销孔220。在一些实施方式中,静电吸盘187不具有任何装载销孔220。
装载销可穿过每个装载销孔220以接收基板186或从静电吸盘187移除基板186。装载销可以是机器人腔室180的一部分,机器人腔室180被配置为用静电吸盘187电性夹持基板186或从静电吸盘187上解除基板186的夹持。
如图3B所示,区域260包括顶表面231并且区域264包括底表面230。此外,装载销孔220是凹进的(例如,沉孔(counter bored)或沉头(countersunk))。
图10示出了根据一个或多个实施方式的静电吸盘1087。静电吸盘1087的配置类似于静电吸盘187的配置。例如,静电吸盘1087包括端子212、端子214、端子216、定心元件218、通道210、一个或多个夹持电极270和加热元件272。然而,与静电吸盘187相比,静电吸盘1087包括凹进部分1020。凹进部分1020沿着静电吸盘187的底表面1030定位。
凹进部分1020提供额外的表面积(例如,264)用于与图11的密封组件1135形成可分离的密封。此外,由于加热元件272对区域264的影响不同于更靠近加热元件272的区域,所以区域264减少了对相应基板186的热影响。此外或替代地,凹进部分1020用于帮助在旋转期间和/或在对静电吸盘187施加任何其他动作时,防止静电吸盘187相对于传送臂(例如,图11的传送臂1110)和/或基板支撑件(例如,图11的基板1126)移动(例如,滑动)。凹进部分1020还保护端子212、214和216以及其他电性和硬件部件在基板186的处理过程中免受损坏。
如图11和12所示,处理区域160经由中央传送设备(例如中央传送设备145)被服务,以将静电吸盘(例如,静电吸盘187)和基板(例如,基板186)传送进出处理区域160。基板传送开口1104从处理区域160的周壁的外表面向内延伸,并延伸进入处理区域160的传送区域1101。传送开口1104允许传送机器人185将基板186传送进出传送区域1101。在各种实施方式中,可以省略传送开口1104。例如,在处理区域160不与传送机器人185对接的实施方式中,可以省略传送开口1104。
处理区域160的源组件1170被配置为执行沉积处理(例如PVD沉积处理等)。在此配置中,源组件1170包括靶材1172、磁控管组件1171、源组件壁1173、盖1174和溅射电源1175。磁控管组件1171包括磁控管区域1179,在磁控管区域1179中,在处理期间通过使用磁控管旋转马达1176来旋转磁控管1171A。靶材1172和磁控管组件1171通常通过从流体再循环装置(未示出)向磁控管区域1179输送冷却流体(例如,去离子水)来冷却。磁控管1171A包括多个磁体1171B,这些磁体1171B被配置为产生在靶材1172的下表面下方延伸的磁场,以促进在PVD沉积处理期间在处理空间1160中执行的溅射处理。
对于适于执行CVD、PECVD、ALD、PEALD、蚀刻或热处理的处理区域160的替代配置,源组件1170将通常包括不同的硬件部件。在一个示例中,适于执行PECVD沉积处理或蚀刻处理的处理站的源组件1170将通常包括气体分配板或喷淋头,气体分配板或喷淋头被配置为将在处理期间前驱物气体或蚀刻气体输送到处理空间1160中,并且输送到设置在处理区域160内的基板的整个表面。在这种情况下,不使用磁控管组件1171和靶材,并且溅射电源1175可被替代为被配置为对气体分配板进行偏压的RF电源。
基板支撑件致动组件1190包括基座提升组件1191和基座组件1124。底座提升组件1191包括提升致动器组件1168和提升安装组件1166,提升安装组件1166耦接到处理区域160的基部1119。在操作期间,提升致动器组件1168和提升安装组件1166被配置为将基座组件1124定位在至少装载位置(或传送位置)(图11)以及处理位置(图12),装载位置(或传送位置)垂直地(Z方向)定位在传送臂1110(即,传送平面)下方,处理位置在传送臂(即,基板支撑臂)1110垂直上方。此外,提升致动器组件1168和提升安装组件1166在+Z方向上向基座组件1124施加垂直运动,以将静电吸盘187提升离开传送臂1110。此外,提升致动器组件1168和提升安装组件1166在-Z方向上向基座组件1124施加垂直运动,以将静电吸盘187定位在传送臂1110上。传送臂1110的销1153与静电吸盘187的端子212配合。销1153耦接到电源1156,电源1156向销1153提供DC电源信号。销1153将DC电源信号耦接到端子212以驱动夹持电极270。第一DC电源信号可以被提供给销1153中的第一个销,并且第二DC电源信号可以被提供给销1153中的第二个销。DC电源信号具有相似的幅度但极性不同。例如,DC电源信号的一者具有正极性,而DC电源信号的一者具有负极性。当销1153与端子212配合时,提供给夹持电极270的DC电源信号产生静电夹持力,以将基板186保持在静电吸盘187的表面上。因此,静电吸盘187和基板186通过传送臂在处理区域160之间一起传送,并且基板186不相对于静电吸盘187移动。此外,一个或多个销1153可以被配置为与一个或多个端子214配合。在这种情况下,一个或多个销1153耦接到电源1158,电源1158向一个或多个销1153提供AC功率信号。AC功率信号经由销1153和端子214耦接到加热元件272以驱动加热元件272,同时静电吸盘187和基板186由传送臂1110支撑并且在处理区域160之间传送。因此,当静电吸盘187和基板186在处理区域160之间传送时,静电吸盘187可以控制基板186的温度。
提升致动器组件1168耦接到基座轴1192,基座轴1192由轴承(未示出)支撑,该轴承耦接到基座1119以在基座轴1192被提升致动器组件1168平移时引导基座轴1192。波纹管组件(未示出)用于在基座轴1192的外径和基部1119的一部分之间形成密封,从而在正常操作期间维持使用泵1154在传输区域1101内产生的真空环境。
基座组件1124包括耦接到基座轴1192的基板支撑件1126。基座组件1124包括加热器电源1195、静电吸盘电源1196和背侧气体源1197。基板支撑件1126支撑处理区域160内的静电吸盘187和基板186。
基板支撑件1126包括销1140和1142。销1140耦接到加热器电源1195。基座组件1124包括两个或更多个销1140。此外,销1140被配置为与静电吸盘187的端子214配合(例如,物理和电性耦接)。加热器电源1195提供一个或多个AC功率信号。加热器电源1195向销1140提供具有约20A至约30A范围内的电流,当销1140与端子214配合时,AC功率信号经由端子214被提供给加热元件(例如,图2的加热元件272)。在其他实施方式中,加热器电源1195提供具有小于20A或大于30A的电流的AC电源信号。
基板支撑件1126的销1142与静电吸盘187的端子216配合。基板支撑件1126包括两个或更多个销1142。在此类实施方式中,每个销1142被配置为耦接到端子216中的一个不同的端子。例如,销1142中的第一个销被配置为耦接到端子216中的第一个端子,并且销1142中的第二个销被配置为耦接到端子216中的第二个端子。销1142耦接到静电吸盘电源1196。
静电吸盘电源1196向销1142提供DC功率信号。当销1142与端子216配合时,销1142将DC功率信号耦接到端子216和夹持电极270,以将基板186电性夹持到静电吸盘187。在一个实施方式中,静电吸盘电源1196向销1142中的第一个销提供正DC功率信号,并且向销1142中的第二个销提供负DC功率信号,以将基板186电性夹持到静电吸盘187。DC功率信号以双极配置驱动销1142、端子216和夹持电极270,使得第一DC功率信号为正而第二DC功率信号为负。DC电源信号的幅度可以相同。例如,第一DC功率信号约为1500伏,而第二DC功率信号约为-1500伏。或者,DC功率信号的幅度大于或小于约1500伏。在其他实施方式中,DC功率信号中的第一个信号的幅度不同于第二DC功率信号中的第二个信号的幅度。
销1140和1142可移除地或不可移除地(或永久地)耦接到基座组件1124。例如,在一个实施方式中,销1140和/或1142可移除地耦接基座组件1124,并且可以附接到基座组件1124和从基座组件1124移除,使得可以在不损坏基座组件1124的情况下更换销1140和/或1142。销1140和1142与端子214和216之间的接触导致销1140和1142磨损。随着时间的推移,可能需要更换销1140和1142。通过将销1140和1142可移除地耦接到基座组件1124,当磨损影响销1140和/或1142的操作并且使销1140、1142和端子214、216之间的耦接恶化时,可允许移除并更换销1140和1142。
基座组件1124包括柔性元件1180。柔性元件1180包括通道1182和波纹管1184。柔性元件1180被配置为对静电吸盘187的底表面产生密封。经由背面气源1197向柔性元件1180的通道1182提供背侧气体。背侧气体通过通道1182流入基板186与静电吸盘187之间的空间,以提高基板186与静电吸盘187之间的导热均匀性,提高材料沉积到基板186上的均匀性。背侧气体是氮气、氦气或氩气等。
处理套件组件1130通常包括处理区域屏蔽件1132和密封组件1135。站点壁1134包括第一端口,第一端口耦接到真空泵1165并被配置为在处理期间通过周向间隙排空处理空间1160,周向间隙形成在处理区域屏蔽1132的上部、靶材1172的下表面和部分隔离环1133和站点壁1134之间。站点壁1134耦接到气体源组件1189,并且被配置为在处理期间通过周向增压腔室将一种或多种处理气体(例如Ar、N2)输送到处理空间1160。
在基板的处理期间,例如图12,基板186和静电吸盘187被定位在源组件1170下方的处理位置。当处于处理位置时,静电吸盘187的区域264与密封组件1135的一部分形成“密封”,以便将处理空间1160与传送区域1101实质上流体隔离。因此,在处理空间1160中,静电吸盘187、密封组件1135、处理区域屏蔽件1132、站点壁1134、隔离环1133和靶材1172实质上包围并限定处理空间1160。密封组件1135包括上板1135a、波纹管1335b和下板1135c。在一些实施方式中,在静电吸盘187的一部分和密封组件1135的上板1135a之间形成的“密封”是在由静电吸盘187的区域264的表面与上板1135a的表面之间的物理接触形成的密封区域处产生的。在一些实施方式中,密封组件1135的柔性波纹管组件1135b被配置为在通过使用基板支撑致动组件1190中的升降致动器组件1168将静电吸盘187的一部分放置为接触密封组件1135的一部分的表面时在竖直方向上延伸。柔性波纹管组件的柔顺特性允许静电吸盘187的一部分的表面和密封组件1135的一部分的表面之间的任何错位或平坦度差异被吸收,从而可以在区域264形成可靠且可重复的密封。柔性波纹管组件1135b可以是不锈钢波纹管组件或铬镍铁合金(Inconel)波纹管组件等。此外,大约10N到400N范围内的密封力被用于将一个或多个销1140和/或1142分别与端子214和/或216配合。使用密封力增加了在销1140和/或1142与端子214和/或216之间流动的电流量。波纹管1135b可以被配置为控制一个或多个销11140和/或1142与一个或多个端子214和/或216之间的接触力。例如,通过增加或减少波纹管1135b的弹簧作用膨胀,可以增加或减少各对销1140、1142与端子214和216之间的接触力。此外,由基座提升组件1191施加到波纹管1135b上的力可以增加或减少,以增加或减少成对的销1140、1142与端子214和216之间的接触力。由基座提升组件1191施加的力可以将密封组件1135的上板1135a提升约0.1英寸至约0.4英寸,从而使波纹管1135b膨胀。在其他实施方式中,基座提升组件1191可以将上板1335a提升小于0.1英寸或大于0.4英寸以使波纹管1135b膨胀。波纹管1135b膨胀的量产生销1140、1142和端子214、216之间的相应接触力。
图13是根据一个或多个实施方式的基座组件1124的基板支撑件1126的俯视图。如图所示,基板支撑件1126包括对准元件1310。对准元件1310被配置为与静电吸盘187的定心元件218相互作用。对准元件1310有助于将静电吸盘187定心在基板支撑件1126上。例如,如图14所示,对准元件1310的延伸区域(例如,旋钮)1311装配在定心元件218的狭槽900内。将每个对准元件1310的延伸区域1311安装在每个定心元件218的狭槽900内使静电吸盘187在基板支撑件1126上方居中。
在一个实施方式中,基板支撑件1126包括三个或更多个对准元件1310。在其他实施方式中,基板支撑件1126包括两个或更多个对准元件1310。
虽然前述内容涉及本公开内容的实施方式,但可在不脱离本公开内容的基本范围的情况下设计其他与进一步的实施方式,且本公开内容的范围由随附权利要求书确定。

Claims (20)

1.一种静电吸盘,包括:
吸盘主体,所述吸盘主体具有顶表面与底表面,所述顶表面被配置为支撑基板,所述底表面与所述顶表面相对,其中所述吸盘主体包含:
一个或多个夹持电极;以及
一个或多个加热元件;
第一端子,所述第一端子设置在所述吸盘主体的所述底表面上并与所述一个或多个加热元件耦接;
第二端子,所述第二端子设置在所述吸盘主体的所述底表面上并与所述一个或多个夹持电极耦接;以及
第三端子,所述第三端子设置在所述吸盘主体的所述底部第一表面上并与所述一个或多个夹持电极耦接。
2.根据权利要求1所述的静电吸盘,进一步包含设置在所述吸盘主体的所述底表面上的定心元件。
3.根据权利要求1所述的静电吸盘,其中所述吸盘主体进一步包含通道,所述通道被配置为使背侧气体在所述吸盘主体的所述顶表面与所述基板之间流动。
4.根据权利要求1所述的静电吸盘,其中所述第一端子、所述第二端子与所述第三端子的配合表面是平坦的。
5.根据权利要求1所述的静电吸盘,其中所述第一端子、所述第二端子与所述第三端子的配合表面是凸形的、凹形的、或具有沟槽中的一者。
6.根据权利要求1所述的静电吸盘,其中所述第一端子、所述第二端子与所述第三端子由钼(Mo)和钨(W)中的一种形成。
7.根据权利要求1所述的静电吸盘,其中第三端子的数量大于第二端子的数量,并且其中所述第三端子布置得比所述第二端子更靠近所述静电吸盘的外边缘。
8.根据权利要求1所述的静电吸盘,其中所述第一端子被配置为与处理腔室的基板支撑件的第一销相互作用,并且所述第一销耦接到被配置为输出交流(AC)电源信号的第一电源。
9.根据权利要求8所述的静电吸盘,其中所述第二端子被配置为与所述基板支撑件的第二销相互作用,并且所述第二销耦接到被配置为输出直流(DC)电源信号的第二电源。
10.根据权利要求9所述的静电吸盘,其中所述第二端子中的第一端子耦接到所述一个或多个夹持电极中的第一夹持电极,并且所述第二端子中的第二端子耦接到所述一个或多个夹持电极中的第二夹持电极。
11.根据权利要求10所述的静电吸盘,其中所述第三端子被配置为与传送臂的销相互作用,并且所述传送臂的所述销耦接到被配置为输出DC电源信号的第三电源。
12.根据权利要求11所述的静电吸盘,其中所述第三端子中的第一端子耦接到所述第一夹持电极,并且所述第三端子中的第二端子耦接到所述第二夹持电极。
13.根据权利要求1所述的静电吸盘,其中所述吸盘主体包含位于所述顶表面与所述底表面之间的多个台阶区域。
14.根据权利要求1所述的静电吸盘,其中所述第一端子、所述第二端子和所述第三端子中的一个或多个的粗糙度在约2Ra至约9Ra的范围内。
15.一种处理区域,包括:
基座组件,所述基座组件被配置为在装载位置和处理位置之间移动,所述基座组件包括基板支撑件,所述基板支撑件包括耦接到第一电源的第一销和耦接到第二电源的第二销;和
静电吸盘,包括:
吸盘主体,所述吸盘主体具有顶表面与底表面,所述顶表面被配置为支撑基板,所述底表面与所述顶表面相对并被配置为由所述基板支撑件支撑,其中所述吸盘主体包含:
一个或多个夹持电极;以及
一个或多个加热元件;
第一端子,所述第一端子设置在所述吸盘主体的所述底表面上并与所述一个或多个加热元件耦接,所述第一端子被配置为与所述基板支撑件的所述第一销配合;
第二端子,所述第二端子设置在所述吸盘主体的所述底表面上并与所述一个或多个夹持电极耦接,所述第二端子被配置为与所述基板支撑件的所述第二销配合;以及
第三端子,所述第三端子设置在所述吸盘主体的所述底部第一表面上并与所述一个或多个夹持电极耦接。
16.根据权利要求15所述的处理区域,其中所述静电吸盘进一步包含定心元件,所述定心元件设置在所述吸盘主体的所述底表面上,且所述吸盘主体进一步包含通道,所述通道被配置为使背侧气体在所述吸盘主体的所述顶表面与所述基板之间流动。
17.根据权利要求15所述的处理区域,其中所述第一端子、所述第二端子与所述第三端子的配合表面是平坦的、凸形的、凹形的、或具有沟槽中的一者。
18.一种集群工具组件,包括:
处理区域,所述处理区域包括:
基座组件,所述基座组件被配置为在装载位置和处理位置之间移动,所述基座组件包括基板支撑件,所述基板支撑件包括耦接到第一电源的第一销和耦接到一第二电源的第二销;和
静电吸盘,包括:
吸盘主体,所述吸盘主体具有顶表面与底表面,所述顶表面被配置为支撑基板,所述底表面与所述顶表面相对并被配置为由所述基板支撑件支撑,其中所述吸盘主体包含:
一个或多个夹持电极;以及
一个或多个加热元件;
第一端子,所述第一端子设置在所述吸盘主体的所述底表面上并与所述一个或多个加热元件耦接,所述第一端子被配置为与所述基板支撑件的所述第一销配合;
第二端子,所述第二端子设置在所述吸盘主体的所述底表面上并与所述一个或多个夹持电极耦接,所述第二端子被配置为与所述基板支撑件的所述第二销配合;以及
第三端子,所述第三端子设置在所述吸盘主体的所述底部第一表面上并与所述一个或多个夹持电极耦接。
19.根据权利要求18所述的集群工具组件,其中所述静电吸盘进一步包含定心元件,所述定心元件设置在所述吸盘主体的所述底表面上,且所述吸盘主体进一步包含通道,所述通道被配置为使背侧气体在所述吸盘主体的所述顶表面与所述基板之间流动。
20.根据权利要求18所述的集群工具组件,其中所述第一端子、所述第二端子与所述第三端子的配合表面是平坦的、凸形的、凹形的、或具有沟槽中的一者。
CN202180052096.4A 2020-09-18 2021-09-08 具有加热与夹持能力的静电吸盘 Pending CN115989575A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/024,802 US11610799B2 (en) 2020-09-18 2020-09-18 Electrostatic chuck having a heating and chucking capabilities
US17/024,802 2020-09-18
PCT/US2021/049349 WO2022060600A1 (en) 2020-09-18 2021-09-08 An electrostatic chuck having a heating and chucking capabilities

Publications (1)

Publication Number Publication Date
CN115989575A true CN115989575A (zh) 2023-04-18

Family

ID=80740746

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180052096.4A Pending CN115989575A (zh) 2020-09-18 2021-09-08 具有加热与夹持能力的静电吸盘

Country Status (6)

Country Link
US (1) US11610799B2 (zh)
JP (1) JP2023541774A (zh)
KR (1) KR20230048506A (zh)
CN (1) CN115989575A (zh)
TW (1) TW202224085A (zh)
WO (1) WO2022060600A1 (zh)

Family Cites Families (145)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5863170A (en) 1996-04-16 1999-01-26 Gasonics International Modular process system
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6162299A (en) 1998-07-10 2000-12-19 Asm America, Inc. Multi-position load lock chamber
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6440261B1 (en) 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
TW504941B (en) 1999-07-23 2002-10-01 Semiconductor Energy Lab Method of fabricating an EL display device, and apparatus for forming a thin film
US7066703B2 (en) 1999-09-29 2006-06-27 Tokyo Electron Limited Chuck transport method and system
US20030155079A1 (en) 1999-11-15 2003-08-21 Andrew D. Bailey Plasma processing system with dynamic gas distribution control
FI118343B (fi) 1999-12-28 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6576062B2 (en) 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
JP4644926B2 (ja) 2000-10-13 2011-03-09 ソニー株式会社 半導体製造装置および半導体装置の製造方法
US6962471B2 (en) 2000-10-26 2005-11-08 Leica Microsystems Jena Gmbh Substrate conveying module and system made up of substrate conveying module and workstation
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020144786A1 (en) 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US6852194B2 (en) 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
US20040175549A1 (en) * 2001-07-19 2004-09-09 Ibiden Co., Ltd. Ceramic connection body, method of connecting the ceramic bodies, and ceramic structural body
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
JP4821074B2 (ja) 2001-08-31 2011-11-24 東京エレクトロン株式会社 処理システム
US6604853B2 (en) 2001-10-11 2003-08-12 Taiwan Semiconductor Manufacturing Co., Ltd Accelerated thermal stress cycle test
US6778258B2 (en) 2001-10-19 2004-08-17 Asml Holding N.V. Wafer handling system for use in lithography patterning
AU2002343583A1 (en) 2001-10-29 2003-05-12 Genus, Inc. Chemical vapor deposition system
EP1336985A1 (de) 2002-02-19 2003-08-20 Singulus Technologies AG Zerstäubungskathode und Vorrichtung und Verfahren zum Beschichten eines Substrates mit mehreren Schichten
KR100682209B1 (ko) 2002-06-21 2007-02-12 어플라이드 머티어리얼스, 인코포레이티드 진공 처리 시스템용 전달 챔버
AU2003297456B2 (en) 2003-01-02 2007-05-03 Loma Linda University Medical Center Configuration management and retrieval system for proton beam therapy system
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
DE10350517A1 (de) 2003-10-29 2005-06-09 Sieghard Schiller Gmbh & Co. Kg Wafer-Stocker
US8313277B2 (en) 2003-11-10 2012-11-20 Brooks Automation, Inc. Semiconductor manufacturing process modules
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
SG132670A1 (en) 2003-11-10 2007-06-28 Blueshift Technologies Inc Methods and systems for handling workpieces in a vacuum-based semiconductor handling system
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US8696298B2 (en) 2003-11-10 2014-04-15 Brooks Automation, Inc. Semiconductor manufacturing process modules
US8403613B2 (en) 2003-11-10 2013-03-26 Brooks Automation, Inc. Bypass thermal adjuster for vacuum semiconductor processing
US8029226B2 (en) 2003-11-10 2011-10-04 Brooks Automation, Inc. Semiconductor manufacturing systems
KR100578134B1 (ko) 2003-11-10 2006-05-10 삼성전자주식회사 멀티 챔버 시스템
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US7169234B2 (en) 2004-01-30 2007-01-30 Asm America, Inc. Apparatus and methods for preventing rotational slippage between a vertical shaft and a support structure for a semiconductor wafer holder
USD527751S1 (en) 2004-05-28 2006-09-05 Tokyo Electron Limited Transfer-chamber
US20060201074A1 (en) 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
CN103199039B (zh) 2004-06-02 2016-01-13 应用材料公司 电子装置制造室及其形成方法
US7784164B2 (en) 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
WO2006004718A1 (en) 2004-06-28 2006-01-12 Brooks Automation, Inc. Non productive wafer buffer module for substrate processing apparatus
US20060137609A1 (en) 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
CH697552B1 (de) 2004-11-12 2008-11-28 Oerlikon Trading Ag Vakuumbehandlungsanlage.
KR20060076714A (ko) 2004-12-28 2006-07-04 에이에스엠지니텍코리아 주식회사 원자층 증착기
US20070020890A1 (en) 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
KR100803726B1 (ko) 2005-08-12 2008-02-15 주식회사 아이피에스 반송챔버의 구조
US7534080B2 (en) 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
WO2007118252A2 (en) 2006-04-11 2007-10-18 Applied Materials, Inc. System architecture and method for solar panel formation
US20080072821A1 (en) 2006-07-21 2008-03-27 Dalton Jeremic J Small volume symmetric flow single wafer ald apparatus
US8435389B2 (en) 2006-12-12 2013-05-07 Oc Oerlikon Balzers Ag RF substrate bias with high power impulse magnetron sputtering (HIPIMS)
KR100847888B1 (ko) 2006-12-12 2008-07-23 세메스 주식회사 반도체 소자 제조 장치
EP2463401B1 (de) 2007-01-02 2013-07-24 OC Oerlikon Balzers AG Vorrichtung zur Herstellung einer gerichteten Schicht mittels Kathodenzerstäubung und Verwendung derselben
US20080219810A1 (en) 2007-03-05 2008-09-11 Van Der Meulen Peter Semiconductor manufacturing process modules
US20080276867A1 (en) 2007-05-09 2008-11-13 Jason Schaller Transfer chamber with vacuum extension for shutter disks
WO2008149446A1 (ja) 2007-06-07 2008-12-11 Canon Anelva Corporation 半導体製造装置および方法
JP5253511B2 (ja) 2007-10-24 2013-07-31 オーツェー・エリコン・バルザース・アーゲー ワークピース製造方法及び装置
US8246794B2 (en) 2007-12-07 2012-08-21 Oc Oerlikon Blazers Ag Method of magnetron sputtering and a method for determining a power modulation compensation function for a power supply applied to a magnetron sputtering source
WO2009071667A1 (en) 2007-12-07 2009-06-11 Oc Oerlikon Balzers Ag Reactive sputtering with hipims
KR20100126545A (ko) 2008-03-25 2010-12-01 오씨 외를리콘 발처스 악티엔게젤샤프트 프로세싱 챔버
KR101959113B1 (ko) 2008-04-03 2019-03-15 에바텍 아크티엔게젤샤프트 금속 배선 구조 형성을 위한 스퍼터링 장치
US20100012036A1 (en) 2008-07-11 2010-01-21 Hugo Silva Isolation for multi-single-wafer processing apparatus
JP5315898B2 (ja) 2008-09-30 2013-10-16 東京エレクトロン株式会社 成膜装置
JP5088284B2 (ja) 2008-09-30 2012-12-05 東京エレクトロン株式会社 真空処理装置
JP5544697B2 (ja) 2008-09-30 2014-07-09 東京エレクトロン株式会社 成膜装置
JP5056735B2 (ja) 2008-12-02 2012-10-24 東京エレクトロン株式会社 成膜装置
US8216380B2 (en) 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
KR101717409B1 (ko) 2009-03-18 2017-03-16 에바텍 어드벤스드 테크놀로지스 아크티엔게젤샤프트 태양 전지 패널의 인라인 제조 방법
KR102298893B1 (ko) 2009-03-18 2021-09-08 에바텍 아크티엔게젤샤프트 진공처리 장치
EP2425036B8 (en) 2009-04-27 2017-12-20 Evatec AG Reactive sputtering with multiple sputter sources
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
EP2360291A1 (de) 2010-02-24 2011-08-24 Singulus Technologies AG Verfahren und Vorrichtung zum schnellen Heizen und Kühlen eines Substrates und sofort anschließender Beschichtung desselben unter Vakuum
US20110232569A1 (en) 2010-03-25 2011-09-29 Applied Materials, Inc. Segmented substrate loading for multiple substrate processing
TWI517390B (zh) 2010-06-10 2016-01-11 應用材料股份有限公司 具增強的遊離及rf功率耦合的低電阻率鎢pvd
JP2012028659A (ja) 2010-07-27 2012-02-09 Hitachi High-Technologies Corp 真空処理装置
DE102010046780A1 (de) 2010-09-28 2012-03-29 Singulus Technologies Ag Beschichten von Substraten mit einer Legierung mittels Kathodenzerstäubung
US9490166B2 (en) 2010-12-08 2016-11-08 Evatec Ag Apparatus and method for depositing a layer onto a substrate
KR102023432B1 (ko) 2010-12-29 2019-09-20 에바텍 아크티엔게젤샤프트 진공 처리 장치
KR101744372B1 (ko) 2011-01-20 2017-06-07 도쿄엘렉트론가부시키가이샤 진공 처리 장치
JP5870568B2 (ja) 2011-05-12 2016-03-01 東京エレクトロン株式会社 成膜装置、プラズマ処理装置、成膜方法及び記憶媒体
US8728239B2 (en) 2011-07-29 2014-05-20 Asm America, Inc. Methods and apparatus for a gas panel with constant gas flow
JP5780062B2 (ja) 2011-08-30 2015-09-16 東京エレクトロン株式会社 基板処理装置及び成膜装置
JP6223983B2 (ja) 2011-09-30 2017-11-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 温度制御付き静電チャック
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
DE102012103295A1 (de) 2012-01-09 2013-07-11 Aixtron Se Räumlich optimierte Anordnung zum Bearbeiten von Halbleitersubstraten
KR101887072B1 (ko) 2012-06-07 2018-08-09 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
EP2862198A1 (en) 2012-06-15 2015-04-22 Oerlikon Advanced Technologies AG Method for depositing a group iii nitride semiconductor film
US20140001576A1 (en) 2012-06-27 2014-01-02 Applied Materials, Inc. Lowering tungsten resistivity by replacing titanium nitride with titanium silicon nitride
JP5947138B2 (ja) 2012-07-25 2016-07-06 東京エレクトロン株式会社 成膜装置
KR20140033911A (ko) 2012-09-11 2014-03-19 에이에스엠 아이피 홀딩 비.브이. 증착 장치 및 증착 방법
KR102472542B1 (ko) 2013-02-08 2022-11-30 에바텍 아크티엔게젤샤프트 Hipims 스퍼터링 방법 및 hipims 스퍼터 시스템
EP2772934A1 (en) 2013-02-28 2014-09-03 Singulus Technologies AG Method and system for naturally oxidizing a substrate
WO2014163791A1 (en) 2013-03-12 2014-10-09 Applied Materials, Inc Semiconductor device manufacturing platform with single and twinned processing chambers
TWI624897B (zh) 2013-03-15 2018-05-21 應用材料股份有限公司 多位置批次負載鎖定裝置與系統,以及包括該裝置與系統的方法
JP6703937B2 (ja) 2013-03-15 2020-06-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板堆積システム、ロボット移送装置、及び電子デバイス製造のための方法
US9281222B2 (en) 2013-03-15 2016-03-08 Applied Materials, Inc. Wafer handling systems and methods
US20160108515A1 (en) 2013-05-23 2016-04-21 Evatec Advanced Technologies Ag Method for filling vias and substrate-via filling vacuum processing system
US9490149B2 (en) 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
DE102013111790A1 (de) 2013-10-25 2015-04-30 Aixtron Se Energie- und materialverbrauchsoptimierter CVD-Reaktor
DE102013113052A1 (de) 2013-11-26 2015-05-28 Aixtron Se Heizeinrichtung für einen CVD-Reaktor
WO2015082547A1 (en) 2013-12-04 2015-06-11 Oerlikon Advanced Technologies Ag Sputtering source arrangement, sputtering system and method of manufacturing metal-coated plate-shaped substrates
US9916995B2 (en) 2014-02-24 2018-03-13 Lam Research Corporation Compact substrate processing tool with multi-station processing and pre-processing and/or post-processing stations
US9336997B2 (en) 2014-03-17 2016-05-10 Applied Materials, Inc. RF multi-feed structure to improve plasma uniformity
JP6243290B2 (ja) 2014-05-01 2017-12-06 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6378942B2 (ja) * 2014-06-12 2018-08-22 東京エレクトロン株式会社 載置台及びプラズマ処理装置
JP6225842B2 (ja) 2014-06-16 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
KR102364760B1 (ko) 2014-07-03 2022-02-18 어플라이드 머티어리얼스, 인코포레이티드 캐러셀 뱃치 에피택시 시스템
JP6463938B2 (ja) 2014-10-08 2019-02-06 日本特殊陶業株式会社 静電チャック
JP6280487B2 (ja) 2014-10-16 2018-02-14 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6330623B2 (ja) 2014-10-31 2018-05-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP6330630B2 (ja) 2014-11-13 2018-05-30 東京エレクトロン株式会社 成膜装置
EP3210240A1 (en) 2014-12-11 2017-08-30 Evatec AG Apparatus and method especially for degassing of substrates
JP6464765B2 (ja) 2015-01-19 2019-02-06 東京エレクトロン株式会社 熱処理装置、熱処理方法及び記憶媒体
TWI676709B (zh) 2015-01-22 2019-11-11 美商應用材料股份有限公司 使用空間上分開的佈植器腔室進行的對薄膜的原子層沈積
KR102010633B1 (ko) 2015-06-30 2019-08-13 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 기판 처리 장치
JP6478847B2 (ja) 2015-07-08 2019-03-06 東京エレクトロン株式会社 基板処理装置
JP6507953B2 (ja) 2015-09-08 2019-05-08 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN107923037B (zh) 2015-09-08 2020-12-25 瑞士艾发科技 真空处理设备和用于真空处理基底的方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
CN108475656B (zh) * 2015-12-28 2023-09-05 日本碍子株式会社 圆盘状加热器以及加热器冷却板组件
CN107022754B (zh) 2016-02-02 2020-06-02 东京毅力科创株式会社 基板处理装置
US9953843B2 (en) 2016-02-05 2018-04-24 Lam Research Corporation Chamber for patterning non-volatile metals
CN108780766B (zh) 2016-03-08 2022-03-04 瑞士艾发科技 用于衬底脱气的室
TWI729101B (zh) 2016-04-02 2021-06-01 美商應用材料股份有限公司 用於旋轉料架基座中的晶圓旋轉的設備及方法
KR101796647B1 (ko) 2016-05-03 2017-11-10 (주)에스티아이 기판처리장치 및 기판처리방법
EP3472852B1 (en) 2016-06-15 2021-08-11 Evatec AG Vacuum treatment chamber and method of manufacturing a vacuum treated plate-shaped substrate
US20190252166A1 (en) 2016-10-14 2019-08-15 Evatec Ag Sputtering source
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
JP6777055B2 (ja) 2017-01-11 2020-10-28 東京エレクトロン株式会社 基板処理装置
CH713453A1 (de) 2017-02-13 2018-08-15 Evatec Ag Verfahren zur Herstellung eines Substrates mit einer bordotierten Oberfläche.
JP6750534B2 (ja) 2017-02-24 2020-09-02 東京エレクトロン株式会社 成膜装置
WO2018197305A2 (en) 2017-04-27 2018-11-01 Evatec Ag Soft magnetic multilayer deposition apparatus, methods of manufacturing and magnetic multilayer
JP6922408B2 (ja) 2017-05-18 2021-08-18 東京エレクトロン株式会社 基板処理装置
US10043693B1 (en) 2017-06-06 2018-08-07 Applied Materials, Inc. Method and apparatus for handling substrates in a processing system having a buffer chamber
JP6789187B2 (ja) 2017-07-07 2020-11-25 東京エレクトロン株式会社 基板反り検出装置及び基板反り検出方法、並びにこれらを用いた基板処理装置及び基板処理方法
JP2020528107A (ja) 2017-07-27 2020-09-17 エヴァテック・アーゲー 透過障壁
JP2019036630A (ja) 2017-08-15 2019-03-07 東京エレクトロン株式会社 成膜装置
WO2019096515A1 (en) 2017-11-15 2019-05-23 Evatec Ag Vacuum treatment apparatus and method of vacuum treating substrates
EP3711079B1 (en) 2017-11-17 2023-07-05 Evatec AG Rf power delivery to vacuum plasma processing
TW201934783A (zh) 2017-11-30 2019-09-01 瑞士商艾維太克股份有限公司 蒸鍍室及系統
KR102433151B1 (ko) 2018-03-23 2022-08-16 엔지케이 인슐레이터 엘티디 반도체 제조 장치
US20210375599A1 (en) * 2020-05-29 2021-12-02 Applied Materials, Inc. Electrical connector for cooled substrate support assembly

Also Published As

Publication number Publication date
KR20230048506A (ko) 2023-04-11
TW202224085A (zh) 2022-06-16
US11610799B2 (en) 2023-03-21
WO2022060600A1 (en) 2022-03-24
JP2023541774A (ja) 2023-10-04
US20220093439A1 (en) 2022-03-24

Similar Documents

Publication Publication Date Title
US5667592A (en) Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US5863170A (en) Modular process system
JP6423880B2 (ja) インシトゥで取り出すことができる静電チャック
TW202213573A (zh) 用於半導體處理系統的相容元件
TW202201467A (zh) 基板處理腔室中的處理套組之鞘與溫度控制
TW202109716A (zh) 同時進行基板傳輸的機械手
TW201334213A (zh) 處理太陽能電池晶圓的靜電吸盤
TW202147501A (zh) 高溫及真空隔離處理最小環境
US20220076978A1 (en) Alignment of an electrostatic chuck with a substrate support
TW202213572A (zh) 熱控制的蓋堆疊組件
US20220076971A1 (en) Self aligning wafer carrier pedestal element with power contacts
CN115989575A (zh) 具有加热与夹持能力的静电吸盘
US20220013382A1 (en) Transfer carousel with detachable chucks
US11817331B2 (en) Substrate holder replacement with protective disk during pasting process
US11600507B2 (en) Pedestal assembly for a substrate processing chamber
US20220076981A1 (en) Sealing device for a pedestal assembly
US20220068690A1 (en) Substrate transfer devices
CN116113726A (zh) 基板处理系统
CN111902926A (zh) 静电吸盘和基板处理设备
US11646217B2 (en) Transfer apparatus and substrate-supporting member
TWI810678B (zh) 基板處理系統與方法
TW202406006A (zh) 支撐單元及包括其的處理基板的設備
JP2022135646A (ja) 基板支持台及びプラズマ処理装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination