CN116113726A - 基板处理系统 - Google Patents
基板处理系统 Download PDFInfo
- Publication number
- CN116113726A CN116113726A CN202180057753.4A CN202180057753A CN116113726A CN 116113726 A CN116113726 A CN 116113726A CN 202180057753 A CN202180057753 A CN 202180057753A CN 116113726 A CN116113726 A CN 116113726A
- Authority
- CN
- China
- Prior art keywords
- gas
- substrate
- processing
- support
- processing system
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 239000000758 substrate Substances 0.000 title claims abstract description 426
- 238000012545 processing Methods 0.000 title claims abstract description 392
- 238000001816 cooling Methods 0.000 claims abstract description 107
- 239000007789 gas Substances 0.000 claims description 160
- 238000007789 sealing Methods 0.000 claims description 47
- 239000012530 fluid Substances 0.000 claims description 42
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 14
- 229910052734 helium Inorganic materials 0.000 claims description 9
- 229910052786 argon Inorganic materials 0.000 claims description 7
- 239000001307 helium Substances 0.000 claims description 7
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 7
- 239000007921 spray Substances 0.000 claims description 6
- 229920001971 elastomer Polymers 0.000 claims description 3
- 239000000806 elastomer Substances 0.000 claims description 3
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 3
- 239000000853 adhesive Substances 0.000 claims description 2
- 230000001070 adhesive effect Effects 0.000 claims description 2
- 230000006835 compression Effects 0.000 claims description 2
- 238000007906 compression Methods 0.000 claims description 2
- 239000007788 liquid Substances 0.000 abstract description 3
- 238000000034 method Methods 0.000 description 153
- 230000008569 process Effects 0.000 description 145
- 238000012546 transfer Methods 0.000 description 84
- 239000010410 layer Substances 0.000 description 80
- 239000000463 material Substances 0.000 description 27
- 229910052751 metal Inorganic materials 0.000 description 25
- 239000002184 metal Substances 0.000 description 25
- 238000005240 physical vapour deposition Methods 0.000 description 25
- 239000012636 effector Substances 0.000 description 23
- 238000005137 deposition process Methods 0.000 description 20
- 239000010408 film Substances 0.000 description 17
- 238000000151 deposition Methods 0.000 description 15
- 238000013461 design Methods 0.000 description 10
- 239000010949 copper Substances 0.000 description 9
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 8
- 238000000231 atomic layer deposition Methods 0.000 description 8
- 229910052802 copper Inorganic materials 0.000 description 8
- 230000008021 deposition Effects 0.000 description 8
- 210000003857 wrist joint Anatomy 0.000 description 8
- 238000005229 chemical vapour deposition Methods 0.000 description 7
- 238000004891 communication Methods 0.000 description 7
- 238000005530 etching Methods 0.000 description 7
- 230000036961 partial effect Effects 0.000 description 7
- 230000002829 reductive effect Effects 0.000 description 7
- 229910052715 tantalum Inorganic materials 0.000 description 7
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 7
- 238000010438 heat treatment Methods 0.000 description 6
- 238000004544 sputter deposition Methods 0.000 description 6
- 230000000712 assembly Effects 0.000 description 5
- 238000000429 assembly Methods 0.000 description 5
- 125000006850 spacer group Chemical group 0.000 description 5
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 5
- 238000004140 cleaning Methods 0.000 description 4
- 238000010276 construction Methods 0.000 description 4
- 230000013011 mating Effects 0.000 description 4
- 239000000203 mixture Substances 0.000 description 4
- 239000013077 target material Substances 0.000 description 4
- 239000010409 thin film Substances 0.000 description 4
- 210000000707 wrist Anatomy 0.000 description 4
- 230000004888 barrier function Effects 0.000 description 3
- 239000000356 contaminant Substances 0.000 description 3
- 238000009826 distribution Methods 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 230000004907 flux Effects 0.000 description 3
- 230000007246 mechanism Effects 0.000 description 3
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 3
- 230000002093 peripheral effect Effects 0.000 description 3
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- 238000003466 welding Methods 0.000 description 3
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- 238000005452 bending Methods 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 239000000919 ceramic Substances 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 238000012864 cross contamination Methods 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 229910002804 graphite Inorganic materials 0.000 description 2
- 239000010439 graphite Substances 0.000 description 2
- 230000000670 limiting effect Effects 0.000 description 2
- 238000012423 maintenance Methods 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 238000007747 plating Methods 0.000 description 2
- 238000005477 sputtering target Methods 0.000 description 2
- 229910001220 stainless steel Inorganic materials 0.000 description 2
- 239000010935 stainless steel Substances 0.000 description 2
- 240000006829 Ficus sundaica Species 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 239000012809 cooling fluid Substances 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 239000011152 fibreglass Substances 0.000 description 1
- 229910001026 inconel Inorganic materials 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 230000002452 interceptive effect Effects 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- IJGRMHOSHXDMSA-UHFFFAOYSA-N nitrogen Substances N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 1
- 239000012788 optical film Substances 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- 229920002379 silicone rubber Polymers 0.000 description 1
- 239000004945 silicone rubber Substances 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67196—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/54—Controlling or regulating the coating process
- C23C14/541—Heating or cooling of the substrates
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/34—Sputtering
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/34—Sputtering
- C23C14/3435—Applying energy to the substrate during sputtering
- C23C14/345—Applying energy to the substrate during sputtering using substrate bias
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/34—Sputtering
- C23C14/35—Sputtering by application of a magnetic field, e.g. magnetron sputtering
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/50—Substrate holders
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/56—Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
- C23C14/568—Transferring the substrates through a series of coating stations
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
- H01J37/32724—Temperature
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32816—Pressure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67109—Apparatus for thermal treatment mainly by convection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/6719—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67742—Mechanical parts of transfer devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
- H01L21/6833—Details of electrostatic chucks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68742—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68771—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/002—Cooling arrangements
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/20—Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
- H01J2237/2005—Seal mechanisms
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/20—Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
- H01J2237/2007—Holding mechanisms
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/20—Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
- H01J2237/202—Movement
- H01J2237/20221—Translation
- H01J2237/20235—Z movement or adjustment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/20—Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
- H01J2237/202—Movement
- H01J2237/20278—Motorised movement
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32733—Means for moving the material to be treated
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32899—Multiple chambers, e.g. cluster tools
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Robotics (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Drying Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
- Physical Vapour Deposition (AREA)
Abstract
本文公开的实施方式一般涉及一种系统,并且更具体而言,涉及一种基板处理系统。该基板处理系统包括一个或多个冷却系统。所述冷却系统被构造为用以降低和/或控制基板处理系统的主体的温度。所述冷却系统包括用于使用气体和/或液体冷却系统冷却基板处理系统中设置的主体的特征。本文公开的冷却系统能够用在主体设置在任何高度的时候。
Description
背景
技术领域
本公开内容的实施方式一般涉及一种系统,并且更具体而言,涉及一种基板处理系统。
背景技术
传统的群集工具被构造为用以在基板处理期间执行一种或多种工艺。例如,一种群集工具可以包括用于在基板上执行物理气相沉积(PVD)工艺的物理气相沉积(PVD)腔室、用于在基板上执行原子层沉积(ALD)工艺的原子层沉积(ALD)腔室、用于在基板上执行化学气相沉积(CVD)工艺的化学气相沉积(CVD)腔室、和/或一个或多个其他处理腔室。
群集工具的各种腔室包括基板处理系统。这些基板处理系统被构造为用以在基板处理(诸如材料沉积、高温退火、及类似工艺)期间使用静电吸盘(electrostatic chuck)来支撑基板。这些工艺可以将静电吸盘及基板的温度提高到高水平。因此,期望在前述的工艺之后冷却基板及静电吸盘。
本领域中的一个缺点是,冷却系统不能总是与在处理腔室中的不同高度处设置的静电吸盘一起使用。此外,本领域中使用的冷却系统未被设计为一起使用,从而限制了由单一冷却系统使用的冷却速率。
由此,需要一种被构造为用以与可拆卸的静电吸盘一起使用的冷却系统。
发明内容
本公开内容的实施方式一般涉及基板处理系统。本文公开的基板处理系统包括被构造为用以冷却可拆卸的静电吸盘的冷却系统。
在一个实施方式中,提供了一种基板处理系统。这种基板处理系统包括板支撑元件、基座轴件(pedestal shaft)、主体及冷却系统。基座轴件耦接到板支撑元件。主体设置在板支撑元件之上。冷却系统被构造为用以降低或控制主体的温度。冷却系统包括冷却板。冷却板设置在板支撑元件与主体之间。
在另一实施方式中,提供了一种基板处理系统,这种基板处理系统包括板支撑元件、基座轴件、主体及冷却系统。基座轴件耦接到板支撑元件。主体设置在板支撑元件之上。主体具有面向板支撑元件的背侧。冷却系统被构造为用以降低或控制主体的温度。冷却系统包括气体冷却系统。气体冷却系统被构造为用以使气体在主体的背侧上流动。
在又一实施方式中,提供了一种基板处理系统。这种基板处理系统包括板支撑元件、基座轴件、主体及冷却系统。基座轴件耦接到板支撑元件。主体设置在板支撑元件之上。冷却系统被构造为用以降低或控制主体的温度。冷却系统包括喷头、盖、和一个或多个密封构件。喷头包括加压气体入口。一个或多个密封构件被构造为用以在喷头与盖之间形成密封,使得在喷头与主体之间形成高压区域。
附图说明
为了能够详细理解本公开内容的上述特征所用方式,可参考实施方式获得对上文简要概述的本公开内容的更特定的描述,一些实施方式在附图中示出。然而,应注意的是,附图仅示出示例性实施方式,因此不被认为限制本公开内容的范围,且可允许其他等同有效的实施方式。
图1A是上面沉积有多个膜层的基板的部分截面图,这些膜层是通过使用本文公开的方法和/或设备形成和/或处理的。
图1B是上面形成有特征结构的基板的部分截面图,该特征结构是由通过使用本文公开的方法和/或设备形成和/或处理的所沉积材料的层覆盖、加衬(lined)和填充的。
图2A是根据一个或多个实施方式的包括处理模块的处理系统的平面图,该处理模块中包括用于处理基板的处理站。
图2B是根据一个或多个实施方式的包括多个处理模块的处理系统的替代版本的平面图,这些处理模块中各自包括用于处理基板的处理站。
图3A是根据一个或多个实施方式的图2A的处理模块的等角视图。
图3B是根据一个或多个实施方式的图2A的处理模块的平面图。
图4A是根据一个或多个实施方式的图2A的处理模块的一部分的部分截面图,示出了处于处理模块的处理站下方的移送位置的基板支撑件。
图4B是根据一个或多个实施方式的图2A的处理模块的部分横截面图,示出了升举到处于处理位置以于此形成密封的基板处理空间的基板支撑件。
图4C是根据一个或多个实施方式的图2A的处理模块的一部分的部分截面图,示出了处于处理模块的处理站下方的移送位置的基板支撑件的替代构造。
图4D是根据一个或多个实施方式的图2A的处理模块的部分横截面图,示出了升举到处于处理位置以于此形成密封的基板处理空间的图4C中示出的基板支撑件的替代构造。
图5A是根据一个或多个实施方式的可用于在图2A的处理模块中的处理站之间移动基板的机器人的示意性平面图。
图5B是根据一个或多个实施方式的图5A的机器人的实施方案的等角视图。
图5C是根据一个或多个实施方式的图5A及图5B的替代机器人构造的平面图。
图6是根据一个或多个实施方式的图4B的处理模块的处理站的部分横截面图。
图7A是根据一个或多个实施方式的包括结构支撑组件(structural supportassembly)的处理模块的等角视图,该结构支撑组件可用于维持处理模块内设置的源组件的处理表面与基板支撑表面的共面(co-planarity)。
图7B是根据一个或多个实施方式的在图7A中示出的处理模块的腔室上壁上设置的结构支撑组件的特写(close-up)等角视图。
图8是根据一个或多个实施方式的沿着图7A中示出的剖面线8-8形成的处理模块的侧面横截面图。
图9A是根据一个或多个实施方式的图2A的处理模块的替代构造的平面图。
图9B是根据一个或多个实施方式的图9A的处理模块的下部主体部分的平面图,图示了其中的桨式机器人(paddle robot)的运动路径(motion path)。
图10A至图10E示出了根据一些实施方式的基板处理系统的示意性侧视图。
为了便于理解,相同的参考数字在可能的情况下已经用于标识图中共有的相同元件。可以预期,一个实施方式的元件及特征可有利地并入其他实施方式中,而无需进一步叙述。
具体实施方式
本文公开的实施方式一般涉及一种系统,并且更具体而言,涉及一种基板处理系统。这种基板处理系统包括一个或多个冷却系统。冷却系统被构造为用以降低和/或控制基板处理系统内设置的主体(例如,静电吸盘)的温度。冷却系统包括用于使用气体和/或液体冷却系统冷却主体的特征。主体是可从位于基板处理系统内的板支撑元件移除的,这允许主体保留在处理腔室中,从而为操作人员减少时间。冷却系统可以串联使用(in tandem),从而允许在同一基板处理系统中有不同的冷却方法。本文公开的实施方式可以用于但不限于基板处理系统主体及其上设置的基板的快速冷却。
在本文提供的公开内容的一个实施方式中,如图2A所示的基板处理系统包括大气压或环境压强基板输入及输出搬运站(handling station)(亦称为前端220)、上面定位有多个处理站260的基板处理模块250、和至少一个中间区段部202。基板被从前端220或从处理模块250移送到中间区段部202中,或从中间区段部202移送到前端220或移送到处理模块250。尽管本文提供的公开内容大体示出了包括六个处理站的处理模块,但此构造不意欲作为对本文提供的发明的范围的限制,因为处理模块250可以替代地包括两个或更多个处理站260,诸如四个或更多个处理站260(例如,图9A至图9B)、八个或更多个处理站260、十个或更多个处理站260、或甚至12个或更多个处理站260。然而,在用于形成包括多层膜堆叠物(像片上电感器(On chip Inductor))、光学膜堆叠物、硬掩模、图案化及存储器应用的下一代装置的工艺流程(process sequence)中,令人相信的是,因待形成的层的数量及用于形成每个层的类似处理时间的缘故,与本领域中已知的更传统的设计相比,含有六个或十二个处理站的处理模块250构造将改进基板产量、系统占地面积及CoO。
处理系统(诸如图2A及图2B的处理系统200)用于在基板S的表面上和/或先前在基板S上形成或处理的层上形成一个或多个薄膜。图1A图示了上面形成有多个薄膜层102及103的基板S的部分101,并且图1B图示了在基板S的部分121上形成的先前形成的层122层之上延伸的多个膜层。
在图1A中,示出多个膜层104按顺序层叠在基板S的部分101上或者层叠在该部分101上先前形成的层上。例如,在使用图2A的处理系统的情况下,其中在基板处理模块250内可进出(accessible)六个处理站260A-260F,可沿着虚线圆252(图3B)的圆周按顺序移动基板,该虚线圆与每个处理站260的中心位置相交,使得可以在该基板上按顺序沉积多个第一膜类型层102及多个第二膜类型层103。每个处理站260A-260F可以被独立地或类似地构造为使得能够进行沉积工艺(例如PVD、CVD、ALD(原子层沉积)或其他类型的沉积工艺)或蚀刻工艺。例如,可在基板上沉积金属层102A-102C并且金属层102A-102C由金属构成,并且可在基板上沉积反应性金属层103A-103C并且反应性金属层103A-103C由反应性金属(例如,金属氮化物)构成,其中反应性金属层103A-103C中的金属是与金属层102A-102C中的金属相同的金属。在该实例中,在处理模块250中执行的基板处理流程(processing sequence)期间,处理站260B、260D及260F用于通过采用反应性PVD沉积工艺在基板的暴露表面上形成反应性金属层103A-103C(例如,TaN层、TiN层、AlN层或SiN层),并且处理站260A、260C及260E用于通过采用非反应性PVD沉积工艺形成纯金属层102A-102C(例如,Ta层、Ti层、Al层、Co层、Si层),使得该工艺流程允许形成金属层后接着形成反应性金属层。通过在所有处理站260A-260F中按顺序移动和按顺序处理基板,可以形成纯金属/反应性金属/纯金属/反应性金属/纯金属/反应性金属多层膜堆叠物。或者,薄膜层102及103的基底材料可以是不同的材料,在这种情况下在处理站260A、260C及260E中溅射第一材料类型的溅射靶,并且在处理站260B、260D及260F中溅射第二类型的靶以形成第一材料类型及第二材料类型的交替层。这里,例如,可以形成金属层102A-102C与介电层103A-103C的交替层、或者金属层102A-102C与半导体层103A-103C的交替层,例如,钼与硅的交替层。同样,可形成相同材料的多层膜,其中所有层102A-102C及103A-103C是相同的材料,并且基板可被按顺序地移动到每个处理站以在每个处理站260中使相同材料沉积在那上面。选择溅射靶材料、处理参数(例如,处理压强)及用于在处理站260中形成等离子体的气体的惰性或反应性质是用户可选择的,以允许处理系统200的用户或操作人员能灵活控制那上面形成的膜堆叠物内的任何膜的材料及材料性质。已经发现,用于形成如图1A所示的重复堆叠的层构造的基板处理流程,其中堆叠的层沉积工艺(例如,用于形成薄膜层102及103的工艺)具有类似的腔室处理时间,当使用本文公开的一种或多种系统构造及方法时已经观察到显著的产量增加及改进的CoO。在一个实例中,已经发现,包括具有小于90秒(诸如在5秒与90秒之间)的处理时间的堆叠的层沉积工艺的基板处理流程与使用本文描述的架构实现的添加下部基板移送额外负担(overhead)时间(在下文进一步论述)结合,这具有优于当前传统的处理系统设计的显著优点。
装载到处理模块250中的基板不需要在每个处理站260A-260F处处理。例如,每个处理站260A-260F可以采用相同的溅射靶材料,数量等于处理站260的数量的基板被装载到处理模块250中,并且每个基板在处理站260中的不同的一个处理站中被处理,用于在该基板上沉积相同材料膜层。之后,从处理模块250移除所有这些基板,并且将相等数量的基板再次装载到处理模块250中,并且执行通过这些处理站中不同的单个处理站对这些基板中每一基板的处理。或者,在沿着虚线圆的圆周排列的每个相邻处理站中执行不同的工艺。例如,在处理站260A、260C及260E中执行用于沉积第一类型的膜层的第一沉积工艺,并且在处理站260A、260C及260E中执行用于沉积第二类型的膜层的第二沉积工艺。然而,在这种情况下,单个基板仅被暴露于两个处理站260,例如,第一基板仅被暴露于处理站260A及260B,第二基板仅被暴露于处理站260C及260D,并且第三基板仅被暴露于处理站260E及260F。然后移除这些基板。同样,系统中的每个基板工艺可以在至多所有处理站260中处理,并且在每个处理站260处执行的工艺可以与剩余处理站260中的一个处理站或所有处理站相同或不同。
参见图1B,图示了向介电层122之内延伸的特征结构126。这里,特征结构(诸如沟槽、触点、或过孔(via))已经诸如通过经图案化的掩模(未示出)对介电层122进行图案蚀刻而形成到介电层122中并且穿过介电层122。在图1B中,特征结构126是设置在过孔开口128中的导电过孔,其中过孔开口128向下延伸到先前在基板(未图示)上形成的下层导电层121,例如,在集成电路装置中使用的铜层。为了在过孔开口128中形成特征结构126,首先在介电层122的上表面或区127之上、在过孔开口128的侧壁之上、并且在过孔开口128的基底处暴露的导电层121的那部分之上形成阻挡层123。阻挡层123可包括单一材料层、或堆叠物形式的两种或更多种不同材料。例如,可采用钽膜层接着氮化钽膜层的双层,并且该双层的每个子层可在图2A的处理站260A-260F的一个处理站或多个处理站中形成。然后,在先前沉积的阻挡层123之上形成晶种层(seed layer)124(例如,薄铜层)。晶种层124用于促进在与处理系统200分离的镀铜工具中的在那之上镀铜层125。这里,在使用处理系统200的情况下,钽双层可以从钽靶溅射到处理站260A、260B处的同一基板上,然后氮化钽层可以在处理站260C、260D中通过在惰性气体-氮气等离子体中反应性溅射钽靶而被沉积在钽层上以在钽层上形成氮化钽层,并且铜晶种层通过在处理站260E、260F处的惰性气体等离子体中溅射铜靶而被形成在氮化钽层之上。或者,可以在处理站260B或260E中的基板上的氮化钽层、在处理站260C或260F中的基板上沉积的铜晶种层、以及在处理站260A或260D中的基板上沉积钽层。在该构造中,在处理站260A-260C中按顺序处理第一基板,并且在处理站260D-260F中按顺序处理第二基板。如将在下文进一步讨论的那样,相信当使用本文公开的这些系统设计及方法中的一种或多种时,如图1B所示的用于在处理流程的设定分组中沉积材料的基板处理流程将实现显著的产量增加。
再次参见图2A,处理系统200大体包括处理模块250、在处理模块250与前端220之间耦接的中间区段部202、和系统控制器299。如图2A所示,中间区段部202包括一对装载锁定腔室230A、230B和一对中间机器人腔室280A、280B。装载锁定腔室230A、230B每一个单独地在该腔室的一侧通过各自的第一阀225A、225B连接到前端220,并且通过各自的第二阀235A、235B分别连接到中间机器人腔室280A、280B之一。在操作期间,前端220中的前端机器人(未图示)将基板从前端220移动到装载锁定腔室230A或230B中,或从装载锁定腔室230A、230B移除基板。然后,连接到装载锁定腔室230A、230B中相关联的一个装载锁定腔室的相关联中间机器人腔室280A、280B的一个相关联中间机器人腔室中的中间机器人285A、285B将基板从装载锁定腔室230A或装载锁定腔室230B移动到对应的中间机器人腔室280A、280B中。在一个实施方式中,中间站202亦包括连接到中间机器人腔室280的预清洁/除气腔室292,例如连接到中间机器人腔室280A的预清洁/除气腔室292A和连接到中间机器人腔室280B的预清洁/除气腔室292B。从前端220装载到装载锁定腔室230A、230B之一中的基板由相关联的中间机器人285A或285B从装载锁定腔室230A或230B移动到预清洁/除气腔室292A或292B中。在预清洁/除气腔室292A、292B中,加热基板以从那里挥发任何吸收的水分或其他可挥发的材料,并且基板经受等离子体蚀刻工艺,由此移除基板上的残留污染物材料。之后,基板由适当的相关联的中间机器人285A或285B移动回到对应的中间机器人腔室280A或280B中,并且从那里移动到基板处理模块250中的处理站260(这里是处理站260A或260F)处的基板支撑件672(图4A、图4B)上。在一些实施方式中,如图4A及图4B中示出,一旦将基板S放置在基板支撑件672上,该基板就留在基板支撑件672上直到处理模块250中对基板的所有处理完成为止。
这里,装载锁定腔室230A及装载锁定腔室230B每一个都连接到真空泵(未图示),例如低真空泵(roughing pump),该泵的输出连接到排放管道(未图示),用于将装载锁定腔室230A、230B内的压强减小到在约10-3托的数量级的亚大气压(sub-atmosphericpressure)。每个装载锁定腔室230A或230B可连接到专用于该腔室的真空泵、或与处理系统200内的一个或多个部件共享的真空泵、或连接到不同于真空泵的壳体排放装置(houseexhaust),用于减小该腔室中的压强。在每种情况下,可以在装载锁定腔室230A、230B的到泵或壳体排放装置的排放装置上提供阀(未图示),从而当第一阀225A或225B分别打开并且装载锁定腔室230A、230B的内部暴露于大气压或环境气压(ambient pressure)条件时,隔离、或实质上把连接到真空泵或壳体排放装置的装载锁定腔室230A、230B的泵送出口与装载锁定腔室230A、230B的内部空间隔离开。
例如在预清洁/除气腔室292B中已经处理基板之后,中间机器人285B从预清洁/除气腔室292B移除基板。打开设置在中间机器人腔室280B与处理模块250之间的处理腔室阀244B以暴露处理模块250的壁中形成的开口504B(图3A及图4A),并且中间机器人285B将基板移动通过开口504B,移动到处理模块250的处理站260F,在那里接收该基板,用于在处理模块250的一个或多个处理站内进行处理。以相同方式,可以将基板从前端220移动通过装锁定腔室230A,移动到预清洁/除气腔室292A,然后通过处理腔室阀244A(图2A)及处理模块250壁中的开口504A移动到处理模块250以在处理站260A处接收该基板。或者,可去除处理腔室阀244A、244B,而是中间机器人腔室280A、280B与处理模块250的内部直接不间断地流体连通。
装载锁定腔室230A、230B及中间机器人腔室280A、280B每一腔室被构造为用以将基板从前端220传递到处理模块250中,以及从处理模块250传递到前端220中。因此,关于第一中间机器人腔室280A,为了移除位于处理模块250的处理站260A处的基板,打开处理腔室阀244A,中间机器人285A从处理站260A移除基板并且移动该基板通过连接在中间机器人腔室280A与装载锁定腔室230A之间的打开的第二阀235A,从而将该基板放置在装载锁定腔室230A中。在上面移动基板的中间机器人285A的端效器从装载锁定腔室230A缩回,它的第二阀235A关闭,并且装载锁定腔室230A的内部空间视情况与连接到装载锁定腔室230A的真空泵隔离。然后,打开连接到装载锁定腔室230A的第一阀225A,前端220的机器人拾取装载锁定腔室230A中的基板并且将该基板移动到位于前端220内或连接到前端220的侧壁的储存地点,诸如盒或FOUP 210。以类似方式,在使用中间机器人腔室280B、中间机器人285B、装载锁定腔室230B及其相关联的阀235B及225B的情况下,基板可以从处理站260F的位置移动到前端220。在将基板从处理模块250移动到前端220期间,一个不同的基板可位于连接到正被移动到前端220的基板所经过的中间机器人腔室280A、280B的预清洁/除气腔室292A、292B内。因为每个预清洁/除气腔室292A、292B与所附接的中间机器人腔室280A、280B被阀隔离,所以可以进行将不同的基板从处理模块250传递到前端220而不干扰在各预清洁/除气腔室292A、292B中处理基板的工作。
系统控制器299控制处理系统200中发现的自动化部件的活动及操作参数。总地来说,利用系统控制器299所发送的命令,使用本文公开的各种自动化装置执行基板经过该处理系统的大部分移动。系统控制器299是用于控制处理系统200中发现的一个或多个部件的通用计算机。系统控制器299一般被设计为促进对本文公开的一个或多个处理流程的控制和自动化,并且通常包括中央处理单元(CPU)(未示出)、存储器(未示出)及支持电路(或I/O)(未示出)。软件指令和数据可以被编码并且被存储在存储器(例如,非暂时性计算机可读介质)内,用于对CPU发指令。可由系统控制器内的处理单元读取的程序(或计算机指令)确定哪些任务是处理系统中可执行的。例如,非暂时性计算机可读介质包括一种程序,当由处理单元执行该程序时该程序被配置为用以执行本文描述的一种或多种方法。优选地,该程序包括代码,用于执行关于监控、执行和控制基板的移动、支撑、和/或定位的任务连同各种工艺配方任务和正在执行的各种处理模块工艺配方步骤。
参见图2B,示出了处理系统200A的替代构造,其中移送腔室240介于装载锁定腔室230A、230B与一个或多个处理模块250之间。尽管不意欲作为对本文的公开内容的范围的限制,但图2B所示的处理系统200A不包括分开的中间机器人腔室280A、280B或预清洁/除气腔室292A、292B。这里,利用中间移送腔室240(有移送腔室机器人242位于其中),多个处理模块250能够连接到单个前端220。这里,移送腔室240在平面图中大体是矩形,并且包括四个大致平坦的直立壁246,处理模块250附接到这些直立壁中的三个直立壁并且装载锁定腔室230A、230B分别经由第二阀235A、235B及开口244A、244B连接到第四个直立壁。这里,在关闭腔室相应的第二阀235A、235B时前端机器人将基板放置到装载锁定腔室230A、230B之一中之后,相应地,然后关闭腔室的第一阀225A、225B,打开腔室的第二阀235A、235B,移送腔室机器人242(在图2B中示意性图示出)从装载锁定腔室230A或230B取出基板并且经过处理系统阀248将该基板放置到处理模块250的第一处理站260A之一中,该处理系统阀248是选择性地可打开的以将基板在站260A处移动到处理模块中和移动出该处理模块,并且是可关闭的以将移送腔室240的内部空间与处理模块250的内部空间(例如,移送区域401)隔离开。
由于在处理系统200A内不存在如在图2A的处理系统200中那样的中间机器人腔室280A、280B和/或预清洁/除气腔室292A、292B,所以基板的预清洁发生在一个或多个处理模块250内(诸如在处理站260A处)以允许在基板上沉积膜层之前执行预清洁工艺。
参见图2A、图3A至图3B、图4A至图4B、图5及图8,其中示出了在处理模块250内的部件及内部区域的进一步细节。如图4A及图4B中所示,可移除的中心盖690在处理模块250的上壁616中的中央开口713(图2A、图3B、及图8)之上延伸。中心盖690是可移除的以允许通往处理模块250的内部移送区域401,用于服务它的中央移送机器人245。至少一个基板移送开口(在图3A及图4A至图4B的处理模块250的情况下为两个基板移送开口504A、504B)从周壁619的外表面向内延伸并且延伸到处理模块250的移送区域401中。移送开口504A、504B允许中间机器人285A、285B或中央移送机器人245把位于处理模块250外部的基板移送到位于中央移送机器人245的支撑臂308上的基板支撑件672上的位置。或者,移送开口504A、504B允许中间机器人285A、285B或中央移送机器人245从位于中央移送机器人245的支撑臂308上的基板支撑件672移除基板。
在图4A及图4B中,图示了图2A及图3A至图3B的处理站260F,其中开口504B打开成在处理站260F处通入处理模块250中。在该实例中,开口504A的位置对应于相邻于处理站260A的位置。处理模块250被构造为包括中央移送机器人245(图3A、图3B),多个支撑臂308从该中央移送机器人径向延伸。在一些实施方式中,如图5A所示,支撑臂308的数量等于处理模块250的处理站260的数量。然而,中央移送机器人245的支撑臂308的数量可以小于或大于处理模块250的处理站260的数量。在一个实施方式中,支撑臂308的数量大于处理站260的数量以允许更多的基板一次被移送通过移送区域和/或允许一些支撑臂308支撑额外的硬件部件,诸如用于执行PVD粘贴操作(pasting operation)以从PVD靶的表面移除污染物的粘贴盘(pasting disk)(未图示)。PVD粘贴操作通常在同一基板处理站260中执行的两个基板PVD沉积工艺之间在该处理站260中进行。
沿着以中心轴253(亦即,平行于Z方向)为中心的虚线圆252(图3B)排列处理站260,并且这些处理站260彼此周向地且等间隔地间隔开,使得虚线圆252的中心与中心轴253重合。例如,在处理站260F是PVD类型的处理站260的情况下,PVD靶的中心覆盖虚线圆252的一部分,并且剩余的处理站260A-260E的靶的中心沿着虚线圆252彼此周向地且等间隔地间隔开。在两个相邻处理站260的中心之间沿着虚线圆252测量的周向间隔可以在约700mm与约1000mm之间,诸如在800mm与900mm之间。在一些实施方式中,在两个相邻处理站260的中心之间沿着虚线圆252测量的周向间隔可以等于或大于正在处理系统内处理的基板的直径的约0.5并且小于正在处理系统内处理的基板的约3个直径,诸如在约1与约2个基板直径之间(例如,150mm、200mm、300mm或450mm直径基板)。
参见图3A至图3B、图4A至图4B及图5A至图5B,中央移送机器人245是一种转盘式(carousel type)机器人组件501,该机器人组件包括中央支撑件305,支撑臂308的近端561固定到该中央支撑件,诸如通过螺纹紧固件(未图示)固定。中央支撑件305被位于处理模块250下方的转盘电机457(图4A至图4B及图8)旋转,并且可包括耦接到下壁618(图4A)的步进电机或伺服电机。转盘电机457可以包括耦接到中央支撑件305并且与中心轴253重合的驱动轴件457A,以便使中央支撑件305和每个支撑臂308随着转盘电机457的驱动轴件旋转而旋转经过以中心轴253为中心的一段弧。当支撑臂308和基板支撑件672被转盘电机457旋转时,支撑臂308和基板支撑件672所经过的旋转空间(revolved volume)的最上表面在本文中一般被称为移送平面,该移送平面平行于图4A中的X-Y平面。中央支撑件305和每个支撑臂308位于单独由真空泵454抽空的移送区域401内,该真空泵可以是涡轮泵、低温泵、低真空泵或能够维持处理模块250的移送区域401内期望压强的其他有用装置。中央支撑件305大体位于下部整体件(monolith)720的下壁618中形成的中央开口723(图8)之上。如将在下文进一步讨论的那样,处理站260的移送区域401及处理区域460是分开地可隔离的,使得处理站260中正在进行的工艺能够被控制并且能够在与移送区域401不同的真空压强下进行,并且能够使用各种不同的处理气体而不必顾虑污染移送区域401或其他相邻定位的处理站260。
在一些实施方式中,支撑臂308被构造为用以支撑基板支撑件672,该基板支撑件被构造为用以支撑将要在处理站260的处理区域中处理的基板。位于基板支撑件672(这些基板支撑件位于支撑臂308上)上的基板被定位成使得基板的中心位于虚线圆252的一部分之上,在那上面放置基板的容限范围内。同样,上面放置基板支撑件的每个支撑臂308的那个区域或支撑部分560(图4A)亦与虚线圆252(图3B及图5A)对准,以在中央支撑件305被绕着中心轴253旋转时使得支撑部分560的中心能够随着支撑部分560绕中心轴253转动(orbit around)而横过虚线圆252。
参见图5B,图示了一种可用于在图2A及图2B的处理站260A-260F之间移送基板支撑件672的中央移送机器人的构造。这里,中央支撑件305包括中心定位的贯通开口500,该贯通开口以中心轴253为中心,并且位于处理模块250下方的转盘电机457(图4A)的驱动轴件457A连接到该贯通开口中以使中央支撑件305绕着中心轴253旋转。每个支撑臂308包括位于支撑部分560与近端561之间的延伸臂部分506。延伸臂部分506具有至少一个(这里有两个)减轻重量及减少热传导的切口(cutout)区域510,这些切口区域大体平行于从中心轴253延伸的半径的任一侧延伸。在一些构造中,如在平面图中看到的那样,延伸臂部分506终止于c形末端区域508,并且形成支撑部分560的一部分。在一些构造中,c形末端区域508包括间隔开一距离的相对末端514、516,该距离小于c形末端区域508部分地围绕的贯通开口518的直径520。大体为圆形布局的基板支撑件672的周边凸缘670(图4A)具有内径及外径,并且被构造为用以在处理站260之间移动基板支撑件672期间搁置在支撑部分560的顶部上并且处于处理站260处,之后基板支撑件672被从那里升举起来。
参见图4A至图4B,在一些实施方式中,支撑臂308包括多个电气触点453(图4B),这些电气触点设置在支撑臂308的上表面上并且在支撑部分560内。电气触点453用于在基板支撑件672支撑在支撑臂308上时将电力提供到基板支撑件672的主体643(图6)内形成的一个或多个电气元件。基板支撑件672内形成的一个或多个电气元件可以包括耦接到基板支撑件672的下表面上形成的两个或更多个电气触点673(图4A)的电阻式加热元件642(图6)、和/或分别耦接到基板支撑件672的下表面上形成的两个或更多个额外电气触点673的一个或多个吸附(chucking)电极641(图6)。如图4A至图4B中示意性示出的那样,电气触点453利用集电环(slip ring)456电气耦接到一个或多个电源,诸如DC吸盘电源458和/或加热器电源459,该集电环适于允许在支撑臂308被转盘电机457旋转时使电气连接到电气触点453。多个导体或导线455用于将一个或多个电源连接到电气触点453。这些导体或导线455取道穿过电机轴件457A、中央支撑件305、和位于处理模块250的移送区域401内的支撑臂308。例如,耦接到电源458的三条导线和耦接到电源459的两条导线被提供成穿过每个支撑臂308,使得它们可以各自单独连接到电气触点453。由此,当基板支撑件672位于支撑部分560上时,基板支撑件672的电气触点673被电气耦接到支撑臂308的电气触点453,使得在基板S及基板支撑件672在移动区域401内被移送时基板可以保持被吸附到基板支撑件672,并且可以维持期望的温度。使得在移送工艺期间基板S能够被吸附和被加热的能力允许在移送过程期间由转盘电机457实现更大的旋转速度而不必担心丢失基板,并且允许在每个处理站260中执行的工艺之间一致地维持基板的温度。
图5C是包括替代机器人构造的中央移送机器人245的平面图,该替代机器人构造是包括两个端效器530、532的双臂机器人540。包括双臂机器人540的中央移送机器人245可以在以下情况下使用:在处理模块250中执行的基板处理流程不包括或需要沿着一条路径按顺序移送基板,该路径在任一方向上沿着虚线圆252延伸。在该处理模块250构造中,基板支撑件672需要在横向平面(亦即,X-Y平面)中不是可移动的,使得每个基板支撑件672被维持在处理站260下面的X-Y平面中的一个位置中,并且在处理期间,基板在横向固定的基板支撑件672之间由双臂机器人540移送。
在一些实施方式中,双臂机器人540的两个端效器530、532是独立地可操作的,并且从中心轴505延伸且绕着中心轴505弧形地摆动,该中心轴在Z方向上(例如,垂直于图5C的平面)延伸并且通常与处理模块250的中心轴253重合。每个端效器530、532可操作地耦接到中央毂(hub)536,该中央毂由各自能独立地绕着中心轴505旋转的上部可旋转毂及下部可旋转毂(未图示)构成。端效器530包括第一叉537a及第一臂538。第一毂臂542在第一毂臂542的第一末端处耦接到中央毂536,并且在第一腕关节连接件544处在端效器536a远侧的第一毂臂542的末端处耦接到第一臂538,由此第一臂能绕着第一腕关节轴Ω1枢转以允许第一端效器530绕着第一腕关节轴Ω1旋转。同样,借助于第一毂臂绕着中心轴505的弧形移动,第一腕关节连接件544且因此第一腕关节轴Ω1能够绕着中心轴505转动。端效器532包括第二叉537b及第二臂546。第二毂臂548在第二毂臂548的第一末端处耦接到上部可旋转毂并且在第二腕关节连接件550处在第二端效器532远侧的第二毂臂548的末端处耦接到第二臂546,由此第二臂546能绕着第二腕关节轴Ω2枢转以允许第二端效器532绕着第二腕关节轴Ω2旋转。同样,借助于第二毂臂548绕着中心轴505的弧形移动,第二腕关节连接件550且因此第二腕关节轴Ω2能够绕着中心轴505转动。此外,由于第一端效器530及第二端效器532分别通过上部可旋转毂和下部可旋转毂可操作地连接到中央毂536,所以端效器530、532的叉537a、537b可在竖直方向上覆盖彼此,例如,用以允许叉537a或537b之一从基板支撑件672接收和收回基板,同时一旦已经从基板支撑件672移除第一基板,叉537a或537b中的另一叉向处理模块250内移动不同的基板以将基板放置在基板支撑件672上。
当第一端效器530及第二端效器532的臂(第一臂538及第一毂臂542、或第二臂546及第二毂臂548)共对齐(co-align)时,亦即,当它们一起形成直线路径时,第一端效器530及第二端效器532的叉537a、537b每一个都能够从中心轴505延伸最大距离。在臂的这种定向中,第一及第二叉537a或537b之一处于装载或卸载位置以相对于基板支撑件672接收或留下基板。从该位置,借助于上部或下部毂绕着中心轴505及第一或第二臂538、546之一绕着对应的第一腕关节轴Ω1或第二腕关节轴Ω2的弧形移动,对应的叉537a或537b朝向中央毂536缩回。通过在处理模块250中定位双臂机器人540,并且将中心轴505定位在中心轴253的位置处,叉537a、537b可操作成在处理站260A-F的任一处理站处并且独立于彼此而访问任何基板支撑件672。因此,在采用双臂机器人549的结构的机器人的情况下,基板可以从处理站260A-F中的任何处理站移动到处理站260A-260F中的任何其他处理站,而不需沿着虚线圆252经过任何中间处理站260A-260F。
图4A至图4B及图6包括处理站260F及处理模块250的各部分的横截面图,并且意欲大体示出可以位于处理模块250内的处理站的各种部件及属性。尽管在这些图中示出的处理站260F的构造适于执行PVD沉积工艺,但该处理站构造不意欲做为对本文提供的公开内容的范围的限制,因为如上文提及的那样,处理模块250内的一个或多个处理站260可以适于执行CVD、PECVD、ALD、PEALD、蚀刻、热处理(例如,RTP、退火、冷却、热管理控制)或其他有用的半导体或平面显示器面板基板处理步骤。然而,令人相信的是,由于减少的处理交叉污染的机会(例如,更小的残留气体交叉污染的机会)和普遍由PVD工艺实现的更高沉积速率的缘故,与利用其他沉积和蚀刻工艺的其他处理模块构造相比,主要包括或仅包括被构造为用以执行PVD沉积工艺的处理站的处理模块250在许多半导体装置形成应用中是有益地。
处理站260大体包括源组件470、处理配件组件480及基板支撑致动组件490,当一起使用时,这些组件使得在处理站260的处理区域460内能够执行期望的工艺。在本文提供的公开内容的各个实施方式中,在每个处理站260内的处理区域460被构造为能与处理模块250的移送区域401分开地隔离,并且因此实质上防止电磁能、蒸汽、气体或其他不期望的污染物不利地影响在相邻处理站中或在移送区域401内正在执行的工艺和基板。当与移送区域401隔离时,在处理站260内执行的基板处理步骤期间,处理区域460大体由源组件470的一个或多个处理表面、处理配件组件480内的一个或多个处理区域部件685、及基板支撑件672包围。
如上文讨论并且在图4A中图示的那样,处理站260F的源组件470被构造为用以执行PVD沉积工艺。在该构造中,源组件470包括靶472、磁控管组件471、源组件壁473、盖474及溅射电源475。在该构造中,PVD靶472的处理表面472A大体界定处理区域460的上部的至少一部分。磁控管组件471包括磁控管区域479,其中在处理期间利用磁控管旋转电机476旋转磁控管471A。通常通过将冷却流体(例如,DI水)从流体再循环装置(未示出)递送到磁控管区域479来冷却靶472和磁控管组件471。磁控管471A包括多个磁体471B,这些磁体被构造为用以产生在靶472的处理表面472A下方延伸的磁场以促进在PVD沉积工艺期间在处理区域460内执行的溅射工艺。
适于执行CVD、PECVD、ALD、PEALD、蚀刻、或热处理工艺的处理站260的替代构造,源组件470将大体包括不同的硬件部件。在一个实例中,适于执行PECVD沉积工艺或蚀刻工艺的处理站的源组件470通常包括气体分配板或喷头,该气体分配板或喷头被构造为用以在处理期间将前驱物气体或蚀刻气体递送到处理区域460中并且遍及处理站259内设置的基板表面。在该构造中,界定处理区域460的至少一部分的一个或多个处理表面是气体分配板或喷头的下表面(例如,接触处理区域的表面)。在该构造中,不使用磁控管组件471和靶,并且溅射电源475可以用被构造为用以给气体分配板加偏压的RF电源替换。
基板支撑致动组件490包括基座升降组件491及基座组件492。基座升降组件491包括耦接到处理模块250的下壁618的升降安装组件766和升降致动器组件768。升降致动器组件768可包括步进电机或伺服电机致动的丝杠组件、直线电机组件、气缸致动的组件或其他传统的机械线性致动机构。在操作期间,升降致动器组件768及升降安装组件766被构造为用以利用在升降致动器组件768内发现的一个或多个机械致动器(例如,伺服电机、步进电机、直线电机)将基座组件492定位在竖直地(Z方向)位于支撑臂308下方的移送位置(图4A)(亦即,移送平面)和竖直地位于支撑臂308上方的处理位置(图4B)中。升降致动器组件768耦接到由轴承(未图示)支撑的基座轴件492A,这些轴承耦接到下壁618以随着基座轴件492A被升降致动器组件768平移来引导该基座轴件。波纹管组件(未示出)用于在基座轴件492A的外径与下壁618的一部分之间形成密封,使得在正常操作期间维持利用真空泵454在移送区域401内建立的真空环境。令人相信的是,与不允许进行分开的对准及调节的将多个基板定位在单一支撑结构上的传统设计相比,使用分开的且专用的基座升降组件491具有显著优点,这些分开的且专用的基座升降组件被构造为用以将基板S及基板支撑件672精确地定位在每个处理站260中的期望的处理位置中,并且还可以分开地且令人满意地与处理站260内的源组件470(例如,靶472)内的部件对准。在下文结合图7A及图7B进一步讨论关于将基板S定位及对准到源组件470的部件的重要性及议题的实例。
基座组件492包括耦接到板支撑元件493的支撑板组件494,该板支撑元件耦接到基座轴件492A。基座组件492包括加热器电源498、静电吸盘电源499及背侧气源497。
在一些实施方式中,支撑板组件494包括设置在支撑板494A的上表面上的多个电气触点496(图4A)。加热器电源498及静电吸盘电源499各自电气耦接到两个或更多个电气触点496。当由支撑板494A从支撑臂308的支撑部分560升举基板支撑件672时,电气触点496用于将电力提供到基板支撑件672内形成的一个或多个电气元件。电气触点496被构造为用以与基板支撑件672的下表面上形成的电气触点673配合(mate with)。在一些实施方式中,基板支撑件672的下表面上形成的分开的一组电气触点673被构造为用以与支撑板494A的电气触点496配合。在一个实施方式中,分开的这组电气触点673与被构造为用以与支撑臂308的电气触点453配合的电气触点673物理分离。在该构造中,基板支撑件672包括分开的两组触点,这些触点各自适于建立类似的到嵌入基板支撑件672内的电气元件(例如,电阻式加热元件、吸附电极)的电气连接。当基板支撑件672位于处理位置(图4B)中时,设置在基板支撑件672内的电阻式加热元件耦接到与支撑板494A的两个或更多个电气触点496电气连通的两个或更多个电气触点673,这两个或更多个电气触点496耦接到加热器电源498的输出。设置在基板支撑件672内的一个或多个吸附电极耦接到与支撑板494A的两个或更多个电气触点496电气连通的两个或更多个电气触点673。在一个实例中,耦接到加热器电源498的输出的三条导线及耦接到静电吸盘电源499的两条导线被穿过基座轴件492A提供,使得它们能够被分开地连接到它们各自配合的电气触点496。在一些实施方式中,当基板支撑件672位于处理站260内的处理位置中时,在电气触点496与它们各自配合的电气触点673之间形成可靠的可分开的电气连接,这至少部分归因于允许基板支撑件672的一部分重量能使电气触点673的表面顶住(bear against)每个电气触点496的配合表面。因此,当在处理期间基板支撑件672位于支撑板494A上时,使得基板能被基板支撑件672吸附和加热。
在一些实施方式中,支撑板组件494包括可分离的背侧气体连接件495,该背侧气体连接件被构造为用以与基板支撑件672的背侧中形成的背侧气体端口671周围形成的背侧气体接收表面配合。背侧气体连接件495耦接到背侧气源497,该背侧气源被构造为用以将背侧气体(例如,N2、He、Ar)递送到基板支撑件672中形成的背侧气体端口671,并且递送到在处理期间位于基板支撑件672的基板接收表面上的基板与基板支撑件672之间形成的空间,该背侧气体端口671连接到基板支撑件672中形成的气体通道。可分离的背侧气体连接件495因此被构造为用以当基板支撑件672位于支撑板494A上时重复地可密封地连接到基板支撑件672的背侧气体接收表面,并且当支撑板494A处于移送位置(亦即,在支撑臂308下方)时从基板支撑件672拆下。在一些实施方式中,可分离的背侧气体连接件495包括机械加工的金属或顺应性(compliant)密封表面,该顺应性密封表面被构造为用以与背侧气体接收表面的经抛光配合表面配合以形成可重复的气密密封,当基板支撑件672位于处理站269内的处理位置中时,该气密密封至少部分由施加在可分离的背侧气体连接件495的表面上的基板支撑件672的一部分重量形成。
如图4A至图4B及图6所示,处理配件组件480大体包括多个处理区域部件685及位于处理模块250的腔室上壁616的上部处理站开口734之上和/或之内的密封组件485。在图4A至图4B及图6中公开的处理站260的构造实例中,处理区域部件685包括一起至少部分地界定处理站260的处理区域460的基底板481、处理区域屏蔽件482、隔离环483、站壁484、覆盖环486、沉积环488和内屏蔽件489。基底板481被构造为用以支撑处理区域屏蔽件482、隔离环483、站壁484、密封组件485、覆盖环486、沉积环488和内屏蔽件489,并且使得这些部件能够位于处理模块250的上壁616中形成的站开口713上并且作为一个组件从该站开口移除。从介电材料形成的隔离环483被构造为用以支撑靶472并且处于位于基底板481上的站壁484上的位置。隔离环483当靶472被溅射电源475加偏压时用于电气隔离靶472与接地的站壁484。
处理配件组件480亦包括在正常处理期间用于防止大气气体进入处理区域460的多个密封元件1001(例如,O形环)。此外,源组件470被构造为用以利用密封元件1001与处理配件组件480的一部分形成密封,并且处理配件组件480被构造为用以类似地利用密封元件1001与腔室上壁616的上表面形成密封来使得处理区域460能够在处理期间与外部环境隔离。
站壁484包括第一端口484A,该第一端口耦接到真空泵265并且被构造为用以在处理期间通过在屏蔽件489的上部、靶472的下表面与隔离环483及站壁484的一部分之间形成的周向间隙而抽空处理区域460。站壁484亦包括第二端口484B,该第二端口耦接到气源699,并且被构造为用以在处理期间通过周向气室484C将一种或多种处理气体(例如,Ar、N2)递送到处理区域460。
处理区域屏蔽件482位于站壁484的下部上。处理区域屏蔽件482通常用于收集从靶472溅射的沉积物,包围处理区域460的一部分,并且在一些构造中,如图6所示,支撑密封组件485。在该构造中,处理区域屏蔽件482适于在上面支撑有该处理区域屏蔽件的站壁484的表面484D处形成密封,并且类似地在密封组件485的下板485B的表面485D与处理区域屏蔽件482的下表面482A之间形成密封。在处理区域屏蔽件482与站壁484及下板485B的各部分之间形成的这些密封可以各自利用O形环(未图示)、焊接或其他传统的密封方法来形成。
在一些实施方式中,密封组件485包括上板485A、下板485B、及在上板485A与下板485B之间设置的顺应性构件485C。在一些实施方式中,如图6所示,顺应性构件485C包括柔性波纹管组件,该柔性波纹管组件被构造为在诸如竖直方向(亦即,Z方向)之类的至少一个方向上是顺应的,并且被构造为在处理期间防止气体经过那里。柔性波纹管组件可以是在与上板485A及下板485B相对的末端处被可密封地焊接的不锈钢或铬镍铁波纹管组件。
在处理期间,当基板及基板支撑件672位于源组件470下方的处理位置中时,如图4B及图6所示,基板支撑件672的一部分、或附接到该部分的部件(二者在本文中称为基板支撑件672的“密封部分”)适于与密封组件485的一部分形成“密封”,以便实质上流体隔离处理区域460与移送区域401。因此,在图4A至图4B及图6中公开的处理站260的构造实例中,基板支撑件672、靶472、密封组件485、及多个处理区域部件685(包括处理区域屏蔽件482、站壁484、及隔离环483)实质上包围和界定处理区域460。在一些实施方式中,基板支撑件672的密封部分与密封组件485的上板485A之间形成的“密封”建立在密封区域487处,该密封区域由基板支撑件672的密封部分的表面与密封组件485的该部分的表面之间的物理接触形成。在一些更低温的应用中,利用位于基板支撑件672的密封部分的表面与密封组件485的该部分的表面之间的界面处的压力密封(wiper seal)、u形杯密封或O形环(未图示)形成密封。在一些高温应用中,诸如在大于200℃的温度,由在基板支撑件672的密封部分与密封组件485的该部分之间的该界面处形成的金属与金属、或金属与陶瓷接触形成密封。在一些实施方式中,密封组件485的柔性波纹管组件被构造为用以随着利用基板支撑致动组件490中的升降致动器组件768将基板支撑件672的密封部分放置成与密封组件485的该部分的表面接触而在竖直方向上延伸。柔性波纹管组件的顺应性质能够处理在基板支撑件672的密封部分的表面与密封组件485的该部分的表面之间的任何未对准(misalignment)或平面度差异(planarity difference),使得能够在许多循环中在密封区域487处形成可靠且可重复的密封。如图4A至图4D及图6中示出,基板支撑件672的密封部分、密封组件485的密封部分、基板的处理表面和源组件470的下表面(例如,靶472的下表面)的实质上平行的定向/对准也使得能够形成可重复的可靠密封,同时也使得能够在处理期间容易形成和/或维持在基板的处理表面与源组件470的下表面之间的角度对准(angular alignment)。在下文结合图7A至图7B及图8进一步讨论关于在基板的处理表面与源组件470的下表面之间的角度未对准的议题。
然而,在一些替代实施方式中,密封组件485仅仅包含位于基板支撑件672的密封表面与处理区域屏蔽件482的下表面482A之间的界面处的压力密封、u形杯密封或O形环(未图示)以当基板支撑件672位于处理位置中时在其间形成密封。在该构造中,上面形成有密封表面的基板支撑件672的那部分具有大于处理区域屏蔽件482的内径的直径,使得在处理步骤期间基板支撑件位于处理位置中时能够在该密封表面与下表面482A之间形成密封。
在第一处理站260中执行基板处理步骤之后,降低基板S及基板支撑件672,使得它们定位在支撑臂308上。中央移送机器人245然后绕着穿过中央支撑件305延伸的中心轴253旋转中央支撑件305以使支撑臂308、基板S及基板支撑件672做弧形运动经过一段弧,从而将基板支撑件672及基板S转位(index)到第二处理站260下方的位置,基板S在那里被专用于第二处理站260的基座升降组件491在同一基板支撑件672上再次升举到处理位置。在基板S上完成处理之后,然后基板S及基板支撑件672被放回到支撑臂308的末端上并且移送到下一处理站260。然后可以重复多次升高基板S及基板支撑件672、处理基板S、降低基板S及基板支撑件672并且移送基板支撑件672及基板S的处理循环。
在处理模块250内的基板S及基板支撑件672的移送流程期间,每个处理站260的处理区域460与移送区域401直接连通。这种结构设计因去除了对在更传统的设计中发现的隔离每个处理站与移送区域的专用狭缝阀的需要的缘故而降低了系统成本,并且因此亦因减少了移送基板所需的步骤数量的缘故而减少了基板移送额外负担时间(亦即,增加了产量),同时亦使得处理区域460与移送区域401之间的压强能被平衡并且使得能在整个处理模块250更容易且快速地实现期望的基准压强(base pressure)。本文公开的系统设计亦通过消除对在传统的处理系统设计中需要的分开的处理腔室结构(例如,分开的焊接隔室)及支撑硬件(例如,独立的支撑框架、狭缝阀等等)的需要来降低复杂度及成本。此外,此设计及移送流程亦提供额外的优点,因为每个处理站260的处理区域460可以通过以下操作被分开地且选择性地隔离:通过位于每个处理站260处的基板支撑致动组件490基于从系统控制器299(图2A)发送的命令来控制基板支撑件672的移动及位置。例如,以下可能是令人满意的:通过将基板S及基板支撑件672定位在处理站260A及260B内的处理位置中来分开地处理这些处理站260A及260B中的基板,同时处理站260C、260D、260E及260F保持在非隔离状态,这归因于这些位置处的基板支撑件672保持处于移送位置并且因此允许在这些处理站处的处理区域460与移送区域401之间的流体连通。
图4C至图4D是根据一个实施方式的包括处理站260F的替代构造版本的处理模块250的示意性横截面图。在该构造中,处理模块250包括一种替代构造的中央移动机器人245,这种中央移动机器人245包括多个支撑臂309,这些支撑臂被构造为用以将一个或多个基板移送和放置到支撑吸盘(support chuck)组件590的基板支撑表面591A上。基板支撑表面591A形成在附接到基座升降组件491的支撑吸盘组件590上。
图4C图示出了位于基板接收位置或基板移送位置中的支撑吸盘组件590。图4D图示出了位于基板处理位置中时的支撑吸盘组件590。图4C至图4D中示出的支撑吸盘组件590的构造示出了以下设计:支撑吸盘组件590的基板支撑元件591保持附接到基座升降组件491的部件,使得它专用于单一处理站260,并且限于竖直地移动基板,诸如在基板接收位置与处理位置之间移动基板。
支撑吸盘组件590包括板支撑件594,该板支撑件被构造为用以支撑且保持基板支撑元件591并且耦接到基座轴件592A。支撑吸盘组件590包括加热器电源498、静电吸盘电源499及背侧气源497。加热器电源498和/或静电吸盘电源499各自电气耦接基板支撑元件591内形成的一个或多个电气组件。在该构造中,基板支撑元件591的主体包括嵌入其中的一个或多个电阻式加热元件。电阻式加热元件设置在基板支撑元件591的主体内并且与加热器电源498的输出连接电气连通。基板支撑元件591的主体内设置的一个或多个吸附电极与吸盘电源499电气连通。在一个实例中,耦接到加热器电源498的输出的三条导线及耦接到静电吸盘电源499的两条导线被穿过基座轴件592A提供,使得它们可以分开地连接到它们各自的电气元件。
支撑吸盘组件590包括基板支撑元件591中形成的背侧气体端口595。背侧气体端口595耦接到背侧气源497,该背侧气源被构造为用以将背侧气体(例如,N2、He、Ar)递送到基板支撑元件591中形成的气体通道并且递送到在处理期间在基板与基板支撑元件591的表面之间形成的空间。
如上文类似地讨论的那样,在处理期间,当基板及支撑吸盘组件590位于源组件470下方的处理位置中(图4D)时,支撑吸盘组件590的一部分、或与附接到该部分的部件包括密封表面596,该密封表面适于与密封组件485的一部分形成“密封”,以便实质上流体隔离处理区域460与移送区域401。在一些实施方式中,在密封表面596与密封组件485的上板485A之间形成的“密封”通过密封表面596的表面与密封组件485的该部分的表面之间建立的物理接触而建立在密封区域487内。如上文类似地讨论的那样,在一些更低温的应用中,利用位于支撑吸盘组件590的密封表面596与密封组件485的该部分的表面之间的界面处的压力密封、u形杯密封或O形环(未图示)形成密封。此外,在一些高温应用中,诸如在大于200℃的温度,由在支撑吸盘组件590的密封表面596的该部分与密封组件485的该部分之间的该界面处形成的金属与金属、或金属与陶瓷接触形成密封。
参见图4C,中央移送机器人245包括多个支撑臂309,这些支撑臂被构造为用以将一个或多个基板拾取和放置到支撑吸盘组件590的基板支撑表面591A上。在一个实施方式中,中央移送机器人245包括升降机构(未图示),该升降机构被构造为用以至少将中央支撑件305及附接到中央支撑件305的多个支撑臂309从移送位置升高和降低到移送位置下方的基板放下(drop off)位置。支撑臂309与上文描述的支撑臂308类似地安装、成形及构造,不同之处在于支撑臂309被构造为用以在处理站260之间移送基板,而非在处理站260之间移送基板及基板支撑件672。在一个实施方式中,每个支撑臂309包括位于支撑臂309的下侧表面上的多个基板支撑元件309A,使得在基板支撑元件309A的支撑表面309C上支撑的基板能够被直接定位于基板支撑元件591的支撑表面591A上。基板支撑元件309A对面的内边缘309B被定位成隔开一段距离,该距离小于基板的最小的可能的外尺寸(outer dimension),以确保所有可能的基板能够被支撑臂309接收和移送。在基板支撑元件591的上表面和上部中的切口(未图示)被构造为用以与基板支撑元件309A的定向配合,使得在支撑表面591A上设置基板之后基板支撑元件309A不接触或干扰基板支撑元件591,并且使得支撑臂能够绕着中心轴253旋转以将支撑臂309移动到不在支撑吸盘组件590之上的位置。
类似的机械臂构造、或机械臂的端部(作为支撑臂309的基板支撑元件309A的部分)亦可用作中间机器人285A、285B的端效器的一部分以在基板支撑元件591的支撑表面591A上、或替代地在基板支撑件672的主体643的支撑表面674上拾取和放下基板。类似地,如上文所以讨论的那样,在一个实施方式中,中间机器人285A、285B包括升降机构(未图示),该升降机构被构造为用以至少将中间机器人285A、285B的端效器(未图示)升高和降低到移送位置和移送位置下方的基板放下位置,并且从移送位置和基板放下位置升高和降低该端效器。基板支撑元件591、或基板支撑件672的上表面和上部中的一个或多个切口(未图示)被构造为用以与位于中间机器人285A、285B的端效器(未图示)上的基板支撑元件309A的定向配合,使得在基板设置在基板支撑元件591或基板支撑件672上之后基板支撑元件309A不接触或干扰基板支撑元件591或基板支撑件672,并且端效器从不在支撑吸盘组件590或基板支撑件672之上的位置缩回。
已经发现包括使用具有像图4C中示出的支撑元件309A那样的支撑元件的机器人端效器的处理系统200是有用的,这是由于它们允许基板被定位在基板支撑件672或支撑吸盘组件590的基板支撑表面上而不需要使用普遍在本领域中使用的分开的基板升降组件(例如,升降杆、升降箍(lift hoop)和升降致动器),这种分开的基板升降组件用来在基板交换操作期间将基板与基板支撑表面分开。在降低了处理站260的成本和复杂度的同时,使用这种机器人端效器亦去除了对在基板支撑件672或支撑吸盘组件590内形成用来允许升降组件部件(例如,升降杆)接取基板接收表面上设置的基板所需的孔的需要,然后亦去除了对密封基板支撑件672或支撑吸盘组件590中形成的这些孔来试图在处理期间使处理区域460与移送区域401流体隔离的需要。由此,在本文描述的一个或多个实施方式中,基板支撑件672或支撑吸盘组件590不包括用于接纳基板升降部件的通孔(例如,升降杆孔),并且可在一些情况下仅包括用于在处理期间将背侧气体提供到基板的单个通孔,诸如背侧气体端口671、或背侧气体端口595。
尽管在图4C至图4D中示出的替代处理站构造包括一种基板移送流程,这种基板移送流程不包括移动带有基板的基板支撑件,但是处理模块250在这种情况下仍包括相同的基本移送区域401及处理区域460的结构构造以及上文描述的优点。例如,每个处理站260的处理区域460可以通过以下操作被分开地且选择性地隔离:通过在每个处理站260处的基板支撑致动组件490基于从系统控制器299(图2A)发送的命令来控制支撑吸盘组件590的移动及位置。
如上文结合图1A及图1B所讨论的那样,每个处理站260A-260F被构造为用以分别在基板上执行期望的工艺。在一个实例中,沉积工艺分别在处理模块250内的多个处理站中执行。分别执行的沉积工艺可包括随着在处理站260A-260F中按顺序处理基板来经由PVD工艺在基板上按顺序沉积一层。在PVD沉积工艺或溅射工艺期间,由电源475将偏压施加到靶472。施加到靶的偏压致使靶材料的一部分从靶472的面喷射,这归因于由递送到从气源699提供的溅射气体的施加的偏压所形成的离子化气体原子轰击靶的表面。喷射或溅射的材料的通量(flux)包括靶材料的离子化原子和中性原子,这些原子通常朝向所形成的处理区域460的下部行进,并且行进到处理配件组件480的基板S及屏蔽件(例如,内屏蔽件489、处理区域屏蔽件482)的表面。从靶472的表面喷射的离子化靶原子的通量的方向可以通过接地、将直流(DC)或射频(RF)偏压施加到基板支撑件672中形成的电极之一而改变。因此,在一些实施方式中,加热器电源498及静电吸盘电源499包括DC或RF电源,该DC或RF电源被构造为用以将偏压施加到设置在基板支撑件672内的一个或多个吸附电极或加热元件。设置在基板支撑元件591内的吸附电极一般位于基板支撑件672的基板支撑表面处设置的介电材料的正下方(例如,0.1mm-1mm)。然而,因在处理期间不能离子化所有溅射的原子及PVD腔室的结构构造的缘故,PVD工艺被认为是一种视线沉积(line-of sight deposition)工艺,从晶片内(within-wafer;WIW)沉积均匀性的角度来看,该视线沉积工艺在PVD沉积工艺期间受靶的形状及靶472与基板表面的平行度的影响。在一个实例中,在基板的一个边缘处相对于基板的相对边缘的更小的靶与基板间隔(target-to-substrate spacing)将因角度未对准的缘故而导致整个基板的厚度变化。由此,如下文关于图7A至图7B所讨论的那样,与当在移送区域401及处理区域460内不提供真空压强时(诸如在维护活动期间发生的情况)相对,在处理期间,因外部环境压强区域403(图4A)与移送区域401及处理区域460之间产生的压强差的缘故导致的腔室上壁616及下壁618的变形趋于致使靶472的表面偏转并且变得相对于基板支撑件672的表面斜移(angled)。随着处理模块250的尺寸随基板尺寸增大(例如,≧300mm)而增大,每个处理站260内的靶472的偏转亦增大,这归因于腔室上壁616及下壁618需要在X-Y平面(例如,>3m直径)内延伸以使基板能在移送区域401内定位并且在处理站260之间移送的大的整体跨度(overall span)。为了最小化腔室上壁616及下壁618的变形的影响,采用一种结构支撑组件710,从而无论处理模块250是在真空(例如,10托至10-8托)下还是处于环境压强(例如,760托),都最小化腔室上壁616及下壁618的变形并且改进处理站260A-260F的源组件470相对彼此的平行度。
在处理站260中执行PVD处理步骤之后,靶472上的偏压电压返回到零,所产生的等离子体消失,并且如上文结合图4A及图4B中示出的实施方式所讨论的那样,基板S及基板支撑件672被降低回到位于支撑臂308上。
除了沉积工艺之外,可以额外在处理站中执行一个或多个靶粘贴工艺(例如,从靶的表面清洁掉氧化物层或反应性溅射形成的层)和/或腔室清洁工艺。在一个实例中,在粘贴工艺期间,粘贴盘(例如,基板大小的金属盘)被定位在基座升降组件491上并且由基座升降组件491移动到处理位置中以允许在粘贴盘而非基板上执行PVD沉积工艺以清洁靶472的表面。
现在参见图7A、图7B及图8,示出了处理模块250的另外的结构及细节。这里,如图7A所示,处理模块250包括形成处理模块250的下部或基底的下部整体件720、和被密封至下部整体件720并且支撑在下部整体件720上的上部整体件722。在一些实施方式中,下部整体件720和上部整体件722由一些期望的手段焊接、钎焊或熔合在一起以在下部整体件720与上部整体件722之间的界面处形成真空密接(vacuum tight joint)。在一些实施方式中,下部整体件720具有大致板状的结构(该结构具有七个侧刻面(facet)(图2A)),并且包括下壁618,该下壁包括设置在中央区域内设置的中央凹陷724(图8)内的中央开口723、和各自对应于处理站260的位置的多个下部处理站开口725(在图8中图示了两个)。多个基座组件492(在图8中图示了其中的两个)穿过下壁618且从下壁618向下延伸。包括支撑框架728的下部支撑结构727用于支撑下部整体件720及上部整体件722,并且将处理模块250定位在地板(未图示)上方的期望的竖直位置处。
在一些实施方式中,上部整体件722具有大致板状的结构,该结构具有匹配下部整体件720的那些侧刻面的八个侧刻面(图2A)。包括腔室上壁616的上部主要部分711包括设置在中央区域内的中央开口713(图8)、和多个上部处理站开口734(图4A至图4D、图7B及图8),每个上部处理站开口734对应于处理站260的处理配件组件480和源组件470所处的位置。已经发现,在腔室上壁616中形成中央开口713使得中央开口713的直径落入小于约0.5且大于0.3的直径比(该直径比由中央开口的直径与外部区域721的内表面721A处的移送区域401的外围(outer extent)的直径(亦即,腔室上壁616的未支撑的长度)之比定义)中,这使得用户能够有能力通过中央开口713通往处理模块250的中央区域并且出人意料地并不有害地增大因从腔室上壁616的中央移除材料的缘故导致处理期间(例如,在真空下)的腔室上壁616的变形。在该构造中,可移除的中央盖690在中央开口713之上延伸,但一般不耦接到腔室上壁616或用于将额外的结构支撑件提供到腔室上壁616。可移除的中央盖690包括密封件(未图示),当移送区域401被真空泵454维持在真空状态时,该密封件防止外部环境气体泄漏到移送区域401中。下部整体件720及上部整体件722的周边区域721的内表面721A形成移送区域401的外边缘。进出开口504A、504B穿过内表面721A的一部分并且穿过上部整体件722的壁的一部分(图4A至图4D)或下部整体件720的壁的一部分(未图示)延伸。
如上文所讨论的那样,在处理期间,因环境压强区域403与移送区域401及处理区域460之间产生的压强差的缘故,腔室上壁616及下壁618的变形趋于致使源组件470的各部分(例如,靶472的表面)偏转并且变得相对于基板支撑件672的表面斜移。为了最小化腔室上壁616及下壁618的变形,采用一种结构支撑组件710,从而无论处理模块250是在真空下还是处于环境压强,都最小化腔室上壁616和/或下壁618的变形并且改进源组件470的平行度。因制造限制、成本限制及关于运输组装的上部整体件722及下部整体件720的限制的缘故,腔室上壁616通常具有在50毫米(mm)与100mm之间的平均壁厚度(Z方向),并且下壁618亦具有在75mm与150mm之间的平均壁厚度(Z方向)。这里,为了帮助确保此平行度,上部整体件722包括结构支撑组件710,该结构支撑组件包括上部支撑元件701和各自具有耦接到腔室上壁616的第一末端的多个安装元件702。在一些实施方式中,安装元件702的第一末端通过螺栓连接、焊接、或甚至将安装元件702一体地形成为腔室上壁616的一部分而耦接到腔室上壁616。这列安装元件702在每个处理站260之间位于腔室上壁616上并且耦接到腔室上壁616。在一些实施方式中,这列安装元件各自具有在一径向位置处耦接到第一壁的第一末端,该径向位置位于在两个相邻的处理站开口之间延伸的径向方向735上。在一个实例中,如图7B所示,每个安装元件的径向位置沿着在每对处理站开口之间延伸的径向方向735定位并且位于上部处理站开口734的内侧(例如,从中心轴253延伸的更小的半径)的径向位置处。在一些构造中,安装元件702包括竖直区段部714A(图8)并且亦包括径向区段部714B(图7B),该径向区段部在径向方向上从中心轴253延伸。
在一些实施方式中,上部支撑元件701一般包含环形结构元件,该环形结构元件耦接到每个安装元件702的第二末端以最小化腔室上壁616的偏转。如图7A至图7B所示,在一些构造中,环形形状不是完全的环并且可以包括一个或多个刻面(例如,图示了六个刻面)及一个或多个平坦安装表面(例如,顶表面及底表面)。上部支撑元件701通过螺栓连接(亦即,螺栓703)、焊接、或甚至将安装元件702一体地形成为上部支撑元件701的一部分而耦接到每个安装元件702。安装元件702的竖直区段部714A被构造为用以将上部支撑元件701定位为距腔室上壁616的安装表面(例如,暴露的顶表面)在约150mm与约450mm之间的距离808。如图8所示,上部支撑元件701的横截面包括横截面高度806及横截面宽度807,该横截面被构造为用以至少因它的面积惯性矩(area moment of inertia)的缘故而给腔室上壁616提供期望的增加的刚度,用于支撑腔室上壁616并且抵消施加的真空压强(例如,~14.7psig)引起的负载以最小化腔室上壁616的变形。在一个实例中,因在处理期间施加的真空压强(归因于移送区域401被维持在例如小于1托(诸如在10-3托至10-8托之间)的压强)的缘故,三米直径的腔室上壁616经受总共约716,000N(161,000lbs)的力。在一些实施方式中,上部支撑元件701及安装元件702由与用于形成上部整体件722及下部整体件720的部件(例如,腔室上壁616及下壁618)的材料相同的材料(诸如铝材料(例如,6061Al))形成。在一些实施方式中,上部支撑元件701及安装元件702由弹性模量(E)大于用于形成上部整体件722及下部整体件720的部件的材料的材料(诸如不锈钢材料(例如,304SST、316SST))形成,并且上部整体件722及下部整体件720的部件由铝材料形成。在一个实例中,上部支撑元件701具有在约50mm与约125mm之间的横截面高度806,在约75mm与约200mm之间的横截面宽度807,并且具有内径在约750mm与约900mm之间的中央开口805。在该构造中,上部支撑元件701被构造为用以承受提供到腔室上壁616的真空引起的负载,在腔室上壁616中包括直径小于中央开口805的内径(诸如小于中央开口805的内径的85%、或小于中央开口805的内径的95%)的中央开口713。在一些实施方式中,结构支撑组件710和腔室上壁616的结构的组合被构造为将靶472的处理表面472A相对于与X-Y平面平行的横向平面801(图8)的角度偏转(angular deflection)、或角度未对准(angular misalignment)最小化至一倾斜角,该倾斜角是跨以靶472的中心为中心的300mm直径(例如,水平变化距离(run))在约0.1mm与约0.25mm之间测量的边缘到边缘(例如,竖直变化距离(rise))的倾斜角(例如,在约0.02与0.05度角之间)。在一些实施方式中,结构支撑组件710和腔室上壁616的结构的组合被构造为将靶472的处理表面472A相对于在基板支撑件672上设置的基板S的暴露表面的角度未对准最小化至一倾斜角,该倾斜角是跨基板S的300mm直径(例如,水平变化距离)在约0.1mm与约1mm之间测量的边缘到边缘(例如,竖直变化距离)的倾斜角(例如,在约0.02与0.2度角之间)。尽管不意欲作为对本文提供的公开内容的范围的限制,但在一些情况下,因腔室上壁616的偏转(例如,第一弯曲模式形状(bending mode shape))的缘故,在处理表面472A与横向平面801之间的角度未对准沿着从中心轴延伸的径向方向可能是最大的。
尽管未在图8中示出,但在一些实施方式中,以与结构支撑组件710耦接到腔室上壁616类似的方式将第二结构支撑组件710耦接到下壁618。因此,在一些实施方式中,处理模块250可包括腔室上壁616上的第一结构支撑组件710和下壁618上的第二结构支撑组件710,从而无论处理模块250内的移送区域401是在真空下还是处于环境压强,都改进所有处理站260中的源组件470的平行度。
图9A及图9B图示出了处理模块250的替代构造的实例,该处理模块包括四个处理站而非上文主要描述的六个处理站的构造。因此,图9A及图9B示出了另外的处理模块构思,是桨式机器人处理模块900。在该构造中,提供了四个处理站260,每个处理站具有图2A至图8的处理站260的大致相同的构造,但相比之下,如结合图4C至图4D类似地描述的那样,四个处理站位于矩形外壳902的四个角处并且基板支撑件672A-672D不从一个处理站260移动到另一处理站260。矩形外壳902包括以与上文描述的上部整体件722及下部整体件720类似的方式构造的上部主体904及下部主体906。图9A包括桨式机器人处理模块900的上部主体部分904及下部主体906,而图9B仅包括桨式机器人处理模块900的下部主体906部分。上部主体904包括腔室上壁,该腔室上壁被构造为用以支撑每个处理站260A-260D的源组件470及处理配件组件480。下部主体906类似地包括下壁,该下壁被构造为用以支撑每个处理站260A-260D内的支撑吸盘组件590。
第一及第二处理腔室阀244A、244B位于桨式机器人处理模块900的四个壁中的一个公共壁上,使得可使用机器人(诸如图2A的中间机器人285)将基板穿过该公共壁装载并且装载到第一基板支撑件672A上。从那里,基板支撑件672A被升举到第一处理站260A中的处理位置并且基板诸如通过在基板上沉积溅射的膜层而被处理。然后,基板可被第一桨式机器人908A从基板支撑件672A移动到基板支撑件672B,用于在下一处理站260B处进行处理。或者,第一基板可以被装载到第一基板支撑件672A上,然后被第一桨式机器人908A移动到第二基板支撑件672B而不在第一基板支撑件672A上进行处理,然后第二基板被装载到第一基板支撑件672A上用于在第一基板支撑件672A上进行处理。以类似方式,第二桨式机器人908B可以在第四基板支撑件672D与第三基板支撑件672C之间移动两个额外的基板。在第一和第二基板的相应处理站中的第一和第二基板上并且在一些情况下额外地在这些额外的基板的相应处理站中的这些额外的基板上进行的处理可以同时或实质上同时进行。
每个桨式机器人908A、908B包括可旋转基底910A、910B,从该可旋转基底延伸出桨臂912A、912B,桨臂912A、912B终止于桨式端效器914A、914B。可旋转基底910A、910B连接到矩形外壳下方的电机(未图示),并且是可旋转的以将桨式端效器914A、914B定位在相应基板支撑件672A-D之一之上。此外,搁置站(rest station)916A-D沿着弧形路径995定位,桨式端效器914A、914B摆动经过这些弧形路径,使得基板可在处理站260处进行处理之间或直接在处理站260之间被储存在搁置站916A-D处。
图10A至图10E示出了根据一些实施方式的基板处理系统1300的示意性侧视图。基板处理系统1300被构造为用以支撑基板101或挡盘(shutter disk)。应理解,基板处理系统1300可以包括如上文在图4A至图4D及图6中描述的任何部件,并且为了清晰起见而省略在图10A至图10E中未图示的那任何部件。
如图所示,基板处理系统1300包括板支撑元件493、基座轴件492A、主体623、和冷却系统1391(图10A)、1392(图10B)、1393(图10C)、1394(图10D)、和/或1395(图10E)。基座轴件492A耦接到板支撑元件493。一个或多个电气触点673(图示了两个)耦接到主体623及板支撑元件493。一个或多个电气触点673(或者称为主电气触点)电气连接到下方的一个或多个电气触点453(或者称为副电气触点)。一个或多个电气触点453由导线453电气连接到DC吸盘电源458和/或加热器电源459。DC吸盘电源458和/或加热器电源459被构造为用以向主体623供电。DC吸盘电源458和/或加热器电源459被构造为用以将直流电流(DC)、交流电流(AC)、射频(RF)电流、或上述的任何组合通过一个或多个电气触点673的每一电气触点或任一电气触点提供到主体623。因此,主体623和电气触点673的组合可以被认为是静电吸盘(ESC)。电气触点673亦可以帮助将主体623在板支撑元件493上对中设置。
主体623可移除地耦接、或可拆卸地耦接到板支撑元件493。根据一个实施方式,电气触点673电气地和/或可移除地耦接到电气触点453。因此,主体623电气地和/或可移除地耦接到板支撑元件493。如上文在图4A至图4D及图6的讨论中描述的那样,主体623从基板处理系统1300的剩余部分拆下,使得静电吸盘被置于处理位置中。
冷却系统1391-1395被构造为用以降低或控制主体623的温度。尽管分别在图10A、图10B、图10C、图10D及图10E中仅示出了一个冷却系统1391-1395,但是应理解,冷却系统1391-1395的任一冷却系统可以被包括在与其他相应冷却系统1391-1395的任何组合中。例如,冷却系统1395可以与副冷却系统(例如,冷却系统1391)一起使用。
冷却系统1391-1395被构造为用以以高达约15℃/秒的速率降低主体623的温度。所有冷却系统1391-1395被构造为能被从主体623移除。此外,若基板(未图示)设置在主体623上,则基板亦能间接地被冷却系统1391-1395冷却。换言之,基板与主体623热接触,因此当静电吸盘的温度降低时,基板的温度降低。主体623及基板的温度能够在约1分钟的时间段内从约350℃降低到约150℃。最终,冷却系统1391-1395被构造为用以当板支撑元件493设置在任何高度处时冷却主体623。
如图10A所示,冷却系统1391包括冷却板1301、流体泵1310、流体入口管线1312、流体通道1314、流体出口管线1313和流体目的地1311。流体泵1310被构造为用以泵送流体。流体入口管线1312流体耦接到流体泵1310。流体通道1314设置在冷却板1301中。流体通道1314流体耦接到流体入口管线1312和流体出口管线1313。流体目的地1311流体耦接到流体出口管线1313。流体目的地1311包括处理流体时使用的任何目的地,诸如排水装置或处置站(disposal station)。在一些实施方式中,流体在流体目的地1311中变冷,并且流体目的地流体到流体泵1310,使得变冷的流体被发送到流体泵1310,使得流体可以被再利用。
冷却板1301设置在板支撑元件493与主体623之间。流体泵1310被构造为用以泵送流体经过冷却板1301的流体通道1314,并且热能从冷却板传递到流体,从而降低冷却板的温度。冷却板1301耦接到主体623,并且热能从主体传递到冷却板,从而降低主体的温度。流体的流动降低了主体623的温度。因此,冷却系统1391控制或降低主体623的温度。
冷却板1301包括铜(Cu)、纤维玻璃、硅橡胶(silicone rubber)、SIL-绝缘垫、热解石墨(pyrolytic graphite)、或上述的任何组合。根据一个实施方式,冷却板1301包括一个或多个孔1305,并且电气触点673设置在这些孔中。根据一个实施方式,流体包含水。
如图10B所示,冷却系统1392包括冷却板1301、通道1341、一个或多个密封件1340、气体入口管线1333、气体泵1330、气体入口1334、一个或多个气体出口管线1332、一个或多个气体出口1335、和气体目的地1331。通道1341形成在冷却板1301与主体623之间。一个或多个密封件1340设置在通道1341中,并且所述一个或多个密封件被构造为用以将冷却板密封到主体623。一个或多个密封件1340可以包括本领域中用于密封两个表面的任何构件,诸如O形环。一个多个密封件1340能够防止电气触点673周围的不期望的气流。
气体入口管线1333通过气体入口1334流体耦接到通道1341。气体泵1330流体耦接到气体入口管线1333。气体泵1330被构造为用以泵送气体。一个或多个气体出口管线1332通过一个或多个气体出口1335流体耦接到通道1341。一个或多个气体出口管线1332流体耦接到气体目的地1331。气体目的地1331包括处理气体时使用的任何目的地,诸如贮槽(tank)或处置站。在一些实施方式中,气体在气体目的地1331中变冷,并且气体目的地1331流体耦接到气体泵1330,使得变冷的气体发送到气体泵1330,使得气体可以被再利用。根据一些实施方式,气体包括氩气(Ar)、氦气(He)、或它们的混合物。
气流(箭头1399)从气体泵1330流出,流过通道1341,流到气体目的地1331。气体经由对流冷却来冷却主体623的背侧621。气体的流动降低了主体623的温度。因此,冷却系统1392控制或降低主体623的温度。
如图10C所示,冷却系统1393包括气体冷却系统1380。气体冷却系统1380被构造为用以使气体在主体623的背侧621上流动。如图所示,气体冷却系统1380包括气体环1357、气体管线1358、气体泵1350和多个喷嘴1352。气体环1357至少部分地围绕主体623。气体管线1358流体耦接到气体环1357。气体泵1350流体耦接到气体管线1358。气体泵1350被构造为用以使气体流动。多个喷嘴1352流体耦接到气体环1357。多个喷嘴1352被构造为用以使气体流动到主体623的背侧621上。在多个喷嘴1352的至少一个喷嘴与背侧621之间的角度θ小于约75°。根据一些实施方式,气体包括氩气(Ar)、氦气(He)、或它们的混合物。
气体从气体泵1350流动到基板主体623的背侧621(箭头1353)。气体经由对流冷却来冷却主体623的背侧621。气体的流动降低了基板支撑元件591的温度。因此,冷却系统1393控制或降低主体623的温度。
如图10D所示,冷却系统1394包括气体冷却系统1381。气体冷却系统1381被构造为用以使气体在主体623的背侧621上流动。如图所示,气体冷却系统1381包括一个或多个支撑喷嘴1360、支撑气体管线1362、和支撑气体泵1363。一个或多个支撑喷嘴1360被构造为用以使气体流动到主体623的背侧621上。一个或多个支撑喷嘴1360设置在板支撑元件493中。支撑气体管线1362流体耦接到一个或多个支撑喷嘴1360。支撑气体泵1363流体耦接到支撑气体管线1362。支撑气体泵1363被构造为用以使气体流动。根据一些实施方式,气体包括氩气(Ar)、氦气(He)、或它们的混合物。
气体经由一个或多个支撑喷嘴1360从气体泵1350流动到背侧621。气体经由对流冷却冷却主体623的背侧621。气体的流动降低了主体623的温度。因此,冷却系统1394控制或降低主体623的温度。
如图10E所示,冷却系统1395包括喷头1374、盖1375、加压气体入口1371、加压管线1372、加压气源1373和一个或多个密封构件1376。加压气体入口1371设置在喷头1374中。喷头1374可以是本领域中使用的任何喷头,只要喷头1374包括一个或多个加压气体入口1371即可。
加压管线1372流体耦接到加压气体入口1371。加压气源1373流体耦接到加压管线1372。根据一个实施方式,加压气源1373被构造为用以使加压气体流动到高压区域1370中并且用以至少部分地建立高压区域1370。高压区域1370可以具有约20托或更大的压强。根据一些实施方式,加压气体包括氦气(He)、氩气(Ar)、或上述气体的混合物。在一些实施方式中,加压气体变冷。
一个或多个密封构件1376被构造为用以在喷头1374与盖1375之间形成密封,使得在喷头与主体623之间形成高压区域1370。高压区域1370冷却主体623,使得能够降低或控制主体的温度。
一个或多个密封构件1376可以包括本领域中使用的任何密封构件。根据一些实施方式,一个或多个密封构件1376包括压缩密封件、双球密封件、O形环、或上述的任何组合。根据一个实施方式,一个或多个密封构件1376包括粘合弹性体(bonded elastomer)。盖1375和/或喷头1374可以包括一个或多个槽(未图示),并且一个或多个密封构件1376可以被至少部分地放置在这一个或多个槽(例如,燕尾槽)中。在包括冷却板的实施方式中,额外的密封构件亦可以被置于盖1375与冷却板(例如,冷却板1301)之间。
如上文描述,提供了一种基板处理系统。这种基板处理系统包括一个或多个冷却系统。这些冷却系统被构造为用以降低和/或控制主体的温度。这些冷却系统包括用于使用气体和/或液体冷却系统冷却主体的特征。
本文公开的这些冷却系统能够用在主体设置在任何高度处的时候。这些冷却系统可以被串联使用,从而允许在同一基板处理系统中有不同的冷却方法。
尽管上述内容涉及本公开内容的实施方式,但可在不脱离本公开内容的基本范围的情况下设计本公开内容的其他及进一步实施方式,并且本公开内容的范围由随附的权利要求书确定。
Claims (20)
1.一种基板处理系统,包含:
板支撑元件;
基座轴件,耦接到所述板支撑元件;
主体,设置在所述板支撑元件之上;以及
冷却系统,被构造为用以降低或控制所述主体的温度,所述冷却系统包含:
冷却板,设置在所述板支撑元件与所述主体之间。
2.如权利要求1所述的基板处理系统,进一步包含:
一个或多个主电气触点,耦接到所述主体,其中:
所述冷却板包括一个或多个孔,并且
所述一个或多个主电气触点设置在所述一个或多个孔中。
3.如权利要求2所述的基板处理系统,其中所述一个或多个主电气触点被构造为是从一个或多个副电气触点可拆卸的。
4.如权利要求2所述的基板处理系统,其中所述冷却系统进一步包含:
流体泵,被构造为用以泵送流体;
流体入口管线,流体耦接到所述流体泵;以及
流体通道,设置在所述冷却板中,所述流体通道流体耦接到所述流体入口管线。
5.如权利要求4所述的基板处理系统,其中所述流体包含水。
6.如权利要求2所述的基板处理系统,其中所述冷却系统进一步包含:
通道,形成在所述冷却板与所述主体之间;
一个或多个密封件,设置在所述通道中,所述一个或多个密封件被构造为用以将所述冷却板密封到所述主体;
气体入口管线,流体耦接到所述通道;
气体泵,流体耦接到所述气体入口管线,所述气体泵被构造为用以泵送气体;以及
一个或多个气体出口,流体耦接到所述通道。
7.如权利要求6所述的基板处理系统,其中所述气体包含氩气(Ar)及氦气(He)。
8.一种基板处理系统,包含:
板支撑元件;
基座轴件,耦接到所述板支撑元件;
主体,设置在所述板支撑元件之上,所述主体具有面向所述板支撑元件的背侧;以及
冷却系统,被构造为用以降低或控制所述主体的温度,所述冷却系统包含:
气体冷却系统,被构造为用以使气体在所述主体的所述背侧上流动。
9.如权利要求8所述的基板处理系统,进一步包含耦接到所述主体的一个或多个主电气触点。
10.如权利要求9所述的基板处理系统,其中所述一个或多个主电气触点是从一个或多个副电气触点可拆卸的。
11.如权利要求9所述的基板处理系统,其中所述气体冷却系统包含:
气体环,至少部分围绕所述主体;
气体管线,流体耦接到所述气体环;
气体泵,流体耦接到所述气体管线,所述气体泵被构造为用以使所述气体流动;以及
多个喷嘴,流体耦接到所述气体环,所述多个喷嘴被构造为用以使所述气体流动到所述背侧上。
12.如权利要求11所述的基板处理系统,其中在所述多个喷嘴的至少一个所述喷嘴与所述主体的所述背侧之间的角度小于约75°。
13.如权利要求9所述的基板处理系统,其中所述气体冷却系统包含:
一个或多个支撑喷嘴,被构造为用以使所述气体流动到所述主体的所述背侧上,所述一个或多个支撑喷嘴设置在所述板支撑元件中;
支撑气体管线,流体耦接到所述一个或多个支撑喷嘴;以及
支撑气体泵,流体耦接到所述支撑气体管线,所述支撑气体泵被构造为用以使所述气体流动。
14.如权利要求13所述的基板处理系统,其中所述气体包含氩气(Ar)及氦气(He)。
15.一种基板处理系统,包含:
板支撑元件;
基座轴件,耦接到所述板支撑元件;
主体,设置在所述板支撑元件之上;以及
冷却系统,被构造为用以降低或控制所述主体的温度,所述冷却系统包含:
喷头,包含加压气体入口;
盖;以及
一个或多个密封构件,被构造为用以在所述喷头与所述盖之间形成密封,使得在所述喷头与所述主体之间形成高压区域。
16.如权利要求15所述的基板处理系统,其中所述一个或多个密封构件包含压缩密封件、双球密封件、O形环、或它们的组合。
17.如权利要求15所述的基板处理系统,其中所述一个或多个密封构件包含粘合弹性体。
18.如权利要求15所述的基板处理系统,进一步包含:
加压管线,流体耦接到所述加压气体入口;以及
加压气源,流体耦接到所述加压管线,所述加压气源被构造为用以使加压气体流动到所述高压区域中。
19.如权利要求18所述的基板处理系统,其中所述加压气体包含氦气(He)或氩气(Ar)。
20.如权利要求15所述的基板处理系统,进一步包含被构造为用以降低或控制所述主体的所述温度的副冷却系统,所述冷却系统包含:
冷却板,设置在所述板支撑元件与所述主体之间。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US16/892,173 US20210381101A1 (en) | 2020-06-03 | 2020-06-03 | Substrate processing system |
US16/892,173 | 2020-06-03 | ||
PCT/US2021/027342 WO2021247148A1 (en) | 2020-06-03 | 2021-04-14 | Substrate processing system |
Publications (1)
Publication Number | Publication Date |
---|---|
CN116113726A true CN116113726A (zh) | 2023-05-12 |
Family
ID=78817118
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN202180057753.4A Pending CN116113726A (zh) | 2020-06-03 | 2021-04-14 | 基板处理系统 |
Country Status (6)
Country | Link |
---|---|
US (2) | US20210381101A1 (zh) |
JP (1) | JP2023529361A (zh) |
KR (1) | KR20230017305A (zh) |
CN (1) | CN116113726A (zh) |
TW (1) | TW202146700A (zh) |
WO (1) | WO2021247148A1 (zh) |
Families Citing this family (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
IL281747B2 (en) * | 2021-03-22 | 2024-04-01 | N T Tao Ltd | System and method for creating plasma with high efficiency |
Citations (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH1079378A (ja) * | 1996-07-12 | 1998-03-24 | Tokyo Electron Ltd | 成膜方法及びその装置 |
JPH11329922A (ja) * | 1998-05-08 | 1999-11-30 | Dainippon Screen Mfg Co Ltd | 基板冷却装置および基板冷却方法 |
US6151203A (en) * | 1998-12-14 | 2000-11-21 | Applied Materials, Inc. | Connectors for an electrostatic chuck and combination thereof |
US20060023395A1 (en) * | 2004-07-30 | 2006-02-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Systems and methods for temperature control of semiconductor wafers |
US20080061032A1 (en) * | 2006-09-07 | 2008-03-13 | Applied Materials, Inc. | Electrostatic chuck cleaning during semiconductor substrate processing |
CN101231941A (zh) * | 2007-01-15 | 2008-07-30 | 应用材料股份有限公司 | 热处理腔中的晶圆支架的温度测量和控制 |
US20100039747A1 (en) * | 2008-08-12 | 2010-02-18 | Applied Materials, Inc. | Electrostatic chuck assembly |
US20100314076A1 (en) * | 2006-09-22 | 2010-12-16 | Barth Kurt L | Apparatus and Method for Rapid Cooling of Large Area Substrates in Vacuum |
US20140021673A1 (en) * | 2012-07-18 | 2014-01-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
CN104025258A (zh) * | 2012-01-10 | 2014-09-03 | 株式会社Eugene科技 | 具有冷却系统的喷头及具备该喷头的基板处理装置 |
WO2015034728A1 (en) * | 2013-09-06 | 2015-03-12 | Applied Materials, Inc. | Electrostatic chuck with variable pixelated heating |
US20150232983A1 (en) * | 2014-02-14 | 2015-08-20 | Applied Materials, Inc. | Gas cooled substrate support for stabilized high temperature deposition |
CN105408992A (zh) * | 2013-08-05 | 2016-03-16 | 应用材料公司 | 用于薄基板搬运的静电载体 |
US20160111315A1 (en) * | 2014-10-17 | 2016-04-21 | Applied Materials, Inc. | Electrostatic chuck assembly for high temperature processes |
US20190326138A1 (en) * | 2018-04-20 | 2019-10-24 | Applied Materials, Inc. | Ceramic wafer heater with integrated pressurized helium cooling |
Family Cites Families (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6875927B2 (en) * | 2002-03-08 | 2005-04-05 | Applied Materials, Inc. | High temperature DC chucking and RF biasing cable with high voltage isolation for biasable electrostatic chuck applications |
JP5936361B2 (ja) * | 2012-01-12 | 2016-06-22 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
-
2020
- 2020-06-03 US US16/892,173 patent/US20210381101A1/en not_active Abandoned
-
2021
- 2021-04-14 KR KR1020227046130A patent/KR20230017305A/ko unknown
- 2021-04-14 US US17/928,709 patent/US20230212735A1/en active Pending
- 2021-04-14 CN CN202180057753.4A patent/CN116113726A/zh active Pending
- 2021-04-14 JP JP2022574513A patent/JP2023529361A/ja active Pending
- 2021-04-14 WO PCT/US2021/027342 patent/WO2021247148A1/en active Application Filing
- 2021-04-20 TW TW110114113A patent/TW202146700A/zh unknown
Patent Citations (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH1079378A (ja) * | 1996-07-12 | 1998-03-24 | Tokyo Electron Ltd | 成膜方法及びその装置 |
JPH11329922A (ja) * | 1998-05-08 | 1999-11-30 | Dainippon Screen Mfg Co Ltd | 基板冷却装置および基板冷却方法 |
US6151203A (en) * | 1998-12-14 | 2000-11-21 | Applied Materials, Inc. | Connectors for an electrostatic chuck and combination thereof |
US20060023395A1 (en) * | 2004-07-30 | 2006-02-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Systems and methods for temperature control of semiconductor wafers |
US20080061032A1 (en) * | 2006-09-07 | 2008-03-13 | Applied Materials, Inc. | Electrostatic chuck cleaning during semiconductor substrate processing |
US20100314076A1 (en) * | 2006-09-22 | 2010-12-16 | Barth Kurt L | Apparatus and Method for Rapid Cooling of Large Area Substrates in Vacuum |
CN101231941A (zh) * | 2007-01-15 | 2008-07-30 | 应用材料股份有限公司 | 热处理腔中的晶圆支架的温度测量和控制 |
US20100039747A1 (en) * | 2008-08-12 | 2010-02-18 | Applied Materials, Inc. | Electrostatic chuck assembly |
CN104025258A (zh) * | 2012-01-10 | 2014-09-03 | 株式会社Eugene科技 | 具有冷却系统的喷头及具备该喷头的基板处理装置 |
US20140021673A1 (en) * | 2012-07-18 | 2014-01-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
CN105408992A (zh) * | 2013-08-05 | 2016-03-16 | 应用材料公司 | 用于薄基板搬运的静电载体 |
WO2015034728A1 (en) * | 2013-09-06 | 2015-03-12 | Applied Materials, Inc. | Electrostatic chuck with variable pixelated heating |
US20150232983A1 (en) * | 2014-02-14 | 2015-08-20 | Applied Materials, Inc. | Gas cooled substrate support for stabilized high temperature deposition |
US20160111315A1 (en) * | 2014-10-17 | 2016-04-21 | Applied Materials, Inc. | Electrostatic chuck assembly for high temperature processes |
US20190326138A1 (en) * | 2018-04-20 | 2019-10-24 | Applied Materials, Inc. | Ceramic wafer heater with integrated pressurized helium cooling |
Also Published As
Publication number | Publication date |
---|---|
US20210381101A1 (en) | 2021-12-09 |
KR20230017305A (ko) | 2023-02-03 |
US20230212735A1 (en) | 2023-07-06 |
WO2021247148A1 (en) | 2021-12-09 |
JP2023529361A (ja) | 2023-07-10 |
TW202146700A (zh) | 2021-12-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US20200381276A1 (en) | Multisubstrate process system | |
WO2020242806A1 (en) | Multisubstrate processing system | |
KR102674611B1 (ko) | 기판 프로세싱 모듈 및 워크피스를 이동시키는 방법 | |
US20210375650A1 (en) | High temperature and vacuum isolation processing mini-environments | |
TW202232648A (zh) | 用於提供對稱射頻返回路徑的預裝載碗機構 | |
CN116113726A (zh) | 基板处理系统 | |
KR20230051166A (ko) | 페이스팅 프로세스 동안 보호 디스크로 기판 홀더 교체 | |
TW202213572A (zh) | 熱控制的蓋堆疊組件 | |
TWI853162B (zh) | 基片處理模組及移動工件的方法 | |
TW202302904A (zh) | 熱噴淋頭 | |
JP2023130880A (ja) | 基板処理室内に配置される部材を搬送する装置、基板処理システム及び前記部材を搬送する方法 | |
TW202224075A (zh) | 用於更高產量和更快轉變時間的半導體處理腔室架構 | |
KR20230039732A (ko) | 반도체 처리 시스템들의 분배 컴포넌트들 | |
TWI810678B (zh) | 基板處理系統與方法 | |
US20220068690A1 (en) | Substrate transfer devices | |
TW202329198A (zh) | 將腔室空間隔離成具有內部晶圓移送能力的處理空間之方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination |