TW202329198A - 將腔室空間隔離成具有內部晶圓移送能力的處理空間之方法 - Google Patents

將腔室空間隔離成具有內部晶圓移送能力的處理空間之方法 Download PDF

Info

Publication number
TW202329198A
TW202329198A TW111135668A TW111135668A TW202329198A TW 202329198 A TW202329198 A TW 202329198A TW 111135668 A TW111135668 A TW 111135668A TW 111135668 A TW111135668 A TW 111135668A TW 202329198 A TW202329198 A TW 202329198A
Authority
TW
Taiwan
Prior art keywords
chamber
substrate
plate
processing
substrate support
Prior art date
Application number
TW111135668A
Other languages
English (en)
Inventor
沙拿凡納庫瑪 納塔拉杰
萊恩 佩可斯奇
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202329198A publication Critical patent/TW202329198A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

示例性基板處理系統可包括界定移送區域的腔室主體。系統可包括位於腔室主體上的蓋板。蓋板可界定複數個孔。系統可包括複數個蓋堆疊。系統可包括複數個基板支撐件。系統可包括複數個外圍閥。每個外圍閥可設置在處理區域中的一者中。每個外圍閥可包括與腔室主體耦接的底板。外圍閥可包括波紋管。波紋管可與底板耦接。外圍閥可包括密封環,密封環具有界定中心孔的主體。主體的底面可與波紋管耦接。主體可界定凹部,凹部具有直徑大於基板支撐件的支撐板的直徑。

Description

將腔室空間隔離成具有內部晶圓移送能力的處理空間之方法
交叉參考相關申請案 本申請主張於2021年9月27日提交的題為「METHOD OF ISOLATING THE CHAMBER VOLUME TO PROCESS VOLUME WITH INTERNAL WAFER TRANSFER CAPABILITY」的美國專利申請第17/486,616號的權益和優先權,其全文透過引用併入本文。
本技術涉及半導體處理設備。更具體地,本技術涉及半導體腔室組件。
半導體處理系統通常利用群集工具將許多處理腔室整合在一起。此配置可以促進實行幾個順序的處理操作而無需從受控制的處理環境中移除基板,或者它可以允許在變化的腔室中一次在多個基板上實行類似的處理。這些腔室可包括,例如,脫氣腔室、預處理腔室、移送腔室、化學氣相沉積腔室、物理氣相沉積腔室、蝕刻腔室、計量腔室和其他腔室。選擇群集工具中的腔室的組合,以及運行這些腔室的操作條件和參數,以使用特定的處理配方和處理流程來製造特定的結構。
腔室的處理空間的適當密封對於維持用於進行處理操作的所需環境可能是重要的。此外,為了將處理系統的某些區域保持在真空狀態,可能需要密封。
因此,需要可用於生產高品質半導體裝置的改進的密封系統和組件。這些和其他需求由本技術解決。
示例性基板處理系統可包括腔室主體,腔室主體界定移送區域。系統可包括位於腔室主體上的蓋板。蓋板可界定穿過蓋板的複數個孔。系統可包括複數個蓋堆疊,其數量等於穿過蓋板界定的複數個孔中的孔的數量。複數個蓋堆疊可至少部分地界定從移送區域垂直偏移的複數個處理區域。系統可包括複數個基板支撐組件,其數量等於穿過蓋板界定的孔的數量。複數個基板支撐組件中的每個基板支撐組件可設置在複數個處理區域中的相應的一個處理區域中。複數個基板支撐組件中的每個基板支撐組件可包括支撐板和軸,軸與支撐板的底部耦接。系統可包括複數個外圍閥,其數量等於穿過蓋板界定的孔的數量。複數個外圍閥中的每個外圍閥可設置在複數個基板支撐組件中的相應的一個基板支撐組件下方的複數個處理區域中的相應的一個處理區域中。複數個外圍閥中的每個外圍閥可包括底板,底板與腔室主體的下端耦接。底板可與複數個孔中的相應的一個孔對準。外圍閥可包括腔室密封波紋管,腔室密封波紋管以第一表面和與第一表面相對的第二表面為特徵。密封波紋管的第一表面可與底板的頂面耦接。外圍閥可包括密封環,密封環具有界定中心孔的主體,中心孔的尺寸經設置以接收複數個基板支撐組件中的相應的一個基板支撐組件的軸。主體的底面可與密封波紋管的頂面耦接。主體的上表面可界定凹部,凹部具有直徑大於複數個基板支撐組件中的相應的一個基板支撐組件的支撐板的直徑。密封環可在複數個處理區域的相應的一個處理區域內垂直平移。
在一些實施例中,複數個外圍閥中的每個外圍閥包括衝擊阻尼機構。衝擊阻尼機構可包括彈簧。密封環可包括設置在密封環的頂面上方的至少一個硬止動件。複數個外圍閥中的每個外圍閥可包括設置在底板下方的升舉機構。複數個蓋堆疊中的每個蓋堆疊可包括扼流板,扼流板沿扼流板的第一表面置放在蓋板上。扼流板可包括內部,內部向腔室主體的內表面的內側延伸並且沿著腔室主體的內表面向下延伸。密封環的頂邊緣可與扼流板的內部的至少一部分垂直對準。每個基板支撐組件可在複數個處理區域的相應的一個處理區域內垂直平移。基板支撐組件的升高位置可高於複數個外圍閥中的相應的一個外圍閥的升高位置。腔室密封波紋管可沿腔室密封波紋管的垂直軸線延伸和收縮。
本技術的一些實施例可包括一種基板處理腔室。腔室可包括腔室主體,腔室主體界定處理區域。腔室可包括底板,底板與腔室主體的下端耦接。底板可界定中心開口。腔室可包括基板支撐件,基板支撐件設置在腔室主體內。基板支撐件可包括支撐板,支撐板包括加熱器。基板支撐件可包括軸,軸與支撐板的底部耦接並延伸穿過底板的中心開口。腔室可包括外圍閥,外圍閥設置在基板支撐件下方的處理區域中。外圍閥可包括腔室密封波紋管,腔室密封波紋管以第一表面和與第一表面相對的第二表面為特徵。密封波紋管的第一表面可與底板的頂面耦接。外圍閥可包括密封環,密封環具有界定中心孔的主體,中心孔的尺寸經設置以接收基板支撐件的軸。主體的底面可與密封波紋管的頂面耦接。主體的上表面可界定凹部,凹部具有直徑大於基板支撐件的支撐板的直徑。密封環可在處理區域內垂直平移。
在一些實施例中,外圍閥可包括與底板的底面耦接的複數個大氣密封波紋管。密封環可包括設置在密封環的頂面上方的至少一個硬止動件。至少一個硬止動件包括聚合材料。腔室可包括扼流板,扼流板設置在腔室主體上方。扼流板可包括內部,內部向腔室主體的內表面的內側延伸。當外圍閥處於升高位置時,密封環的至少一部分可接觸扼流板的內部的底部。外圍閥可包括衝擊阻尼機構。衝擊阻尼機構可包括球頭螺栓接頭。
本技術的一些實施例可涵蓋基板處理方法。方法可包括將基板支撐件在半導體處理腔室內從移送位置向上移動到處理位置。方法可包括將外圍閥在半導體處理腔室內向上移動以將處理區域從腔室空間的其餘部分密封。方法可包括將前驅物流入半導體處理腔室。方法可包括在半導體處理腔室的處理區域內產生前驅物的電漿。方法可包括在基板上蝕刻材料。
在一些實施例中,外圍閥可向上移動直到外圍閥的頂面接觸位於半導體處理腔室的腔室主體頂部的扼流板的下表面。當外圍閥的頂面接觸扼流板的下表面時,外圍閥可以是自對準的。
相對於常規的系統和技術,本技術可提供許多益處。例如,實施例可使處理空間被從腔室空間密封,這可以使相鄰腔室的處理空間彼此密封,同時可利用共享的移送區域。此外,實施例可在處理操作期間將真空區域彼此密封和/或從大氣壓力區域密封。結合以下描述和隨附圖式更詳細地描述了這些和其他實施例以及它們的許多優點和特徵。
基板處理可包括用於在晶圓或半導體基板上添加、移除、或以其他方式修改材料的時間密集的操作。基板的有效移動可以減少排隊時間並提高基板吞吐量。為了改善在群集工具中處理的基板數量,可以將附加腔室合併到主機上。儘管可以透過加長工具來連續地增加移送機器人和處理腔室,但是隨著群集工具的佔地擴大,如此可能會是空間上低效率的。因此,本技術可包括在限定的佔地內具有增加數量的處理腔室的群集工具。為了適應關於移送機器人的有限的佔地,本技術可增加從機器人橫向向外的處理腔室的數量。例如,一些常規的群集工具可包括一或兩個處理腔室,該一或兩個處理腔室繞位於中心的移送機器人的部分而定位,以使徑向繞機器人的腔室的數量最大化。本技術可以透過併入在橫向上向外作為另一列或另一組腔室的附加腔室來擴展此概念。例如,本技術可以與包括三個、四個、五個、六個、或更多個處理腔室的群集工具一起應用,該等處理腔室可在一或多個機器人通達位置中的每個位置處通達(accessible)。
由於增加了附加的處理位置,若在每個位置沒有附加移送能力,從中央機器人通達這些位置可能不再可行。一些常規技術可包括晶圓載體,在移送期間,基板保持在其上。然而,晶圓載體可能會導致基板上的熱不均勻和顆粒污染。本技術透過結合與處理腔室區域垂直對準的移送部分和可以與中央機器人協同操作以通達(access)附加晶圓位置的圓盤帶(carousel)或移送設備來克服這些問題。基板支撐件可接著在移送區域和處理區域之間垂直平移以傳送基板以供處理。
基於移送部分周圍的處理腔室區域的佈置,每個腔室區域可共享公共空間。為了使每個腔室內的處理區域能夠彼此隔離,實施例可包括外圍閥機構,其可以升高以在處理操作期間彼此密封和隔離每個腔室的處理區域。可以降低外圍閥以將每個腔室的移送區域打開到共享空間中,這使得移送部分能夠在共享空間內的腔室之間移送晶圓。實施例亦可包括波紋管,當外圍閥升高時,波紋管有助於將腔室空間與大氣條件隔開密封。因此,實施例可提供腔室之間的處理區域隔離以改善處理條件,同時仍有助於晶圓在共同處理空間內的移送。
儘管其餘的公開內容將慣常地標識可以為其使用本結構和方法的特定結構,例如四位置移送區域,但是將容易地理解到,所討論的面板或組件可以同樣地用於任何數量的其他系統或腔室中,以及可以連接或耦合多個組件的任何其他設備。因此,不應認為本技術僅限於與任何特定腔室一起使用。此外,儘管將描述示例性工具系統以提供本技術的基礎,但應理解到,本技術可以與可以受益於將要描述的一些或所有的操作和系統的任何數量的半導體處理腔室和工具結合。
圖1A示出了根據本技術的一些實施例的沉積、蝕刻、烘烤、和固化腔室的基板處理工具或處理系統100的一個實施例的頂視圖。在圖中,一組前開式晶圓傳送盒102提供各種尺寸的基板,該等基板在被運送到基板處理區域108中的一者之前被機械臂104a和104b接收在工廠介面103中並放置在裝載鎖或低壓保持區域106中,位於腔室系統或四部分(quad sections)109a-c中,其各者可以是具有與複數個處理區域108流體耦接的移送區域的基板處理系統。儘管示出了四系統(quad system),但是應理解到,結合單獨腔室、雙腔室、和其他多腔室系統的平台同樣被本技術涵蓋。容納在移送腔室112中的第二機械臂110可用於將基板晶圓從保持區域106移送到四部分109並返回,且第二機械臂110可被容納在移送腔室中,四部分的各者或處理系統可以與移送腔室連接。每個基板處理區域108可被裝配成實行許多基板處理操作,包括任何數量的沉積處理,包括循環層沉積、原子層沉積、化學氣相沉積、物理氣相沉積、以及蝕刻、預清潔、退火、電漿處理、脫氣、定向、和其他基板處理。
每個四部分109可包括移送區域,移送區域可以從第二機械臂110接收基板並將基板移送到第二機械臂110。腔室系統的移送區域可以與具有第二機械臂110的移送腔室對準。在一些實施例中,移送區域可以是機器人橫向可通達的。在後續操作中,移送部分的組件可以將基板垂直平移到覆蓋處理區域108中。類似地,移送區域也可操作以在每個移送區域內的位置之間旋轉基板。基板處理區域108可包括用於在基板或晶圓上沉積、退火、固化、和/或蝕刻材料膜的任何數量的系統組件。在一個配置中,可以使用兩組處理區域,例如四部分109a和109b中的處理區域,來在基板上沉積材料,以及使用第三組處理腔室,例如四部分109c中的處理腔室或區域,來固化、退火、或處理沉積的膜。在另一配置中,所有三組腔室,例如所示的所有十二個腔室,可以被配置為對基板上的膜沉積和/或固化兩者。
如圖所示,第二機械臂110可包括兩個臂,用於同時輸送和/或取回多個基板。例如,每個四部分109可包括沿移送區域的殼體的表面的兩個通路(access)107,其可與第二機械臂橫向對準。可以沿著與移送腔室112相鄰的表面界定通路。在一些實施例中,如所繪示,第一通路可以與四部分的複數個基板支撐件中的第一基板支撐件對準。此外,第二通路可以與四部分的複數個基板支撐件中的第二基板支撐件對準。在一些實施例中,第一基板支撐件可以與第二基板支撐件相鄰,且兩個基板支撐件可以界定第一列基板支撐件。如圖示的配置所示,第二列基板支撐件可以位於第一列基板支撐件的後方,自移送腔室112橫向向外。第二機械臂110的兩個臂可以間隔開以允許兩個臂同時進入四部分或腔室系統,以將一個或兩個基板傳送或取回至移送區域中的基板支撐件。
所描述的任何一或多個移送區域可以與從不同實施例中示出的製造系統分離的附加腔室合併。應理解到,處理系統100也考量用於材料膜的沉積、蝕刻、退火、和固化腔室的附加配置。此外,任何數量的其他處理系統可以與本技術利用,其可以結合用於實行諸如基板移動的任何特定操作的移送系統。在一些實施例中,可以提供對多個處理腔室區域的通路(access)而同時在各個部分中保持真空環境的處理系統,例如所述的保持和移送區域,可以允許在多個腔室中實行操作,同時在分別的處理之間保持特定的真空環境。
圖1B示出了根據本技術的一些實施例的示例性處理工具,例如穿過腔室系統,的一個實施例的示意性截面正視圖。圖1B可示出穿過任何四部分109中的任意兩個相鄰處理區域108的截面圖。正視圖可示出一或多個處理區域108與移送區域120的配置或流體耦接。例如,連續的移送區域120可以由移送區域殼體125界定。殼體可界定開放的內部空間,在其中可佈置多個基板支撐件130。例如,如圖1A所示,示例性處理系統可包括四個或更多個,包括繞移送區域分佈在殼體內的多個基板支撐件130。如圖所示,基板支撐件可以是底座,儘管也可以使用許多其他配置。在一些實施例中,底座可在移送區域120和覆蓋移送區域的處理區域之間垂直地平移。基板支撐件可以沿著腔室系統內的第一位置和第二位置之間的路徑沿著基板支撐件的中心軸線垂直地平移。因此,在一些實施例中,每個基板支撐件130可以與由一或多個腔室組件界定的覆蓋處理區域108軸向對準。
開放的移送區域可以提供諸如圓盤帶(carousel)的移送設備135在各個基板支撐件之間接合和例如旋轉地移動基板的能力。移送設備135可以繞中心軸線旋轉。這可以允許將基板定位成在處理系統內的任何處理區域108內進行處理。移送設備135可包括一或多個端效器,其可從上方、下方與基板接合,或者可與基板的外邊緣接合,以繞基板支撐件運動。移送設備可以從移送腔室機器人(例如,先前描述的機器人110)接收基板。移送設備可接著旋轉基板以替代基板支撐件,以利於額外基板的輸送。
一旦被定位並等待處理,移送設備可將端效器或臂定位在基板支撐件之間,這可以允許基板支撐件被抬升過移送設備135並將基板輸送到處理區域108中,該處理區域可自移送區域垂直偏移。例如,且如圖所示,基板支撐件130a可將基板輸送到處理區域108a中,而基板支撐件130b可將基板輸送到處理區域108b中。這可能發生在其他兩個基板支撐件和處理區域,以及在包括附加處理區域的實施例中的附加基板支撐件和處理區域。在這樣的配置中,當被操作地接合以處理基板時,例如在第二位置處,基板支撐件可以至少部分地從下方界定處理區域108,且處理區域可以與相關聯的基板支撐件軸向對準。可透過面板140以及其他蓋堆疊組件從上方界定處理區域。在一些實施例中,每個處理區域可具有各別的蓋堆疊組件,儘管在一些實施例中,組件可容納多個處理區域108。基於此配置,在一些實施例中,每個處理區域108可以與移送區域流體地耦接,同時在腔室系統或四部分內與每個其他處理區域從上方流體地隔離。
在一些實施例中,面板140可操作為系統的電極,以在處理區域108內產生局部電漿。如圖所示,每個處理區域可利用或結合單獨的面板。例如,可包括面板140a以從上方處理區域108a界定,且可包括面板140b以從上方處理區域108b界定。在一些實施例中,基板支撐件可以操作為用於在面板和基板支撐件之間產生電容耦合電漿的配對電極。在一些實施例中,可以利用圍繞面板延伸的加熱器142來加熱面板。根據空間幾何,泵襯墊145可至少部分地徑向地或橫向地界定處理區域108。同樣的,單獨的泵襯墊可用於每個處理區域。例如,泵襯墊145a可至少​​部分地徑向界定處理區域108a,而泵襯墊145b可至少部分地徑向界定處理區域108b。泵襯墊145可置放在熱扼流器板147上,熱扼流器板147可控制從蓋堆疊到冷卻腔室主體的熱分佈。在實施例中,擋板150可位於蓋155和面板140之間,且同樣地可包括個別的擋板以促進在每個處理區域內的流體分佈。例如,可包括擋板150a以向處理區域108a分配,且可包括擋板150b以向處理區域108b分配。
蓋155可以是每個處理區域的單獨組件,或者可包括一或多個共同態樣。在一些實施例中,蓋155可以是系統的兩個單獨的蓋板之一。例如,第一蓋板158可置放在移送區域殼體125上。移送區域殼體可界定開放空間,且第一蓋板158可包括多個穿過蓋板的孔,將覆蓋空間分隔成特定的處理區域。在一些實施例中,例如所示出的,蓋155可以是第二蓋板,並且可以是單個組件,其界定了多個孔160,該多個孔用於將流體輸送到各個處理區域。例如,蓋155可界定用於流體輸送至處理區域108a的第一孔160a,且蓋155可以界定用於流體輸送至處理區域108b的第二孔160b。當包括附加的孔時,在每個部分內的附加處理區域可界定附加的孔。在一些實施例中,可以容納多於或少於四個基板的每個四部分109或多處理區域部分可包括一或多個用於將電漿流出物輸送到處理腔室中的遠端電漿單元165。在一些實施例中,可以為每個腔室處理區域合併各別的電漿單元,儘管在一些實施例中,可以使用較少的遠端電漿單元。例如,如圖所示,單個遠端電漿單元165可用於多個腔室,例如兩個、三個、四個、或更多個腔室,直到用於具體四部分的所有腔室。在本技術的實施例中,管道可以從遠端電漿單元165延伸到每個孔160,以用於輸送電漿流出物以進行處理或清潔。
在一些實施例中,淨化通道170可延伸穿過接近或靠近每個基板支撐件130的移送區域殼體。例如,複數個淨化通道可延伸穿過移送區域殼體,以為流體耦合的淨化氣體提供流體通路,以將其輸送到移送區域中。淨化通道的數量可以相同或不同,包括多於或少於處理系統內的基板支撐件的數量。例如,淨化通道170可延伸穿過每個基板支撐件下方的移送區域殼體。在圖示的兩個基板支撐件130的情況下,第一淨化通道170a可延伸穿過靠近基板支撐件130a的殼體,並且第二淨化通道170b可延伸穿過靠近基板支撐件130b的殼體。應理解,任何附加的基板支撐件可以類似地具有延伸穿過移送區域殼體的垂直淨化通道,以將淨化氣體提供到移送區域中。
當淨化氣體透過一或多個淨化通道輸送時,其可類似地透過泵襯墊145排出,泵襯墊145可提供來自處理系統的所有排放路徑。因此,在一些實施例中,處理前驅物和淨化氣體都可以透過泵襯墊排出。淨化氣體可以向上流動到相關聯的泵襯墊,例如流過淨化通道170b的淨化氣體可以從泵襯墊145b從處理系統中排出。
如所指出的,處理系統100,或更具體而言,與處理系統100或其他處理系統結合的四部分或腔室系統,可包括位於所示的處理腔室區域下方的移送部分。圖2示出了根據本技術的一些實施例的示例性腔室系統200的移送部分的示意性等距視圖。圖2可示出上述移送區域120的附加態樣或態樣的變化,並且可包括所描述的任何組件或特徵。所示的系統可包括界定移送區域的移送區域殼體205,在該移送區域中可以包括多個組件。移送區域可另外至少部分地由與移送區域流體耦接的處理腔室或處理區域從上方界定,例如圖1A的四部分109所示的處理腔室區域108。移送區域殼體的側壁可以界定一或多個通達位置207,穿過該通達位置可以輸送和取回基板,例如透過如上所述的第二機械臂110。通達位置207可以是狹縫閥或其他可密封的通達位置,其在一些實施例中包括門或其他密封機構以在移送區域殼體205內提供氣密環境。儘管以兩個這樣的通達位置207示出,應理解到,在一些實施例中,可以僅包括單個通達位置207,以及在移送區域殼體的多個側上的通達位置。亦應理解,所示的移送部分的尺寸可以經設置以適應任何基板尺寸,包括200 mm、300 mm、450 mm、或更大或更小的基板,包括以任何數量的幾何或形狀為特徵的基板。
在移送區域殼體205內可以是繞移送區域空間定位的複數個基板支撐件210。儘管示出了四個基板支撐件,應理解,本技術的實施例類似地涵蓋了任何數量的基板支撐件。例如,根據本技術的實施例,可以在移送區域中容納大於或約三個、四個、五個、六個、八個、或更多的基板支撐件210。第二機械臂110可穿過通路207將基板輸送到基板支撐件210a或210b中的一者或兩者。類似地,第二機械臂110可以從這些位置取回基板。升降銷212可以從基板支撐件210突出,並且可允許機器人通達基板下方。在一些實施例中,升降銷可固定在基板支撐件上,或者固定在基板支撐件可以在其下方凹陷的位置,或者升降銷可額外地透過基板支撐件升高或降低。基板支撐件210可以是垂直可平移的,並且在一些實施例中,可以延伸到基板處理系統的處理腔室區域,例如位於移送區域殼體205上方的處理腔室區域108。
移送區域殼體205可以為對準系統提供通路215,對準系統可包括對準器,對準器可延伸穿過移送區域殼體的孔,如圖所示,並且可以與雷射、攝影機、或其他穿過相鄰孔突出或透射的監控裝置一起操作,並且可判定正被平移的基板是否正確對準。移送區域殼體205可亦包括移送設備220,其可以多種方式操作以定位基板並在各種基板支撐件之間移動基板。在一個範例中,移送設備220可將基板支撐件210a和210b上的基板移動到基板支撐件210c和210d,這可以允許另外的基板被移送到移送腔室中。附加的移送操作可包括在基板支撐件之間旋轉基板,以在覆蓋的處理區域中進行附加處理。
移送設備220可包括中央樞紐225,其可包括延伸到移送腔室中的一或多個軸。與軸耦接的可以是端效器235。端效器235可包括從中央樞紐徑向或橫向向外延伸的複數個臂237。儘管是以臂從其延伸的中央主體來示出,但是端效器可以另外包括單獨的臂,在各個實施例中,每個臂與軸或中央樞紐耦接。在本技術的實施例中可包括任何數量的臂。在一些實施例中,臂237的數量可以與腔室中包括的基板支撐件210的數量相似或相等。因此,如圖所示,對​​於四個基板支撐件,移送設備220可包括從端效器延伸的四個臂。臂可以以任何數量的形狀和輪廓為特徵,例如筆直的輪廓或拱形輪廓,以及包括任何數量的遠側輪廓,包括鉤、環、叉、或用於支撐基板和/或提供對基板的通達的其他設計,例如用於對準或接合。
端效器235或端效器的組件或部分可用於在移送或移動期間接觸基板。這些組件以及端效器可由包括導電和/或絕緣材料的多種材料製成,或包括這些多種材料。在一些實施例中,材料可以被塗覆或鍍覆以承受與可能從覆蓋處理腔室進入移送腔室的前驅物或其他化學物質的接觸。
此外,可以提供或選擇材料以承受其他環境特徵,例如溫度。在一些實施例中,基板支撐件可操作以加熱設置在支撐件上的基板。基板支撐件可配置為將表面或基板溫度提高到大於或約100°C、大於或約200°C、大於或約300°C、大於或約400°C、大於或約500°C、大於或約600°C、大於或約700°C、大於或約800°C或更高的溫度。在操作期間,任意的這些溫度可以被保持,且因此移送設備220的組件可能會暴露於這些描述的或涵蓋的溫度中的任何一個。因此,在一些實施例中,可以選擇任何材料來適應這些溫度範圍,並且可以包括諸如陶瓷和金屬的材料,其以相對低的熱膨脹係數或其他有益特性為特徵。
組件耦接還可以適於在高溫和/或腐蝕性環境中運行。例如,在端效器和末端部分各者為陶瓷的情況下,耦接可包括壓裝、卡扣、或其他可能不包含其他材料(例如螺栓)的配接,這些其他材料可能會隨溫度而膨脹和收縮,並可能導致陶瓷的破裂。在一些實施例中,端部可以與端效器是連續的,並且可以與端效器一體成形。可以使用可以在操作期間促進操作或抵抗的任何數量的其他材料,並且所屬技術領域類似地涵蓋該等材料。
如前所述,覆蓋移送區域殼體205的可以是蓋板,例如第一蓋板,其可以界定基板支撐件可通達的單獨處理區域。圖3示出了根據本技術的一些實施例的示例性基板處理系統的蓋板300的示意性等距視圖。蓋板300可包括第一蓋板158的任何特徵或如前所述的任何其他組件。如圖所示,蓋板300可界定第一複數個孔305,其可界定如前所述的各個處理區域。蓋板300可亦界定第二複數個孔310。每個孔310可定位在鄰近相關聯的孔305。儘管孔305可界定處理區域,但是孔310可界定排氣通路,或到系統前級管線的通路路線,每個處理區域可以透過這些通路路線被排空。如將在下文進一步描述的,用於每個單獨的蓋堆疊的泵襯墊可被定向為藉由相關聯的孔310排空。儘管圖示了四個孔305和四個孔310,但應理解到,根據本技術的實施例的蓋板可包括用於處理腔室或排氣系統的任何配置的任何數量的孔。
在本技術的一些實施例中,冷卻系統可以結合在蓋板內。如圖所示,流體冷卻管線315可以圍繞每個第一孔305延伸。這可以允許在處理期間冷卻腔室主體。由於系統設置的原因,每個腔室區域可以如圖所示排放到蓋板300的遠側邊緣處的前級管線連接,儘管本技術可類似地包括其他配置。加熱的處理氣體或流出物可流過蓋堆疊組件並流出第二孔,這可以增加這些區域中蓋板的溫度。因此,可以在蓋板上形成溫度分佈,其中較冷的溫度可能出現在靠近蓋板的中間。這可能會影響來自每個單獨的蓋堆疊的熱分佈,這將在下文進一步討論。此外,由於蓋堆疊的組件可能不均勻地耦合,來自組件的熱損失可能不均勻。
圖4示出了根據本技術的一些實施例的示例性基板處理系統的示例性處理系統400的佈置的示意性局部截面圖,並且可示出透過蓋板的第一孔和第二孔的截面圖,如上所述。該圖可說明上述處理系統和組件的態樣,並且可說明系統的額外態樣。該圖可說明系統的附加視圖或版本。應理解,處理系統400可包括在別處描述或圖示的處理系統的任何部分的任何態樣,並且可圖示與在別處描述的任何系統結合的蓋堆疊的態樣。例如,處理系統400可說明覆蓋腔室的移送區域的系統的一部分,並且可示出定位在腔室主體上方的組件,腔室主體界定移送區域,如前文所述。應理解,仍然可併入任何先前提到的組件,例如包括先前針對包括處理系統400的組件的系統所描述的移送區域和任何組件。
如前所述,多腔室系統可包括用於每個處理區域的單獨的蓋堆疊。處理系統400可示出一個蓋堆疊的視圖,該蓋堆疊可以是包括兩個、三個、四個、五個、六個或更多個處理腔室部分的多腔室系統的一部分。然而,應理解,所描述的蓋堆疊組件亦可結合在獨立的腔室中。如上所述,一或多個蓋板可包含用於每個處理區域的單獨的蓋堆疊。例如,如圖所示,處理系統400可包括第一蓋板405,其可以是或包括上述蓋板158的任何態樣。例如,第一蓋板405可以是單個蓋板,其可置放在移送區域殼體402或如前所述的腔室主體上。第一蓋板405可沿著蓋板的第一表面置放在殼體上。蓋板405可界定穿過蓋板的第一複數個孔406,其允許基板垂直平移到如前所述的界定的處理區域中。孔406可界定可實行基板處理的處理區域。蓋板405可另外界定穿過蓋板的第二複數個孔407,允許排空到與處理系統相關聯的前級管線和泵送系統。
如前所述,位於第一蓋板405上的可以是複數個蓋堆疊。在一些實施例中,第一蓋板405可界定如前所示的從第一蓋板405的與第一表面相對的第二表面延伸的凹入的凸耳。凹入的凸耳可圍繞第一複數個孔中的每個孔406延伸,或者可圍繞孔的一部分延伸,如上所示。每個單獨的蓋堆疊可置放在單獨的凹入的凸耳上,或者可置放在非凹入的孔上。複數個蓋堆疊可包括與穿過第一蓋板界定的複數個孔中的孔的數量相等的蓋堆疊的數量。如上所述,蓋堆疊可至少部分地界定從移送區域垂直偏移的複數個處理區域。儘管示出了一個孔406和一個蓋堆疊並將在下文進一步討論,但應理解,處理系統400可包括任何數量的蓋堆疊,蓋堆疊具有與本發明涵蓋的實施例中的系統結合的類似或先前討論的組件。以下描述可適用於任何數量的蓋堆疊或系統組件。
在實施例中,蓋堆疊可包括任何數量的組件,並且可包括上述任何組件。例如,蓋堆疊可包括位於蓋板405的第二表面上的扼流板410。扼流板410可位於扼流板410的第一表面上的蓋板上。扼流板可界定與穿過蓋板的第一複數個孔中的相關聯的孔406軸向對準的第一孔。扼流板亦可界定與穿過蓋板的第二複數個孔中的相關聯的孔407軸向對準的第二孔。如圖所示,扼流板410可包括界定穿過扼流板的第一孔的邊緣412。邊緣412可沿著蓋板的側壁延伸,該側壁界定了第一複數個孔中的相關聯的第一孔406。如以下將解釋的,在一些實施例中,可以在邊緣和蓋板之間保持間隙以控制組件之間的熱流。邊緣412可從扼流板的第一表面在朝向蓋板405的方向上垂直地延伸,並且可以從扼流板410形成突起。
泵襯墊415可置放在扼流板410的第二表面上,第二表面與置放在蓋板405上的扼流板的第一表面相對。如上所述,泵襯墊415可佈置成向處理空間提供排氣,該排氣可流向相關聯的第二孔407。因此,透過蓋板界定的第二複數個孔中的孔407和透過扼流板410界定的第二孔可形成用於由特定蓋堆疊界定的特定處理區域的從泵襯墊延伸的流動通道,並且其可以將處理區域與泵送系統或排氣系統流體耦接。蓋堆疊可包括位於泵襯墊415上的面板420。在一些實施例中,面板420可以是加熱組件,其可包括加熱器422,在一些實施例中,加熱器422可以是環形加熱器,圍繞面板延伸。
擋板425可置放在面板420上,並且可進一步促進如上所述的前驅物的均勻分佈。在一些實施例中,面板加熱器422可圍繞擋板425的外邊緣延伸,例如從擋板徑向向外延伸,並且可圍繞擋板425徑向延伸。可以在擋板和加熱器422之間保持間隙以限制擋板的加熱。氣箱430可置放在擋板425上。氣箱430可界定通道432,冷卻流體可在通道432中流動以控制組件的溫度。第二蓋板435可置放在氣箱430上。
因此,可在具有氣箱的面板上方和具有蓋板的面板下方兩者提供冷卻。儘管基於與可從上方提供軸對稱冷卻的擋板的堆疊佈置的耦合可保持來自氣箱的冷卻相對均勻,但基於下方組件的不對稱耦合可能更難以維持對蓋板的冷卻。例如,泵襯墊415可從位於襯墊上的面板直接加熱,且因此泵襯墊415可從面板相對均勻地加熱。然而,來自泵襯墊的熱分佈可能並不均勻。如圖所示,扼流板410可提供泵襯墊和蓋板405之間的耦合,這可包括冷卻。儘管在第二孔407周圍的較高溫度的蓋板上可形成溫度梯度,但扼流板410和泵襯墊415在該位置可具有增加的與蓋板的直接耦合,從而有助於從泵襯墊傳熱。
圖5示出了根據本技術的一些實施例的示例性處理腔室500的示意性橫截面側視圖。圖5可說明與系統100、200和/或400中的組件有關的進一步細節。腔室500被理解為包括在一些實施例中先前討論的系統100、200和/或400的任何特徵或態樣。腔室500可用於實行半導體處理操作,例如沉積、去除、蝕刻、和清潔操作。腔室500可示出所討論的腔室組件的局部視圖,且其可結合在半導體處理系統中。腔室500的任何態樣亦可與本領域具有通常知識者將容易理解的其他處理腔室或系統結合。
腔室500可包括腔室主體502,其可界定移送區域和處理區域。蓋板505可位於腔室主體502的頂部且可支撐扼流板510。扼流板可界定與蓋板505的相關的孔軸向對準的孔。如圖所示,扼流板510可包括界定穿過扼流板510的孔的邊緣512。邊緣512可沿著蓋板505的內側壁延伸,使得邊緣512延伸到由蓋板505界定的孔中,邊緣512的至少一部分在蓋板505的全部或一部分下方延伸。在一些實施例中,諸如泵襯墊的襯墊515可置放在扼流板510的上表面的頂上。面板520可設置在襯墊515的頂部。在一些實施例中,一或多個中間組件可設置在面板520和襯墊515之間。
基板支撐件525可設置在腔室主體502的內部中。基板支撐件525可在移送區域和處理區域之間的腔室主體502內垂直平移。在一些實施例中,基板支撐件525可包括支撐板530,其中可包括加熱器。基板支撐件525亦可包括軸535,該軸可延伸穿過腔室主體502的底部,並與射頻源和/或其他電源耦接。
腔室500可包括外圍閥540,其可在處理操作期間選擇性地將處理區域從公共腔室空間密封。外圍閥540可設置在腔室500的移送區域中並且可定位在基板支撐件525的外部和/或下方。外圍閥540可包括底板545,底板545可與腔室主體502的下端耦接。例如,在一些實施例中,底板545的外圍邊緣的頂面可以抵靠著腔室主體502的底面定位並與腔室主體502的底面耦接。底板545通常可與蓋板505的孔對準。底板545可界定可接收基板支撐件525的軸535的中心孔。外圍閥540可包括腔室密封波紋管550,其以第一表面和第二表面為特徵,第二表面可以與第一表面相對。腔室密封波紋管550可以沿腔室密封波紋管550的長度延伸和收縮,使得第一表面和第二表面之間的距離可以在外圍閥540的移動期間變化。在一些實施例中,腔室密封波紋管550的底面可以與底板545的頂面耦合。外圍閥540可包括密封環555,其包括界定中央孔的主體,中央孔的大小經設置以接收基板支撐件525的軸535。密封環555的主體可以以第一表面(例如底面)和第二表面(例如頂面)為特徵,第一表面與腔室密封波紋管550的頂面耦合,使得密封環555在腔室主體502內的垂直平移可導致腔室密封波紋管550延伸和/或收縮。密封環555的主體的第二表面可界定凹部,該凹部具有直徑大於基板支撐件525的支撐板530的直徑,這使得當基板支撐件525和外圍閥540處於降低位置時,支撐板530的一部分能夠被接收在凹部內。如將在下文更詳細討論的,外圍閥540可在腔室主體502內向上平移至升高位置,在升高位置密封環555的上表面(和/或與其耦接的硬止動件)可接觸扼流板510的邊緣512的下表面,該下表面可與密封環555的上表面垂直對準。密封環555的上表面可包括可壓縮的密封元件,例如O形環或墊片,其可被壓靠在邊緣512的下表面上以將處理區域與腔室空間的其餘部分密封。這在多個腔室共享公共腔室空間的實施例中可能是特別有益的,因為升高的外圍閥540可使每個腔室能夠具有在處理操作期間與其他處理區域隔離的專用處理區域。處理區域的隔離可改善每個腔室內的操作條件並且可導致改善的晶圓品質。外圍閥540可包括可設置在底板545下方的升舉機構560。升舉機構560的一部分可延伸穿過底板545並且可以與密封環555的底面耦接並可延伸和收縮以在腔室主體502內平移密封環555。
圖6A和圖6B示出了根據本技術的一些實施例的示例性外圍閥600的示意性側視圖。外圍閥600可說明先前描述的外圍閥540的附加特徵,並且可包括如上所述的任何特徵或特性。此外,外圍閥600可設置在如前所述的處理系統和/或腔室中,例如系統100、200、和400,和/或腔室500。外圍閥600可包括底板605(其可類似於底板545),其可與腔室主體(例如腔室主體502)的下端耦合。例如,在一些實施例中,底板605的外圍邊緣的頂面可以抵靠著腔室主體的底面定位並與腔室主體的底面耦接。底板605可包括外緣607,外緣607從底板605的主體向上突出並靠近底板605的外圍邊緣。外緣607可提供增加的材料,這可以為底板605和腔室主體之間的耦接提供更大的支撐。底板605可包括內部區域609,內部區域609在底板605的中心附近從主體向上突出。在一些實施例中,內部區域609可向上突出到比外緣607的頂表面更大的高度。底板605可界定可接收基板支撐件(例如基板支撐件525)的軸的中心孔610。在一些實施例中,中心孔610可具有錐形(tapered)頂部和大致圓柱形的下部。當基板支撐件處於降低位置時,錐形上部可更好地容納基板支撐件的底端。底板605可在底板605的主體內界定多個附加孔。例如,如圖6B中最佳地所示,底板605可在底板605的兩個相對側處界定孔611,孔611位於外緣607的徑向內側和內部區域609的徑向外側。底板605可界定其他數量的孔611。例如,底板605可界定至少或約兩個孔611、至少或約三個孔611、至少或約四個孔611、至少或約五個孔611、或更多。通常,孔611可圍繞底板605以相等的角度間隔間隔開,儘管一些實施例可以在兩個或更多個孔611之間提供不規則的間隔。
外圍閥600可包括腔室密封波紋管615(類似於腔室密封波紋管550),其以第一表面617和可以與第一表面617相對的第二表面619為特徵。腔室密封波紋管615可以沿腔室密封波紋管615的長度延伸和收縮,使得第一表面617和第二表面619之間的距離可在外圍閥600的移動期間變化。在一些實施例中,第一表面617可以與底板605的頂表面耦合。例如,第一表面617可在孔611的徑向向內的位置處緊固和/或以其他方式固定至底板605,使得第一表面617抵靠底板605固定。
外圍閥600可包括密封環620(其可類似於密封環555)。密封環620可包括大致環形的主體,主體界定可接收基板支撐件的軸的中心孔625。密封環620的主體可以第一表面621和第二表面623為特徵。密封環620的主體可與腔室密封波紋管615的第二表面619耦合,使得密封環620在腔室主體內的垂直平移可導致腔室密封波紋管615延伸和/或收縮。例如,密封環620的主體可包括從主體的內表面延伸一段距離到中心孔625中的內部突起630。在一些實施例中,內部突起630可以是環形形狀並且可以圍繞主體的整個內表面延伸,而在其他實施例中,內部突起630可以僅從密封環620的主體的內表面的一部分延伸。腔室密封波紋管615的第二表面619可以與內部突起630的下表面緊固和/或以其他方式耦接。內部突起的下表面和密封環620的環形主體的內壁可在密封環620內界定下凹部。下凹部可接收腔室密封波紋管615的一部分,其可以密封在密封環620的下側和底板605之間延伸的空間。
密封環620的主體的第二表面623可界定上凹部,上凹部具有直徑大於基板支撐件的支撐板的直徑,這使得當基板支撐件和外圍閥600處於降低位置時,支撐板的一部分能夠被接收在凹部內。例如,密封環620的主體的內壁和內部突起630的上表面可界定上凹部。第二表面623可包括可壓縮密封元件635(例如O形環或墊在),當外圍閥600處於升高位置時,可壓縮密封元件635可被壓縮在第二表面623和腔室的扼流板的下表面之間。密封元件635可位於形成在第二表面623內的通道內並且可略微突出到第二表面623上方。在一些實施例中,一或多個硬止動件640可與密封環620耦合。硬止動件640可由聚合材料形成,例如聚醚醚酮(PEEK)和/或其他熱塑性和/或耐化學腐蝕的聚合物材料。例如,多個硬止動件640可以以規則和/或不規則的角間隔與密封環620的外表面耦合。每個硬止動件640的頂表面可在第二表面623上方延伸一小段距離,使得當硬止動件640升高時,硬止動件640接觸扼流板的邊緣的下側,以防止密封環620首先接觸(或曾經接觸)扼流板的邊緣。例如,每個硬止動件640可在密封環620的第二表面623上方延伸約5 mils至100 mils之間、約10 mils至75 mils之間約、15 mils至50 mils之間、或約20 mils至30 mils之間。密封元件635的厚度可以大於每個硬止動件640的頂部和第二表面623之間的距離,使得當硬止動件640與扼流板的下表面接合時,密封元件635被壓縮在扼流板的下表面和第二表面623之間以將處理空間與腔室空間的其餘部分(和狹縫閥)密封。硬止動件640的較軟的聚合材料可以在外圍閥升高時緩衝外圍閥600和扼流板之間的衝擊。可使用任何數量的硬止動件640。例如,外圍閥600可包括至少或約兩個硬止動件、至少或約三個硬止動件、至少或約四個硬止動件、至少或約五個硬止動件、至少或約六個硬止動件、至少或約七個硬止動件、至少或約八個硬止動件、至少或約九個硬止動件、至少或約十個硬止動件,或更多。
外圍閥600可包括升舉機構645,其可操作以在處理腔室內平移密封環620和腔室密封波紋管615的第二表面619。升舉機構645可包括將升舉機構645與底板605耦合的安裝支架650。例如,安裝支架650的頂面651可緊固抵靠在底板605的下表面上和/或以其他方式與底板605的下表面耦接。安裝支架650的下部可與致動器655耦合,例如伺服馬達和/或其他線性致動器,其可升高和降低可移動地安裝在安裝支架650上的平台660。安裝支撐件665可與平台660耦合,使得平台660的垂直運動可引起安裝支架665的相應垂直運動。安裝支撐件665可界定開放的內部,其使得基板支撐件的軸能夠延伸穿過安裝支撐件665。如圖所示,安裝支撐件665被提供為C形主體,C形的中間部分與平台660耦合,儘管在各種實施例中可使用具有開放中心的其他設計。升降桿670,例如旋轉升降機(swivel lifts),可支撐在安裝支撐件665的頂端。如在此所示,可提供兩個升降桿670,但是在各種實施例中其他數量的升降桿670是可能的。通常,升降桿670的數量與底板605中形成的孔611的數量相匹配。每個升降桿670的底端可以與安裝支撐件665的頂面耦接,例如經由安裝在安裝支撐件665頂部的基座675。每個升降桿670的頂端可延伸穿過底板605,例如穿過孔611中的一個孔。升降桿670的頂端可直接和/或間接地與密封環620耦接。例如,在一些實施例中,頂端可直接緊固到密封環620的第一表面621。在其他實施例中,例如此處所示,一或多個密封環支撐件695可用於將每個升降桿670的頂端耦接到密封環620的第一表面621。當致動器655升高平台660時,安裝支撐件665可提升升降桿670,使得升降桿670的頂端向上延伸穿過孔611並相對於底板605提升密封環620。在一些實施例中,每個升降桿670可包括大氣密封波紋管680。例如,大氣密封波紋管680可圍繞升降桿670,大氣密封波紋管680的頂端與底板605的下表面耦合,且大氣密封波紋管680的下端與基座675的頂面耦合。在此方式中,腔室可以自包含升舉機構645的結構的區域密封。
在一些實施例中,基座675可包括衝擊阻尼(impact dampening)和/或自對準機構,其可以是相同的組件或不同的組件。圖6C示出了基座675的部分示意性橫截面側視圖。例如,基座675可透過位於組件之間的一或多個衝擊阻尼機構緊固到安裝支撐件665。如圖所示,衝擊阻尼機構可包括一或多個彈簧685,彈簧685設置在基座675的底面和安裝支撐件665的頂面之間。當第二表面623和/或硬止動件640在外圍閥600升高期間接觸扼流板時,彈簧685有助於緩沖沖擊力並幫助保護致動器655。在一些實施例中,彈簧力可用作觸發致動器655以停止提升外圍閥600的力限制器。
此外,在扼流板和密封環620的接觸表面不完全彼此平行的情況下,彈簧685可幫助自對準外圍閥600。例如,扼流板和密封環620的接觸表面的任何未對準可能導致一個基座675和/或一或多個基座675的一側上的彈簧685被壓縮到與其他彈簧685不同的高度,這可以使密封環620的第二表面623相對於預設位置偏轉和/或傾斜,使得扼流板和密封環620的接觸表面可以平行以使外圍閥600能夠適當地將處理空間從腔室的其餘部分密封。為了進一步使密封環620能夠偏轉和/或傾斜,基座675可包括一或多個球頭螺栓690,球頭螺栓690使基座675能夠相對於安裝支撐件665旋轉和/或以其他方式垂直和/或水平平移,以適應扼流板和密封環620的接觸表面的任何錯位。例如,球頭螺栓690的球部分可容納在形成於安裝支撐件665中的承口(socket)內,並且可包括延伸穿過彈簧685的中心並穿過基座675的緊固部分。諸如螺母的緊固件可以將球頭螺栓690的緊固部分與基座675固定。在一些實施例中,彈簧685可具有約100 mils和500 mils之間的總衝程(stroke)以適應扼流板和密封環620的任何錯位,儘管衝程的量可以取決於彈簧力、外圍閥600的設計、和/或腔室公差。
當升高時,外圍閥600可將處理區域與腔室空間的其餘部分密封。這在多個腔室共享公共腔室空間的實施例中可能是特別有益的,因為升高的外圍閥600可使每個腔室能夠具有在處理操作期間與其他處理區域隔離的專用處理區域。處理區域的隔離可改善每個腔室內的操作條件並且可導致改善的晶圓品質。此外,大氣密封波紋管680可幫助將腔室區域(其可保持在真空狀態)與腔室外部(例如容納升舉機構645的區域)密封以維持腔室內的真空環境。
圖7A-圖7C圖示了根據本技術的實施例的示例性腔室700的簡化示意性側視截面圖。圖7A-圖7C可說明與系統100、200和400和/或腔室500中的組件有關的進一步細節。腔室700被理解為包括之前在一些實施例中討論的系統100、200、和400,和/或腔室500的任何特徵或態樣。腔室700可用於實行半導體處理操作,例如沉積、去除和清潔操作。腔室700可示出所討論的腔室組件的局部視圖,且其可結合在半導體處理系統中。腔室700的任何態樣亦可與本領域具有通常知識者將容易理解的其他處理腔室或系統結合。
腔室700可包括腔室主體702,其可界定移送區域和處理區域。蓋板705可位於腔室主體702的頂部且可支撐扼流板710。如圖所示,扼流板710可包括界定穿過扼流板710的孔的邊緣712。邊緣712可沿著蓋板705的內側壁延伸,使得邊緣712延伸到由蓋板705界定的孔中,邊緣712的至少一部分在蓋板705的全部或一部分下方延伸。在一些實施例中,諸如泵襯墊的襯墊715可置放在扼流板710的上表面的頂上。面板720可設置在襯墊715的頂部。在一些實施例中,一或多個中間組件可設置在面板720和襯墊715之間。
基板支撐件725可設置在腔室主體702的內部中。基板支撐件725可在移送區域和處理區域之間的腔室主體702內垂直平移。在一些實施例中,基板支撐件725可包括支撐板730,其中可包括加熱器。基板支撐件725亦可包括軸735,該軸可延伸穿過腔室主體702的底部,並與射頻源和/或其他電源耦接。外圍閥740可設置在腔室700的移送區域中並且可定位在基板支撐件725的外部和/或下方。外圍閥740可以類似於本文所述的外圍閥540和600,並且可理解為具有關於這種外圍閥而描述的任何特徵。例如,外圍閥740可包括底板745,底板745可與腔室主體702的下端耦接。底板745可界定可接收基板支撐件725的軸735的中心孔。外圍閥740可包括腔室密封波紋管750,其以第一表面和第二表面為特徵,第二表面可以與第一表面相對。在一些實施例中,腔室密封波紋管750的底面可以與底板745的頂面耦合。外圍閥740可包括密封環755,其包括界定中央孔的主體,中央孔的大小經設置以接收基板支撐件725的軸735。密封環755的主體的頂面可界定凹部,該凹部具有直徑大於基板支撐件725的支撐板730的直徑,這使得當基板支撐件725和外圍閥740處於降低位置時,支撐板730的一部分能夠被接收在凹部內,如圖7A所示。基板支撐件725和外圍閥740可從圖7A所示的位置升起至如圖7C所示的升高的處理位置。例如,基板支撐件725的升舉機構可將基板支撐件725提升至提升位置,而外圍閥740的升舉機構(類似於升舉機構645)可將外圍閥740提升至提升位置。基板支撐件725和外圍閥740的升起可以是順序的和/或彼此同步的。隨著外圍閥740被升高,升舉機構的升降桿(類似於升降桿670)可使密封環755相對於底板745升高,這也可以導致腔室密封波紋管750縱向延伸。密封環755可被升高直到密封環755的上表面接觸扼流板710的邊緣712的下側,這可以防止外圍閥被進一步升高,如圖7B所示。在一些實施例中,多個硬止動件765(類似於硬止動件640)可以與密封環755的上表面耦合並在其上方稍微延伸,以便接觸邊緣712的下側。密封環755的上表面亦可包括可壓縮密封元件770,可壓縮密封元件770可被壓縮在密封環755的上表面和邊緣712的下表面之間,以當外圍閥740如圖7B中所示定位時,將處理空間與腔室空間的其餘部分(及狹縫閥)密封。這在多個腔室共享公共腔室空間的實施例中可能是特別有益的,因為升高的外圍閥740可使每個腔室能夠具有在處理操作期間與其他處理區域隔離的專用處理區域。處理區域的隔離可改善每個腔室內的操作條件並且可導致改善的晶圓品質。在外圍閥740定位抵靠扼流板710的邊緣712後,基板支撐件725可繼續升高。例如,基板支撐件725可升高至接近面板720的較高處理位置,如圖7C所示。在這樣的處理位置中,基板支撐件725可高於外圍閥740。
圖8示出了根據本技術的一些實施例的基板處理的示例性方法800的操作。方法可在各種處理腔室中實行,包括處理系統100、200和400,以及上述的腔室500和700,其可包括根據本技術的實施例的外圍閥。方法800可包括多個選擇性操作,其可以或可以不與根據本技術的方法的一些實施例具體地相關聯。
方法800可包括具有在方法800開始之前的選擇性操作的方法,或者方法可包括附加操作。例如,方法800可包括以不同於所示出的順序來實行的操作。在一些實施例中,方法800可包括在操作805將基板支撐件在半導體處理腔室內從移送位置向上移動到處理位置。在操作810,外圍閥(例如外圍閥540、600、和740)可以在半導體處理腔室內向上移動以將處理區域與腔室空間的其餘部分(以及狹縫閥)密封。基板支撐件和外圍閥可一致地和/或順序地升高。在一些實施例中,外圍閥可以向上移動直到外圍閥的頂面接觸位於半導體處理腔室的腔室主體頂部的扼流板的下表面,這可以限制外圍閥的向上移動。在一些實施例中,外圍閥可包括衝擊阻尼機構,當外圍閥接觸扼流板時,衝擊阻尼機構幫助防止對升舉機構的損壞。外圍閥可包括自對準機構,在組件的接觸表面不彼此平行的情況下,自對準機構可幫助對準外圍閥的頂面和扼流板的下表面。
在操作815,可以將一或多種前驅物,例如(但不限於)含矽前驅物,輸送到半導體處理腔室。在操作820,可以例如透過向面板提供RF功率以產生電漿來產生處理區域內的前驅物的電漿。在操作825,在電漿中形成的材料可以沉積和/或蝕刻在基板上。
在前面的描述中,出於解釋的目的,已闡述許多細節以便提供對本技術的各種實施例的理解。然而,對所屬技術領域具有通常知識者將顯而易見的是,可以在沒有這些細節中的一些或具有其他細節的情況下實施某些實施例。
已經公開了幾個實施例,所屬技術領域具有通常知識者將認識到,可以使用各種修改、替代構造、和均等而不脫離實施例的精神。此外,為了避免不必要地混淆本技術,並未描述許多習知的處理和元件。因此,以上描述不應被視為限制本技術的範疇。此外,方法或處理可以被描述為順序的或按步驟的,但是應理解,操作可以同時實行,或者以與所列順序不同的順序實行。
在提供值的範圍的情況下,應理解到,除非上下文另外明確指出,否則在此範圍的上限和下限之間的每個中間的值,到下限的單位的最小部分,都亦明確揭露。涵蓋了在描述的範圍內的任何描述的值或未描述的中間值與該描述的範圍內的任何其他描述的或中間值之間的任何較窄的範圍。這些較小範圍的上限和下限可以獨立地包括在該範圍中或排除在該範圍之外,且在界限的一者、均沒有、或兩者被包括在該較小範圍內的每個範圍亦被涵蓋於本技術之中,針對受描述的範圍內任何明確排除的界限。在所述範圍包括界限的一者或兩者的情況下,亦包括排除那些所包括的界限中的一者或兩者的範圍。
如本文和隨附申請專利範圍中所使用的,單數形式的「一」、「一個」、和「該」包括複數參照,除非上下文有另外明確指出。因此,例如,對於「一板」的參照包括複數個這種板,並且對「該孔」的參照包括對所屬技術領域具有通常知識者為已知的一或多個孔及其均等,等等。
而且,當在本說明書和隨附申請專利範圍中使用時,用語「包括(comprise(s))」、「包括(comprising)」、「包含(contain(s))」、「包含(containing)」、「包括(include(s))」、和「包括(including)」是旨在於指名所描述的特徵、整體、組件、或操作的存在,但是它們並不排除一或多個其他特徵、整體、組件、操作、動作、或組的存在或增加。
100:處理系統 102:前開式晶圓傳送盒 103:工廠介面 104a:機械臂 104b:機械臂 106:低壓保持區域 107:通路 108:基板處理區域 108a:處理區域 108b:處理區域 109a-109c:四部分 110:第二機械臂 112:移送腔室 120:移送區域 125:移送區域殼體 130:基板支撐件 130a:基板支撐件 130b:基板支撐件 135:移送設備 140:面板 140a:面板 140b:面板 142:加熱器 145:泵襯墊 145a:泵襯墊 145b:泵襯墊 147:熱扼流器板 150:擋板 150a:擋板 150b:擋板 155:蓋 158:蓋板 160:孔 160a:第一孔 160b:第二孔 165:遠端電漿單元 170:淨化通道 170a:第一淨化通道 170b:第二淨化通道 200:腔室系統 205:移送區域殼體 207:通達位置 210:基板支撐件 210a:基板支撐件 210b:基板支撐件 210c:基板支撐件 210d:基板支撐件 212:升降銷 215:通路 220:移送設備 225:中央樞紐 235:端效器 237:臂 300:蓋板 305:孔 310:孔 315:流體冷卻管線 400:處理系統 402:移送區域殼體 405:第一蓋板 406:孔 407:孔 410:扼流板 412:邊緣 415:泵襯墊 420:面板 422:加熱器 425:擋板 430:氣箱 432:通道 435:第二蓋板 500:處理腔室 502:腔室主體 505:蓋板 510:扼流板 512:邊緣 515:襯墊 525:基板支撐件 530:支撐板 535:軸 540:外圍閥 545:底板 550:腔室密封波紋管 555:密封環 560:升舉機構 600:外圍閥 605:底板 607:外緣 609:內部區域 610:中心孔 611:孔 615:腔室密封波紋管 617:第一表面 619:第二表面 620:密封環 621:第一表面 623:第二表面 625:中心孔 630:內部突起 635:密封元件 640:硬止動件 645:升舉機構 650:安裝支架 651:頂面 655:致動器 660:平台 665:安裝支撐件 670:升降桿 675:基座 680:大氣密封波紋管 685:彈簧 690:球頭螺栓 700:腔室 702:腔室主體 705:蓋板 710:扼流板 712:邊緣 715:襯墊 720:面板 725:基板支撐件 730:支撐板 735:軸 740:外圍閥 745:底板 750:腔室密封波紋管 755:密封環 765:硬止動件 770:密封元件 800:方法 805:操作 810:操作 815:操作 820:操作 825:操作
透過參照說明書的其餘部分和隨附圖式,可以實現對所揭露的技術的性質和優點的進一步理解。
圖1A示出了根據本技術的一些實施例的示例性處理工具的示意頂視圖。
圖1B示出了根據本技術的一些實施例的示例性處理系統的示意性局部截面圖。
圖2示出了根據本技術的一些實施例的示例性基板處理系統的移送部分的示意性等距視圖。
圖3示出了根據本技術的一些實施例的示例性基板處理系統的蓋板的示意性等距視圖。
圖4示出了根據本技術的一些實施例的示例性基板處理系統的示例性系統佈置的部分示意性截面圖。
圖5示出了根據本技術的一些實施例的示例性基板處理系統的示意性側視截面圖。
圖6A-圖6C示出了根據本技術的一些實施例的示例性基板處理系統的外圍閥的示意性側視截面圖。
圖7A-圖7C示出了根據本技術的一些實施例的示例性腔室系統的示意性局部截面圖。
圖8示出了根據本技術的一些實施例的處理基板的示例性方法的操作。
一些圖作為示意圖包含在內。應理解,圖式僅用於說明性目的,除非特別說明是按比率或比例,否則不應視為按比率或比例。此外,作為示意,提供了圖以幫助理解,並且與實際表示相比,圖可能不包括所有態樣或資訊,並且出於說明目的,可能包括放大的材料。
在隨附圖式中,相似的組件和/或特徵可具有相同的元件符號。此外,相同類型的各種組件可以透過在元件符號後加上一個在相似組件之間進行區分的字母來進行區分。如果在說明書中僅使用第一元件符號,則該描述可應用於具有相同第一元件符號的任何一個類似的組件,而與字母無關。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
700:腔室
702:腔室主體
705:蓋板
710:扼流板
712:邊緣
715:襯墊
720:面板
725:基板支撐件
730:支撐板
735:軸
740:外圍閥
745:底板
750:腔室密封波紋管
755:密封環
765:硬止動件
770:密封組件

Claims (20)

  1. 一種基板處理系統,包括: 一腔室主體,界定一移送區域; 一蓋板,位於該腔室主體上,其中該蓋板界定穿過該蓋板的複數個孔;和 複數個蓋堆疊,其數量等於穿過該蓋板界定的該複數個孔中的孔的一數量,其中,該複數個蓋堆疊至少部分地界定從該移送區域垂直偏移的複數個處理區域; 複數個基板支撐組件,其數量等於穿過該蓋板界定的孔的該數量,該複數個基板支撐組件中的每個基板支撐組件設置在該複數個處理區域中的相應的一個處理區域中,其中該複數個基板支撐組件中的每個基板支撐組件包括一支撐板和一軸,該軸與該支撐板的一底部耦接; 複數個外圍閥,其數量等於穿過該蓋板界定的孔的該數量,該複數個外圍閥中的每個外圍閥設置在該複數個基板支撐組件中的相應的一個基板支撐組件下方的該複數個處理區域中的相應的一個處理區域中,其中該複數個外圍閥中的每個外圍閥包括: 一底板,與該腔室主體的一下端耦接,其中該底板與該複數個孔中的相應的一個孔對準; 一腔室密封波紋管,其特徵在於具有一第一表面和與該第一表面相對的一第二表面,其中該密封波紋管的該第一表面與該底板的一頂面耦接;和 一密封環,具有界定一中心孔的一主體,該中心孔的尺寸經設置以接收該複數個基板支撐組件中的相應的一個基板支撐組件的該軸,其中: 該主體的一底面與該密封波紋管的一頂面耦接; 該主體的一上表面界定一凹部,該凹部具有一直徑大於該複數個基板支撐組件中的相應的該一個基板支撐組件的該支撐板的一直徑;且 該密封環可在該複數個處理區域的相應的該一個處理區域內垂直平移。
  2. 如請求項1所述之基板處理系統,其中: 該複數個外圍閥中的每個外圍閥包括一衝擊阻尼機構。
  3. 如請求項2所述之基板處理系統,其中: 該衝擊阻尼機構包括一彈簧。
  4. 如請求項1所述之基板處理系統,其中: 該密封環包括設置在該密封環的一頂面上方的至少一個硬止動件。
  5. 如請求項1所述之基板處理系統,其中: 該複數個外圍閥中的每個外圍閥包括設置在該底板下方的一升舉機構。
  6. 如請求項1所述之基板處理系統,其中: 該複數個蓋堆疊中的每個蓋堆疊包括一扼流板,該扼流板沿該扼流板的一第一表面置放在該蓋板上,該扼流板包括從該腔室主體的一內表面向內延伸的一內部。
  7. 如請求項6所述之基板處理系統,其中: 該密封環的一頂邊緣與該扼流板的該內部的至少一部分垂直對準。
  8. 如請求項1所述之基板處理系統,其中: 每個基板支撐組件可在該複數個處理區域的相應的一個處理區域內垂直平移;和 該基板支撐組件的一升高位置高於該複數個外圍閥中的相應的一個外圍閥的一升高位置。
  9. 如請求項1所述之基板處理系統,其中: 該腔室密封波紋管可沿該腔室密封波紋管的一垂直軸線延伸和收縮。
  10. 一種基板處理腔室,包括: 一腔室主體,界定一處理區域; 一底板,與該腔室主體的一下端耦接,該底板界定一中心開口; 一基板支撐件,設置在該腔室主體內,該基板支撐件包括: 一支撐板,包括一加熱器; 一軸,與該支撐板的一底部耦接並延伸穿過該底板的該中心開口; 一外圍閥,設置在該基板支撐件下方的該處理區域中,其中該外圍閥包括: 一腔室密封波紋管,其特徵在於具有一第一表面和與該第一表面相對的一第二表面,其中該密封波紋管的該第一表面與該底板的一頂面耦接;和 一密封環,具有界定一中心孔的一主體,該中心孔的尺寸經設置以接收該基板支撐件的該軸,其中: 該主體的一底面與該密封波紋管的一頂面耦接; 該主體的一上表面界定一凹部,該凹部具有一直徑大於該基板支撐件的該支撐板的一直徑;且 該密封環可在該處理區域內垂直平移。
  11. 如請求項10所述之基板處理腔室,其中: 該外圍閥包括與該底板的一底面耦接的複數個大氣密封波紋管。
  12. 如請求項10所述之基板處理腔室,其中: 該密封環包括設置在該密封環的一頂面上方的至少一個硬止動件。
  13. 如請求項12所述之基板處理腔室,其中: 該至少一個硬止動件包括一聚合材料。
  14. 如請求項10所述之基板處理腔室,進一步包括: 一扼流板,設置在該腔室主體上方,該扼流板包括一內部,該內部向該腔室主體的一內表面的內側延伸並且沿著該腔室主體的該內表面向下延伸。
  15. 如請求項14所述之基板處理腔室,其中: 當該外圍閥處於一升高位置時,該密封環的至少一部分接觸該扼流板的該內部的一底部。
  16. 如請求項15所述之基板處理腔室,其中: 該外圍閥包括一衝擊阻尼機構。
  17. 如請求項16所述之基板處理腔室,其中: 該衝擊阻尼機構包括一球頭螺栓接頭。
  18. 一種基板處理方法,包括以下步驟: 將一基板支撐件在一半導體處理腔室內從一移送位置向上移動到一處理位置; 將一外圍閥在該半導體處理腔室內向上移動以將一處理區域從一腔室空間的其餘部分密封; 將一前驅物流入該半導體處理腔室; 在該半導體處理腔室的該處理區域內產生該前驅物的一電漿;和 在該基板上蝕刻一材料。
  19. 如請求項18所述之基板處理方法,其中: 該外圍閥向上移動直到該外圍閥的一頂面接觸位於該半導體處理腔室的一腔室主體頂部的一扼流板的一下表面。
  20. 如請求項19所述之基板處理方法,其中: 當該外圍閥的該頂面接觸該扼流板的該下表面時,該外圍閥是自對準的。
TW111135668A 2021-09-27 2022-09-21 將腔室空間隔離成具有內部晶圓移送能力的處理空間之方法 TW202329198A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/486,616 US20230095095A1 (en) 2021-09-27 2021-09-27 Method of isolating the chamber volume to process volume with internal wafer transfer capability
US17/486,616 2021-09-27

Publications (1)

Publication Number Publication Date
TW202329198A true TW202329198A (zh) 2023-07-16

Family

ID=85706370

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111135668A TW202329198A (zh) 2021-09-27 2022-09-21 將腔室空間隔離成具有內部晶圓移送能力的處理空間之方法

Country Status (5)

Country Link
US (1) US20230095095A1 (zh)
KR (1) KR20240021283A (zh)
CN (1) CN117730403A (zh)
TW (1) TW202329198A (zh)
WO (1) WO2023049038A1 (zh)

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2548062B2 (ja) * 1992-11-13 1996-10-30 日本エー・エス・エム株式会社 縦型熱処理装置用ロードロックチャンバー
US6221781B1 (en) * 1999-05-27 2001-04-24 Fsi International, Inc. Combined process chamber with multi-positionable pedestal
JP4753224B2 (ja) * 2000-08-22 2011-08-24 日本エー・エス・エム株式会社 ガスラインシステム
JP4152802B2 (ja) * 2003-05-09 2008-09-17 日本エー・エス・エム株式会社 薄膜形成装置
JP4879509B2 (ja) * 2004-05-21 2012-02-22 株式会社アルバック 真空成膜装置
CN101205605B (zh) * 2006-12-18 2012-01-11 东京毅力科创株式会社 用于热增强和等离子体增强气相沉积的装置及操作方法
CN103392226A (zh) * 2010-12-29 2013-11-13 Oc欧瑞康巴尔斯公司 真空处理设备
CN104812939B (zh) * 2012-11-30 2017-02-22 应用材料公司 处理腔室气流设备、系统和方法
US20200381276A1 (en) * 2019-05-31 2020-12-03 Applied Materials, Inc. Multisubstrate process system
US20210013069A1 (en) * 2019-07-12 2021-01-14 Applied Materials, Inc. Multi-lid structure for semiconductor processing system

Also Published As

Publication number Publication date
US20230095095A1 (en) 2023-03-30
WO2023049038A1 (en) 2023-03-30
KR20240021283A (ko) 2024-02-16
CN117730403A (zh) 2024-03-19

Similar Documents

Publication Publication Date Title
TWI790603B (zh) 用於半導體處理系統的相容元件
CN114127887A (zh) 用于半导体处理系统的多盖结构
JP2022540607A (ja) 同時基板移送用ロボット
WO2023027916A1 (en) Thermal choke plate
TW202145411A (zh) 半導體處理系統的底部淨化
KR20230038781A (ko) 다중 프로세스 반도체 프로세싱 시스템
TWI813223B (zh) 熱噴淋頭
US20220028710A1 (en) Distribution components for semiconductor processing systems
TWI783445B (zh) 熱控制的蓋堆疊組件
TWI823158B (zh) 用於提供對稱射頻返回路徑的預裝載碗機構
TWI831676B (zh) 用於更高產量和更快轉變時間的半導體處理腔室架構
TW202329198A (zh) 將腔室空間隔離成具有內部晶圓移送能力的處理空間之方法
TWI834257B (zh) 熱扼流板
KR20230084307A (ko) 대칭 라디오 주파수 귀환 경로를 제공하기 위한 동적 인터페이스