KR20230051166A - 페이스팅 프로세스 동안 보호 디스크로 기판 홀더 교체 - Google Patents

페이스팅 프로세스 동안 보호 디스크로 기판 홀더 교체 Download PDF

Info

Publication number
KR20230051166A
KR20230051166A KR1020237003109A KR20237003109A KR20230051166A KR 20230051166 A KR20230051166 A KR 20230051166A KR 1020237003109 A KR1020237003109 A KR 1020237003109A KR 20237003109 A KR20237003109 A KR 20237003109A KR 20230051166 A KR20230051166 A KR 20230051166A
Authority
KR
South Korea
Prior art keywords
disk
shutter
assembly
cluster tool
disc
Prior art date
Application number
KR1020237003109A
Other languages
English (en)
Inventor
스리니바사 라오 예들라
키란쿠마르 닐라산드라 사반다이아
토마스 브레조츠키
바스카 프라사드
니틴 바라드와즈 사티아볼루
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20230051166A publication Critical patent/KR20230051166A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J15/00Gripping heads and other end effectors
    • B25J15/0019End effectors other than grippers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J15/00Gripping heads and other end effectors
    • B25J15/009Gripping heads and other end effectors with pins for accurately positioning the object on the gripping head
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G29/00Rotary conveyors, e.g. rotating discs, arms, star-wheels or cones
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67346Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders characterized by being specially adapted for supporting a single substrate or by comprising a stack of such individual supports
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2201/00Indexing codes relating to handling devices, e.g. conveyors, characterised by the type of product or load being conveyed or handled
    • B65G2201/02Articles
    • B65G2201/0297Wafer cassette
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2814/00Indexing codes relating to loading or unloading articles or bulk materials
    • B65G2814/03Loading or unloading means
    • B65G2814/0301General arrangements
    • B65G2814/0311Other article loading or unloading devices
    • B65G2814/0313Other article loading or unloading devices with vertically reciprocating platforms

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

프로세싱 챔버 및 이송 아암을 갖는 클러스터 툴 조립체에서 사용하기 위한 셔터 디스크는 내부 디스크, 및 내부 디스크 상에 배치되도록 구성된 외부 디스크를 포함한다. 내부 디스크는, 클러스터 툴 조립체의 이송 아암의 로케이팅 핀들과 정합하도록 구성된 복수의 로케이팅 피처들, 및 클러스터 툴 조립체의 프로세싱 챔버에 배치된 기판 지지체의 정렬 엘리먼트들과 정합하도록 구성된 복수의 중심설정 피처들을 포함한다.

Description

페이스팅 프로세스 동안 보호 디스크로 기판 홀더 교체
[0001] 본 개시내용의 실시예들은 일반적으로 기판들을 프로세싱하기 위해 다수의 프로세싱 챔버(processing chamber)들을 사용하는 기판 프로세싱 플랫폼(substrate processing platform)들에 관한 것이며, 더 구체적으로는 그러한 프로세싱 챔버들을 위한 프로세스 키트(process kit)에서 사용되는 분할 셔터 디스크(split shutter disc)에 관한 것이다.
[0002] 기존의 클러스터 툴(cluster tool)들은 기판 프로세싱 동안에 하나 이상의 프로세스들을 수행하도록 구성된다. 예를 들어, 클러스터 툴은 물리 기상 증착(PVD) 챔버, 원자층 증착(ALD) 챔버, 화학 기상 증착(CVD) 챔버, 및/또는 기판 상에 하나 이상의 다른 프로세스들을 수행하기 위한 하나 이상의 다른 프로세싱 챔버들을 포함할 수 있다. PVD 프로세스에서, 예를 들어 유전체 재료들을 증착하기 위해, 전도성 재료 코팅이 PVD 챔버의 내부면들 상에 도포되어(즉, 페이스팅되어(pasted)) 챔버의 내부면들에서의 입자 형성들을 최소화할 수 있다. 그러한 페이스팅 프로세스들 동안, 챔버 구성요소들은 보호 디스크(셔터 디스크로 또한 지칭됨)를 통해 페이스팅 재료의 증착으로부터 보호될 필요가 있다. 그러나, 단일 클러스터 툴 시스템의 다수의 PVD 챔버들에서 기판들을 프로세싱하는 동안의 기존의 하나의 단점은, 각각의 증착 프로세스를 램핑 업(ramping up) 및 램핑 다운(ramping down)하기 위한 시간이 허용되어야 하기 때문에 시스템의 기계적 스루풋(throughput) 감소와 관련된다.
[0003] 따라서, 당업계에서는 PVD 페이스팅과 같은 프로세스들에 대한 기계적 스루풋을 향상시킬 수 있는, 클러스터 툴을 위한 셔터 디스크에 대한 요구가 존재한다.
[0004] 본 개시내용의 실시예들은 프로세싱 챔버 및 이송 아암을 갖는 클러스터 툴 조립체에서 사용하기 위한 셔터 디스크를 포함한다. 셔터 디스크는 내부 디스크, 및 내부 디스크 상에 배치되도록 구성된 외부 디스크를 포함한다. 내부 디스크는, 클러스터 툴 조립체의 이송 아암의 로케이팅 핀(locating pin)들과 정합하도록 구성된 복수의 로케이팅 피처(locating feature)들, 및 클러스터 툴 조립체의 프로세싱 챔버에 배치된 기판 지지체의 정렬 엘리먼트들과 정합하도록 구성된 복수의 중심설정 피처(centering feature)들을 포함한다.
[0005] 본 개시내용의 실시예들은 또한 프로세싱 챔버에서 사용하기 위한 페디스털 조립체를 포함한다. 페디스털 조립체는, 셔터 디스크의 내부 디스크 상에 배치된 복수의 중심설정 피처들과 정합하도록 구성된 복수의 정렬 엘리먼트들을 포함하는 기판 지지체, 및 셔터 디스크의 내부 디스크 상에 배치된, 셔터 디스크의 외부 디스크의 밀봉면과 밀봉부(seal)를 형성하도록 구성된 밀봉 조립체를 포함한다.
[0006] 본 개시내용의 실시예들은 또한 클러스터 툴 조립체를 포함한다. 클러스터 툴 조립체는 셔터 디스크를 이송 및 지지하도록 구성된 이송 아암을 갖는 중앙 이송 장치, 및 페디스털 조립체를 갖는 프로세싱 챔버를 포함한다. 이송 아암은 이송 아암 상에 지지된 셔터 디스크의 내부 디스크 상에 배치된 로케이팅 피처들과 정합하도록 구성된 복수의 로케이팅 핀들을 포함한다. 페디스털 조립체는, 셔터 디스크의 내부 디스크 상에 배치된 복수의 중심설정 피처들과 정합하도록 구성된 복수의 정렬 엘리먼트들을 포함하는 기판 지지체, 및 셔터 디스크의 내부 디스크 상에 배치된, 셔터 디스크의 외부 디스크의 밀봉면과 밀봉부를 형성하도록 구성된 밀봉 조립체를 포함한다.
[0007] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 더 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 단지 예시적인 실시예들을 예시하는 것이므로 그 범위를 제한하는 것으로 간주되지 않아야 하며 다른 균등하게 유효한 실시예들을 허용할 수 있다는 것이 주목되어야 한다.
[0008] 도 1은 하나 이상의 실시예들에 따른 클러스터 툴 조립체의 평면도이다.
[0009] 도 2는 하나 이상의 실시예들에 따른 중앙 이송 장치의 개략도이다.
[0010] 도 3a 및 도 3b는 하나 이상의 실시예들에 따른 프로세싱 챔버의 개략적인 측단면도들이다.
[0011] 도 4a, 도 4b, 도 4c 및 도 4d는 하나 이상의 실시예들에 따른 셔터 디스크의 개략도, 저면도, 평면도 및 부분 확대 단면도이다.
[0012] 도 5a 및 도 5b는 일부 실시예들에 따른 이송 아암의 로케이팅 핀들의 개략도이다.
[0013] 도 6a, 도 6b 및 도 6c는 일부 실시예들에 따른 로케이팅 피처들의 개략도들이다.
[0014] 도 7a는 하나 이상의 실시예들에 따른 기판 지지체의 정렬 엘리먼트의 개략도이다. 도 7b는 하나 이상의 실시예들에 따른 중심설정 피처의 개략도이다. 도 7c는 하나 이상의 실시예들에 따른 외부 디스크의 부분 확대 측면도이다.
[0015] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 일 실시예의 엘리먼트들 및 특징들이 추가의 언급 없이 다른 실시예들에 유익하게 통합될 수 있는 것으로 고려된다.
[0016] 본 개시내용의 실시예들은 일반적으로 기판을 프로세싱하기 위해 다수의 프로세싱 챔버들을 사용하는 기판 프로세싱 플랫폼들에 관한 것이며, 더 구체적으로는 그러한 프로세싱 챔버들을 위한 프로세스 키트에서 사용되는 분할 셔터 디스크에 관한 것이다.
[0017] 본원에 설명된 분할 셔터 디스크는 2 개의 분할 탈착형 피스(split detachable piece)들로 형성되고, 물리 기상 증착(PVD) 페이스팅 프로세스들과 같은 프로세스들 동안에 재료 증착으로부터 하부 챔버 구성요소들을 보호한다. 분할 셔터 디스크는 기판 지지체 및 이송 아암(transfer arm)에 대해 로케이팅 및 정렬하기 위한 피처들을 포함하며, 따라서 셔터 디스크들을 교환하는 시간을 감소시키고 결국 기계적 스루풋을 향상시킨다.
[0018] 도 1은 일 실시예에 따른 클러스터 툴 조립체(100)의 평면도이다. 클러스터 툴 조립체(100)는 복수의 로드록 챔버(load lock chamber)들(130), 복수의 로드록 챔버들(130)에 인접한 복수의 로봇 챔버(robot chamber)들(180), 복수의 로봇 챔버들(180)에 인접한 복수의 준비 챔버(prep chamber)들(190), 및 복수의 로봇 챔버들(180)에 인접한 프로세싱 모듈(processing module)(150)을 포함한다. 클러스터 툴 조립체(100)의 로드록 챔버들(130)은 전형적으로 전면 개방 통합 포드(front opening unified pod; FOUP)들(110)에 인접한 팩토리 인터페이스(factory interface)(120)에 의해 복수의 FOUP들(110)에 결합된다.
[0019] 복수의 FOUP들(110)은 기판들 및 셔터 디스크들이 상이한 기계들 사이에서 이동될 때 기판들 및 셔터 디스크들을 안전하게 고정 및 보관하는 데 이용될 수 있다. 복수의 FOUP들(110)은 시스템의 프로세스 및 스루풋에 따라 양적으로 변할 수 있다. 팩토리 인터페이스(120)는 복수의 FOUP들(110)과 복수의 로드록 챔버들(130) 사이에 배치된다. 팩토리 인터페이스(120)는 복수의 FOUP들(110)과 클러스터 툴 조립체(100) 사이의 인터페이스(interface)를 생성한다. 복수의 로드록 챔버들(130)은 제1 밸브(valve)들(125)에 의해 팩토리 인터페이스(120)에 연결되고, 그에 따라 기판들 및 셔터 디스크들이 제1 밸브들(125)을 통해 팩토리 인터페이스(120)로부터 복수의 로드록 챔버들(130)로 그리고 복수의 로드록 챔버들(130)로부터 팩토리 인터페이스(120)로 이송될 수 있다. 도시된 바와 같이, 제1 밸브들(125)은 로드록 챔버들(130)의 하나의 벽에 있다. 일부 실시예들에서, 제1 밸브들(125)은 유체 격리 밸브들이고, 팩토리 인터페이스(120)와 로드록 챔버들(130) 사이에 밀봉부를 형성한다. 이러한 밀봉부는 외부 오염물질들이 클러스터 툴 조립체(100)로 진입하는 것을 방지할 수 있다. 로드록 챔버들(130) 각각은 또한 제1 밸브(125)와 반대측 벽에 있는 제2 밸브(135)를 포함한다. 제2 밸브들(135)은 로드록 챔버들(130)을 로봇 챔버들(180)과 인터페이싱한다.
[0020] 도시된 바와 같이, 로드록 챔버들(130)이 팩토리 인터페이스(120)와 로봇 챔버들(180) 사이에 있도록, 로봇 챔버들(180)은 로드록 챔버들(130)의 일 측면에 있다. 로봇 챔버들(180) 각각은 이송 로봇(transfer robot)(185)을 포함한다. 이송 로봇(185)은 하나 이상의 기판들 및 셔터 디스크들을 로드록 챔버들(130)로부터 프로세싱 챔버들(160) 중 하나로 이송하기에 적합한 임의의 로봇일 수 있다.
[0021] 일부 실시예들에서, 이송 로봇(185)은 기판들을 로드록 챔버들(130)로부터 복수의 준비 챔버들(190) 내로 운송하도록 구성된다. 이송 로봇(185)은 로드록 챔버들(130)로부터 기판을 제거하고, 기판을 로봇 챔버(180) 내로 이동시킨 후에, 기판을 준비 챔버(190) 내로 이동시킨다. 기판이 이송 로봇(185)에 의해 로드록 챔버들(130)로부터 준비 챔버들(190)로 이동될 수 있는 방법과 유사하게, 기판은 또한 이송 로봇(185)에 의해 준비 챔버(190)로부터 로드록 챔버들(130)로 이동될 수 있다. 이송 로봇(185)은 또한 기판들을 프로세싱 모듈(150)로부터 준비 챔버들(190) 또는 로드록 챔버들(130)로 이동시킬 수 있다. 일부 대안적인 실시예들에서, 이송 로봇(185)은 로드록 챔버(130)로부터 기판 또는 셔터 디스크를 이동시키고, 기판 또는 셔터 디스크를 로봇 챔버(180) 내로 이동시킨 후에, 기판 또는 셔터 디스크를 프로세싱 모듈(150) 내로 이동시킬 수 있다. 이러한 대안적인 실시예에서, 기판은 프로세싱 모듈(150)에서 프로세싱하기 전에 또는 프로세싱 모듈(150)에서 프로세싱한 후에 준비 챔버(190)로 진입하지 않을 수 있다.
[0022] 준비 챔버들(190)은 세정 챔버(192), 패키징 구조물(packaging structure)(194) 및 세정 챔버 펌프(cleaning chamber pump)(196)를 포함한다. 세정 챔버(192)는 클러스터 툴 조립체(100) 내에서의 원하는 프로세스에 따라 사전-세정 챔버, 어닐링 챔버(anneal chamber) 또는 냉각 챔버 중 어느 하나일 수 있다. 일부 실시예들에서, 세정 챔버(192)는 습식 세정 챔버이다. 다른 실시예들에서, 세정 챔버(192)는 플라즈마 세정 챔버이다. 또 다른 예시적인 실시예들에서, 세정 챔버(192)는 캘리포니아주 산타클라라 소재의 Applied Materials, Inc.로부터 입수 가능한 Preclean II 챔버이다.
[0023] 패키징 구조물(194)은 세정 챔버(192)를 위한 구조적 지지체일 수 있다. 패키징 구조물(194)은 서브-이송 챔버(도시되지 않음), 가스 공급부(도시되지 않음) 및 배기 포트(exhaust port)(도시되지 않음)를 포함할 수 있다. 패키징 구조물(194)은 세정 챔버(192) 주위에 구조물을 제공하고, 세정 챔버(192)를 로봇 챔버(180)에 인터페이싱할 수 있다. 세정 챔버 펌프(196)는 세정 챔버(192)의 벽에 인접하게 배치되고, 세정 챔버(192) 내의 압력 제어를 제공한다. 하나의 세정 챔버 펌프(196)는 세정 챔버들(192) 각각에 인접하여 있을 수 있다. 세정 챔버 펌프(196)는 세정 챔버(192)에 압력 변화를 제공하도록 구성될 수 있다. 일부 실시예들에서, 세정 챔버 펌프(196)는 세정 챔버(192)의 압력을 증가시키도록 구성된다. 다른 실시예들에서, 세정 챔버 펌프(196)는, 예를 들어, 세정 챔버(192) 내에 진공을 생성하기 위해, 세정 챔버(192)의 압력을 감소시키도록 구성된다. 또 다른 실시예들에서, 세정 챔버 펌프(196)는 클러스터 툴 조립체(100) 내에서 이용되는 프로세스에 따라 세정 챔버(192)의 압력을 증가 및 감소시키도록 구성된다. 세정 챔버 펌프(196)는 패키징 구조물(194)이 세정 챔버 펌프(196)를 적어도 부분적으로 둘러싸도록 패키징 구조물(194)에 의해 제 위치에 홀딩될 수 있다.
[0024] 도시된 바와 같이, 프로세싱 모듈(150)이 밸브(도시되지 않음)에 의해 로봇 챔버들(180)에 연결되도록, 프로세싱 모듈(150)은 로봇 챔버들(180)에 인접하여 있다. 프로세싱 모듈(150)은 로봇 챔버들(180)의 제3 벽에 부착될 수 있다. 로봇 챔버들(180)의 제3 벽은 로봇 챔버들(180)의 제1 벽과 반대측에 있을 수 있다.
[0025] 챔버 펌프(165)는 복수의 챔버 펌프들(165)이 중앙 이송 장치(145) 주위에 배치되도록 프로세싱 챔버들(160) 각각에 인접하게 배치된다. 복수의 챔버 펌프들(165)은 또한 프로세싱 모듈(150)에서 중앙 이송 장치(145)의 반경방향 외측에 배치될 수 있다. 하나의 챔버 펌프(165)가 프로세싱 챔버들(160) 각각에 연결되도록, 프로세싱 챔버들(160) 각각에 대해 하나의 챔버 펌프(165)가 제공된다. 일부 실시예들에서, 프로세싱 챔버(160)당 다수의 챔버 펌프들(165)이 제공된다. 또 다른 실시예들에서, 프로세싱 챔버(160)는 챔버 펌프(165)를 갖지 않을 수 있다. 하나 이상의 프로세싱 챔버들(160)이 개별 세트의 프로세싱 챔버들(160)과 상이한 수의 챔버 펌프들(165)을 가질 수 있도록, 프로세싱 챔버(160)당 다양한 수의 챔버 펌프들(165)이 제공될 수 있다. 일부 실시예들에서, 챔버 펌프들(165)은 프로세싱 챔버(160)의 압력을 증가시키도록 구성된다. 다른 실시예들에서, 세정 챔버 펌프들(196)은, 예를 들어, 프로세싱 챔버(160) 내에 진공을 생성하기 위해, 프로세싱 챔버(160)의 압력을 감소시키도록 구성된다. 또 다른 실시예들에서, 챔버 펌프들(165)은 클러스터 툴 조립체(100) 내에서 이용되는 프로세스에 따라 프로세싱 챔버들(160)의 압력을 증가 및 감소시키도록 구성된다.
[0026] 도 1에 도시된 실시예에서, 프로세싱 모듈(150)은 6 개의 프로세싱 챔버들(160)을 포함한다. 일 실시예에서, 프로세싱 모듈(150)은 단일 프로세싱 챔버(160)를 포함한다. 다른 실시예에서, 2 개 이상의 프로세싱 챔버들(160)이 제공된다. 일부 실시예들에서, 2 개 내지 12 개의 프로세싱 챔버들(160)이 프로세싱 모듈(150) 내에 있다. 다른 실시예들에서, 4 개 내지 8 개의 프로세싱 챔버들(160)이 프로세싱 모듈(150) 내에 있다. 프로세싱 챔버들(160)의 수는 클러스터 툴 조립체(100)의 총 풋프린트(footprint), 클러스터 툴 조립체(100)에 의해 수행될 수 있는 가능한 프로세스 단계들의 수, 클러스터 툴 조립체(100)의 총 제조 비용, 및 클러스터 툴 조립체(100)의 스루풋에 영향을 미친다.
[0027] 복수의 프로세싱 챔버들(160)은 물리 기상 증착(PVD), 화학 기상 증착(CVD), 원자층 증착(ALD), 에칭, 세정, 가열, 어닐링 및 폴리싱(polishing) 플랫폼들 중 어느 하나일 수 있다. 일부 실시예들에서, 복수의 프로세싱 챔버들(160)은 모두 유사한 플랫폼들일 수 있다. 다른 실시예들에서, 복수의 프로세싱 챔버들(160)은 2 개 이상의 유형들의 프로세싱 플랫폼들을 포함할 수 있다. 하나의 예시적인 실시예에서, 복수의 프로세싱 챔버들(160) 모두가 PVD 프로세스 챔버들이다. 다른 예시적인 실시예에서, 복수의 프로세싱 챔버들(160)은 PVD 및 CVD 프로세스 챔버들 모두를 포함한다. 복수의 프로세싱 챔버들(160)의 구성의 다른 실시예들이 구상된다. 복수의 프로세싱 챔버들(160)은 프로세스를 완료하는 데 필요한 프로세스 챔버들의 유형들에 매칭되도록 변경될 수 있다.
[0028] 중앙 이송 장치(145)가 프로세싱 모듈(150)의 중심축을 중심으로 배치되도록, 중앙 이송 장치(145)는 프로세싱 모듈(150)의 중앙에 배치된다. 중앙 이송 장치(145)는 임의의 적합한 이송 디바이스일 수 있다. 중앙 이송 장치(145)는 정전 척(electrostatic chuck)(ESC, 도시되지 않음) 또는 셔터 디스크 상에 배치된 기판을 프로세싱 챔버들(160) 각각으로 그리고 프로세싱 챔버들(160) 각각으로부터 운송하도록 구성된다. 일 실시예에서, 중앙 이송 장치(145)는 도 2에 도시된 바와 같이 캐러셀 시스템(carousel system)으로서 구성된다. 복수의 이송 아암들(210)이 중앙 이송 장치(145)로부터 반경방향으로 연장된다. 일부 실시예들에서, 이송 아암(210)의 수는 프로세싱 모듈(150)의 프로세싱 챔버들(160)의 수와 동일하다. 그러나, 중앙 이송 장치(145)의 이송 아암들(210)의 수는 프로세싱 모듈(150)의 프로세싱 챔버들(160)의 수보다 많거나 적을 수 있다. 일 실시예에서, 이송 아암들(210)의 수는 프로세싱 챔버(160)의 수보다 많아서, 더 많은 기판들(186)이 한 번에 이송될 수 있게 하고, 그리고/또는 PVD 타깃(PVD target)의 표면으로부터 오염을 제거하기 위한 PVD 페이스팅 프로세스를 수행하는 데 사용되는 셔터 디스크(187)들과 같은 추가 하드웨어 구성요소들을 이송 아암들(210)의 일부가 지지할 수 있게 한다. PVD 페이스팅 프로세스는 전형적으로 동일한 프로세싱 챔버들(160)에서 수행되는 2 개의 기판 PVD 증착 프로세스들 사이에서 프로세싱 챔버(160)에서 수행된다.
[0029] 셔터 디스크(187)는, 프로세싱 챔버들(160) 중 하나에 있을 때, 프로세싱 챔버(160) 내에 경계를 형성하고, PVD 페이스팅 프로세스들 동안에 원치 않는 증착으로부터 프로세싱 챔버들(160) 내의 하부 챔버 구성요소들을 보호한다.
[0030] 도 3a 및 도 3b는 프로세싱 챔버(160)의 개략적인 측단면도들이다. 프로세싱 챔버(160)는 셔터 디스크들(예를 들어, 셔터 디스크(187)) 및 기판들(예를 들어, ESC 상의 기판(186))을 프로세싱 챔버(160) 내외로 이송하기 위해 중앙 이송 장치(예를 들어, 도 1의 중앙 이송 장치(145))를 통해 서비스된다. 이송 개구부(204)가 프로세싱 챔버(160)의 원주방향 벽의 외부면의 내측으로 그리고 프로세싱 챔버(160)의 이송 영역(201) 내로 연장된다. 이송 개구부(204)는 이송 로봇(185)이 셔터 디스크(187) 또는 ESC 상의 기판을 이송 영역(201) 내외로 이송할 수 있게 한다. 다양한 실시예들에서, 이송 개구부(204)는 생략될 수 있다. 예를 들어, 프로세싱 챔버(160)가 이송 로봇(185)과 인터페이싱하지 않는 실시예들에서, 이송 개구부(204)는 생략될 수 있다.
[0031] 프로세싱 챔버(160)의 소스 조립체(source assembly)(270)는 증착 프로세스(예를 들어, PVD 증착 프로세스)를 수행하도록 구성된다. 이러한 구성에서, 소스 조립체(270)는 타깃(272), 마그네트론 조립체(magnetron assembly)(271), 소스 조립체 벽(273), 덮개(274) 및 스퍼터링 전원 공급장치(sputtering power supply)(275)를 포함한다. 마그네트론 조립체(271)는 마그네트론(271A)이 프로세싱 동안에 마그네트론 회전 모터(magnetron rotation motor)(276)를 사용하여 회전되는 마그네트론 영역(279)을 포함한다. 타깃(272) 및 마그네트론 조립체(271)는 전형적으로 유체 재순환 디바이스(도시되지 않음)로부터 마그네트론 영역(279)으로의 냉각 유체(예를 들어, DI 수(DI water))의 전달에 의해 냉각된다. 마그네트론(271A)은 PVD 증착 프로세스 동안 프로세싱 볼륨(processing volume)(260)에서의 스퍼터링 프로세스를 촉진하기 위해 타깃(272)의 하부면 아래로 연장되는 자기장들을 생성하도록 구성된 복수의 자석들(271B)을 포함한다.
[0032] CVD, 플라즈마 강화 CVD(PECVD), ALD, 플라즈마 강화 ALD(PEALD), 에칭, 가열 또는 어닐링 프로세스들을 수행하도록 구성된 프로세싱 챔버(160)의 대안적인 구성들에서, 소스 조립체(270)는 일반적으로 상이한 하드웨어 구성요소들을 포함한다. 일 예에서, PECVD 증착 프로세스 또는 에칭 프로세스를 수행하도록 구성된 프로세싱 챔버(160)의 소스 조립체(270)는 전구체 가스(precursor gas) 또는 에칭 가스를 프로세싱 볼륨(260) 내로 그리고 프로세싱 동안에 프로세싱 챔버(160) 내에 배치된 기판의 표면에 걸쳐 전달하도록 구성된 가스 분배 플레이트(gas distribution plate) 또는 샤워헤드(showerhead)를 포함한다. 이러한 경우에, 마그네트론 조립체(271) 및 타깃은 사용되지 않으며, 스퍼터링 전원 공급장치(275)는 가스 분배 플레이트를 바이어싱(biasing)하도록 구성된 RF 전원 공급장치로 대체된다.
[0033] 기판 지지 작동 조립체(290)는 페디스털 리프트 조립체(pedestal lift assembly)(291) 및 페디스털 조립체(224)를 포함한다. 페디스털 리프트 조립체(291)는 프로세싱 챔버(160)의 베이스(base)(219)에 결합된 리프트 장착 조립체(266) 및 리프트 액추에이터 조립체(lift actuator assembly)(268)를 포함한다. 작동 동안에, 리프트 액추에이터 조립체(268) 및 리프트 장착 조립체(266)는 페디스털 조립체(224)를 적어도, 중앙 이송 장치(145)의 이송 아암(transfer arm)(210)(즉, 이송 평면) 아래에 수직으로(Z 방향으로) 포지셔닝된 이송 포지션(도 3a) 및 이송 아암(210) 위에 수직으로 있는 프로세싱 포지션(도 3b)에 포지셔닝하도록 구성된다. 또한, 리프트 액추에이터 조립체(268) 및 리프트 장착 조립체(266)는 이송 아암(210)으로부터 셔터 디스크(187) 또는 ESC 상의 기판을 집어내기(pick) 위해 페디스털 조립체(224)에 +Z 방향으로 수직 운동을 인가한다. 추가적으로, 리프트 액추에이터 조립체(268) 및 리프트 장착 조립체(266)는 셔터 디스크(187) 또는 ESC 상의 기판을 이송 아암(210) 상에 포지셔닝하기 위해 페디스털 조립체(224)에 -Z 방향으로 수직 운동을 인가한다.
[0034] 리프트 액추에이터 조립체(268)는 베어링(bearing)들(도시되지 않음)에 의해 지지된 페디스털 샤프트(pedestal shaft)(292)에 결합되며, 베어링들은 페디스털 샤프트(292)가 리프트 액추에이터 조립체(268)에 의해 병진될 때 페디스털 샤프트(292)를 안내하기 위해 프로세싱 모듈(150)의 베이스(219)에 결합된다. 펌프(254)를 사용하여 이송 영역(201) 내에 생성된 진공 환경이 정상 작동 동안에 유지되도록, 페디스털 샤프트(292)의 외경과 베이스(219)의 일부 사이에 밀봉부를 형성하기 위해 벨로우즈 조립체(bellows assembly)(도시되지 않음)가 사용된다.
[0035] 이송 아암(210)은 셔터 디스크(187)를 이송 포지션(도 3a)에 로케이팅하기 위한 하나 이상의 로케이팅 핀들(253)을 포함한다. 셔터 디스크(187)는 이송 아암(210)의 로케이팅 핀(253)과 정합(예를 들어, 물리적으로 결합)하도록 구성된 하나 이상의 로케이팅 피처들(212)을 포함한다. 이송 아암(210)의 로케이팅 핀들(253)은 몰리브덴(Mo), 텅스텐(W) 또는 Kovar® Ni-Fe 합금으로 형성될 수 있다.
[0036] 페디스털 조립체(224)는 페디스털 샤프트(292)에 결합된 기판 지지체(226)를 포함한다. 기판 지지체(226)는 프로세싱 포지션(도 3b)에서 프로세싱 챔버(160) 내의 셔터 디스크(187) 또는 ESC 상의 기판을 지지한다. 기판 지지체(226)는 프로세싱 포지션(도 3b)에서 기판 지지체(226) 위에 셔터 디스크(187)를 중심설정하기 위한 하나 이상의 정렬 엘리먼트(alignment element)들(240)을 포함한다. 셔터 디스크(187)는 기판 지지체(226)의 정렬 엘리먼트들(240)과 정합(예를 들어, 물리적으로 결합)하도록 구성된 하나 이상의 중심설정 피처들(214)을 더 포함한다. 기판 지지체(226)의 정렬 엘리먼트들(240)은 몰리브덴(Mo) 또는 텅스텐(W), 또는 이들의 조합으로 형성될 수 있다. 대안적으로, 정렬 엘리먼트들(240)은 몰리브덴(Mo) 또는 텅스텐(W) 이외의 재료, 또는 몰리브덴(Mo) 및 텅스텐(W)을 포함하거나 포함하지 않는 재료들의 조합으로 형성될 수 있다.
[0037] 정렬 엘리먼트들(240)은 기판 지지체(226)에 제거 가능하게 결합되거나 제거 불가능하게(또는 영구적으로) 결합된다. 예를 들어, 일 실시예에서, 정렬 엘리먼트들(240)은 제거 가능하게 결합되며, 파스너(fastener)들(도시되지 않음)을 통해 부착되고 정렬 엘리먼트들(240)이 기판 지지체(226)를 손상시키지 않고 교체될 수 있도록 기판 지지체(226)로부터 제거될 수 있다. 파스너들은 너트(nut) 또는 유사한 유형의 파스너 디바이스일 수 있다. 일 실시예에서, 파스너들은 정렬 엘리먼트들(240)이 교체될 수 있도록 제거될 수 있다. 예를 들어, 파스너를 제거함으로써 정렬 엘리먼트들(240)이 제거될 수 있고, 정렬 엘리먼트(240)가 파스너를 통해 기판 지지체(226)에 결합될 수 있다. 기판 지지체(226)의 정렬 엘리먼트들(240)과 셔터 디스크(187)의 중심설정 피처들(214) 사이의 접촉들은 정렬 엘리먼트들(240)의 마모를 유발한다. 시간 경과에 따라, 정렬 엘리먼트들(240)은 교체될 필요가 있을 수 있다. 정렬 엘리먼트들(240)을 기판 지지체(226)에 제거 가능하게 결합하는 것은 마모가 정렬 엘리먼트들(240)의 작동에 영향을 미치고 정렬 엘리먼트들(240)과 중심설정 피처들(214) 사이의 결합을 저하시키는 경우에 정렬 엘리먼트들(240)이 제거되어 교체될 수 있게 한다.
[0038] 프로세스 키트 조립체(230)는 일반적으로 프로세스 영역 실드(process region shield)(232) 및 밀봉 조립체(235)를 포함한다. 스테이션 벽(station wall)(234)은 진공 펌프(vacuum pump)(265)에 결합된 제1 포트를 포함하며, 제1 포트는 프로세싱 동안에 프로세싱 영역 실드(232)의 상부 부분, 타깃(272)의 하부면 및 격리 링(isolation ring)(233)의 일부와 스테이션 벽(234) 사이에 형성된 원주방향 갭(circumferential gap)을 통해 프로세싱 볼륨(260)을 진공배기(evacuate)하도록 구성된다. 스테이션 벽(234)은 가스 소스 조립체(289)에 결합되고, 프로세싱 동안에 원주방향 플레넘(circumferential plenum)을 통해 하나 이상의 프로세스 가스들(예를 들어, Ar, N2)을 프로세싱 볼륨(260)으로 전달하도록 구성된다.
[0039] 프로세싱 포지션(도 3b)에서, 셔터 디스크(187) 또는 ESC 상의 기판은 소스 조립체(270) 아래에 포지셔닝된다. 셔터 디스크(187)는 프로세싱 포지션(도 3b)에서 프로세싱 볼륨(260)을 이송 영역(201)으로부터 실질적으로 유체적으로 격리시키기 위해 밀봉 조립체(235)의 일부와 밀봉부를 형성하는 밀봉면(264)을 포함한다. 따라서, 셔터 디스크(187), 밀봉 조립체(235), 프로세스 영역 실드(232), 스테이션 벽(234), 격리 링(233) 및 타깃(272)은 프로세싱 볼륨(260)을 실질적으로 둘러싸서 한정한다. 일부 실시예들에서, 셔터 디스크(187)의 밀봉면(264)과 밀봉 조립체(235)의 상부 플레이트(235A) 사이에 형성된 밀봉부는 셔터 디스크(187)의 밀봉면(264)과 밀봉 조립체(235)의 상부 플레이트(235A) 사이의 물리적 접촉에 의해 형성되는 밀봉 영역에서 생성된다. 일부 실시예들에서, 밀봉 조립체(235)의 가요성 벨로우즈 조립체(235B)는 기판 지지 작동 조립체(290)의 리프트 액추에이터 조립체(268)를 사용하여 셔터 디스크(187)의 밀봉면(264)이 밀봉 조립체(235)의 상부 플레이트(235A)의 표면과 접촉하여 배치될 때 수직 방향으로 연장되도록 구성된다. 가요성 벨로우즈 조립체의 유연성 성질(compliant nature)은 셔터 디스크(187)의 밀봉면(264)과 밀봉 조립체(235)의 상부 플레이트(235A) 사이의 임의의 오정렬 또는 평면도 차이들이 보정(take up)될 수 있게 하여, 신뢰성있고 반복 가능한 밀봉부가 밀봉면(264)에서 형성될 수 있도록 한다. 벨로우즈 조립체(235B)는, 무엇보다도, 스테인리스강 벨로우즈 조립체 또는 인코넬(Inconel) 벨로우즈 조립체일 수 있다.
[0040] 도 4a, 도 4b, 도 4c 및 도 4d는 2 개의 탈착형 피스(detachable piece)들, 즉 내부 디스크(187A) 및 외부 디스크(187B)로 형성된 분할 셔터 디스크인 셔터 디스크(187)의 개략도, 저면도, 평면도 및 부분 확대 단면도이다. 외부 디스크(187B)는 내부 디스크(187A) 상에 배치되도록 구성된다. 원추형 중심설정 피처(402)는 내부 디스크(187A)의 개구부에 배치되고, 외부 디스크(187B)가 내부 디스크(187A) 상에 배치될 때 내부 디스크(187A)를 외부 디스크(187B)와 정렬시키기 위해 외부 디스크(187B)에 형성된 대응하는 개구부(404)와 정합한다. 외부 디스크(187B)가 손상되는 경우, 외부 디스크(187B)는 내부 디스크(187A)로부터 분리되고, 내부 디스크(187A)를 교체하지 않고 새로운 외부 디스크(187B)로 교체될 수 있다. 일부 실시예들에서, 외부 디스크(187B)는 약 301 ㎜ 내지 약 308 ㎜의 반경을 갖는다. 내부 디스크(187A)는 알루미늄 옥사이드(Al2O3), 지르코늄 옥사이드(Zr2O3), 실리콘 카바이드(SiC), 및 알루미늄 나이트라이드(AlN)와 같은 세라믹으로 형성될 수 있다. 외부 디스크(187B)는 티타늄(Ti), 알루미늄-실리콘-카본(AlSiC), 스테인리스강(SST), 알루미늄(Al), 및 상기의 임의의 조합과 같은, 당업계에서 셔터 디스크들에서 사용되는 임의의 재료로 형성될 수 있다. 로케이팅 피처들(212) 및 중심설정 피처들(214)은 내부 디스크(187A)의 개구부들에 배치되고 부착(예를 들어, 압입 끼워맞춤)되며, 내부 디스크(187A)와 동일한 재료로 형성될 수 있다. 밀봉면(264)은 외부 디스크(187B)에 형성된다.
[0041] 도 5a 및 도 5b는 일부 실시예들에 따른 이송 아암(210)의 로케이팅 핀들(253)의 개략도이다. 도 6a, 도 6b 및 도 6c는 일부 실시예들에 따른, 로케이팅 핀들(253)과 정합하는 로케이팅 피처들(212)의 개략도들이다. 로케이팅 핀들(253) 각각은 편평한 형상(도 5a에 도시됨) 또는 원추형 형상(도 5b에 도시됨)을 갖는다. 로케이팅 피처들(212)은 약 30° 내지 120°의 경사각을 갖는 홈형 표면(grooved surface)(도 6a에 도시됨), 약 6 ㎜ 내지 약 19 ㎜의 반경을 갖는 오목한 표면(도 6b에 도시됨), 또는 편평한 표면(도 6c에 도시됨)을 갖는다. 로케이팅 핀들(253) 및 로케이팅 피처들(212) 각각은 약 9 ㎜ 내지 약 19 ㎜의 직경, 및 약 4 Ra 내지 약 16 Ra의 표면 거칠기를 가질 수 있다.
[0042] 도 7a는 하나 이상의 실시예들에 따른 기판 지지체(226)의 정렬 엘리먼트(240)의 개략도이다. 도 7b는 하나 이상의 실시예들에 따른, 기판 지지체(226)의 정렬 엘리먼트(240)와 맞물리는 중심설정 피처(214)의 개략도이다. 도 7c는 하나 이상의 실시예들에 따른, 중심설정 피처(214)가 정렬 엘리먼트(240)와 맞물린 상태의 외부 디스크(187B)의 부분 확대 측면도이다. 셔터 디스크(187)가 기판 지지체(226) 상에 포지셔닝될 때, 핀 형상을 갖는 중심설정 피처들(214) 각각은 정렬 엘리먼트들(240) 중 하나에 형성된 노치(notch)(702)에 맞물려서, 셔터 디스크(187)를 기판 지지체(226) 위에 중심설정한다.
[0043] 전술한 예시적인 실시예들에서, 2 개의 분할 탈착형 피스들로 형성된 분할 셔터 디스크가 페이스팅 프로세스와 같은 프로세스들 동안에 재료 증착으로부터 하부 챔버 구성요소들을 보호하도록 제공된다. 전술한 실시예들에 따른 분할 셔터 디스크는 기판 지지체 및 이송 아암에 대해 로케이팅 및 정렬하기 위한 피처들을 포함하며, 따라서 셔터 디스크들을 교환하는 시간을 감소시키고 결국 기계적 스루풋을 향상시킨다.
[0044] 전술한 바가 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 실시예들이, 본 개시내용의 기본적인 범위를 벗어나지 않으면서 안출될 수 있으며, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.

Claims (20)

  1. 프로세싱 챔버(processing chamber) 및 이송 아암(transfer arm)을 포함하는 클러스터 툴 조립체(cluster tool assembly)에서 사용하기 위한 셔터 디스크(shutter disc)로서,
    내부 디스크(inner disc); 및
    상기 내부 디스크 상에 배치되도록 구성된 외부 디스크(outer disc)를 포함하며,
    상기 내부 디스크는,
    클러스터 툴 조립체의 이송 아암의 로케이팅 핀(locating pin)들과 정합하도록 구성된 복수의 로케이팅 피처(locating feature)들; 및
    상기 클러스터 툴 조립체의 프로세싱 챔버에 배치된 기판 지지체의 정렬 엘리먼트(alignment element)들과 정합하도록 구성된 복수의 중심설정 피처(centering feature)들을 포함하는,
    셔터 디스크.
  2. 제1 항에 있어서,
    상기 외부 디스크는 상기 프로세싱 챔버의 밀봉 조립체의 일부와 밀봉부(seal)를 형성하도록 구성된 밀봉면을 포함하는,
    셔터 디스크.
  3. 제1 항에 있어서,
    상기 내부 디스크는 알루미늄 옥사이드(Al2O3), 지르코늄 옥사이드(Zr2O3), 실리콘 카바이드(SiC), 및 알루미늄 나이트라이드(AlN)로 구성된 그룹으로부터 선택된 재료를 포함하는,
    셔터 디스크.
  4. 제3 항에 있어서,
    상기 복수의 로케이팅 피처들은 상기 내부 디스크와 동일한 재료를 포함하는,
    셔터 디스크.
  5. 제3 항에 있어서,
    상기 복수의 로케이팅 피처들 각각은 홈형 표면(grooved surface)을 갖는,
    셔터 디스크.
  6. 제3 항에 있어서,
    상기 복수의 로케이팅 피처들 각각은 오목한 표면을 갖는,
    셔터 디스크.
  7. 제3 항에 있어서,
    상기 복수의 로케이팅 피처들 각각은 편평한 표면을 갖는,
    셔터 디스크.
  8. 제3 항에 있어서,
    상기 복수의 중심설정 피처들은 상기 내부 디스크와 동일한 재료를 포함하는,
    셔터 디스크.
  9. 제1 항에 있어서,
    상기 복수의 중심설정 피처들 각각은 노치(notch)를 갖는,
    셔터 디스크.
  10. 제1 항에 있어서,
    상기 외부 디스크는 티타늄(Ti), 알루미늄-실리콘-카본(AlSiC), 스테인리스강(SST), 및 알루미늄(Al)으로 구성된 그룹으로부터 선택된 재료를 포함하는,
    셔터 디스크.
  11. 프로세싱 챔버에서 사용하기 위한 페디스털 조립체(pedestal assembly)로서,
    기판 지지체 ― 상기 기판 지지체는,
    셔터 디스크의 내부 디스크 상에 배치된 복수의 중심설정 피처들과 정합하도록 구성된 복수의 정렬 엘리먼트들을 포함함 ―; 및
    상기 셔터 디스크의 내부 디스크 상에 배치된, 상기 셔터 디스크의 외부 디스크의 밀봉면과 밀봉부를 형성하도록 구성된 밀봉 조립체를 포함하는,
    페디스털 조립체.
  12. 제11 항에 있어서,
    상기 정렬 엘리먼트들 각각은 핀 형상(pin shape)을 갖는,
    페디스털 조립체.
  13. 제11 항에 있어서,
    상기 복수의 정렬 엘리먼트들은 몰리브덴(Mo) 또는 텅스텐(W)으로부터 선택된 재료를 포함하는,
    페디스털 조립체.
  14. 제11 항에 있어서,
    상기 정렬 엘리먼트들은 상기 기판 지지체에 제거 가능하게 결합되는,
    페디스털 조립체.
  15. 클러스터 툴 조립체로서,
    셔터 디스크를 이송 및 지지하도록 구성된 이송 아암을 포함하는 중앙 이송 장치 ― 상기 이송 아암은 상기 이송 아암 상에 지지된 상기 셔터 디스크의 내부 디스크 상에 배치된 로케이팅 피처들과 정합하도록 구성된 복수의 로케이팅 핀들을 포함함 ―; 및
    페디스털 조립체를 포함하는 프로세싱 챔버를 포함하며,
    상기 페디스털 조립체는,
    기판 지지체 ― 상기 기판 지지체는,
    상기 셔터 디스크의 내부 디스크 상에 배치된 복수의 중심설정 피처들과 정합하도록 구성된 복수의 정렬 엘리먼트들을 포함함 ―; 및
    상기 셔터 디스크의 내부 디스크 상에 배치된, 상기 셔터 디스크의 외부 디스크의 밀봉면과 밀봉부를 형성하도록 구성된 밀봉 조립체를 포함하는,
    클러스터 툴 조립체.
  16. 제15 항에 있어서,
    상기 이송 아암 상의 상기 복수의 로케이팅 핀들 각각은 홈형 표면, 오목한 표면, 및 편평한 표면 중 하나를 갖는,
    클러스터 툴 조립체.
  17. 제15 항에 있어서,
    상기 이송 아암 상의 상기 복수의 로케이팅 핀들은 몰리브덴(Mo) 또는 텅스텐(W)으로부터 선택된 재료를 포함하는,
    클러스터 툴 조립체.
  18. 제15 항에 있어서,
    상기 기판 지지체 상의 상기 정렬 엘리먼트들 각각은 핀 형상을 갖는,
    클러스터 툴 조립체.
  19. 제15 항에 있어서,
    상기 기판 지지체 상의 상기 복수의 정렬 엘리먼트들은 몰리브덴(Mo) 또는 텅스텐(W)으로부터 선택된 재료를 포함하는,
    클러스터 툴 조립체.
  20. 제15 항에 있어서,
    상기 복수의 정렬 엘리먼트들은 상기 기판 지지체에 제거 가능하게 결합되는,
    클러스터 툴 조립체.
KR1020237003109A 2020-07-27 2021-04-12 페이스팅 프로세스 동안 보호 디스크로 기판 홀더 교체 KR20230051166A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/940,058 US11817331B2 (en) 2020-07-27 2020-07-27 Substrate holder replacement with protective disk during pasting process
US16/940,058 2020-07-27
PCT/US2021/026831 WO2022025999A1 (en) 2020-07-27 2021-04-12 Substrate holder replacement with protective disk during pasting process

Publications (1)

Publication Number Publication Date
KR20230051166A true KR20230051166A (ko) 2023-04-17

Family

ID=79689410

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237003109A KR20230051166A (ko) 2020-07-27 2021-04-12 페이스팅 프로세스 동안 보호 디스크로 기판 홀더 교체

Country Status (6)

Country Link
US (1) US11817331B2 (ko)
JP (1) JP2023535735A (ko)
KR (1) KR20230051166A (ko)
CN (1) CN116034180A (ko)
TW (1) TW202205489A (ko)
WO (1) WO2022025999A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230033101A (ko) * 2021-08-27 2023-03-08 삼성전자주식회사 플라즈마 발생 장치
CN115037517B (zh) * 2022-05-06 2023-11-17 全球能源互联网研究院有限公司南京分公司 智能物联终端安全状态采集方法、装置及电子设备

Family Cites Families (143)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE636458A (ko) 1962-09-10
JP3005179B2 (ja) * 1995-08-21 2000-01-31 アプライド マテリアルズ インコーポレイテッド スパッタリング装置用のシャッタ装置
US5863170A (en) 1996-04-16 1999-01-26 Gasonics International Modular process system
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6162299A (en) 1998-07-10 2000-12-19 Asm America, Inc. Multi-position load lock chamber
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6440261B1 (en) 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
TW504941B (en) 1999-07-23 2002-10-01 Semiconductor Energy Lab Method of fabricating an EL display device, and apparatus for forming a thin film
US7066703B2 (en) 1999-09-29 2006-06-27 Tokyo Electron Limited Chuck transport method and system
US20030155079A1 (en) 1999-11-15 2003-08-21 Andrew D. Bailey Plasma processing system with dynamic gas distribution control
FI118343B (fi) 1999-12-28 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6576062B2 (en) 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
JP4644926B2 (ja) 2000-10-13 2011-03-09 ソニー株式会社 半導体製造装置および半導体装置の製造方法
US6962471B2 (en) 2000-10-26 2005-11-08 Leica Microsystems Jena Gmbh Substrate conveying module and system made up of substrate conveying module and workstation
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020144786A1 (en) 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US6852194B2 (en) 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
WO2003023835A1 (en) 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
JP4821074B2 (ja) 2001-08-31 2011-11-24 東京エレクトロン株式会社 処理システム
US6604853B2 (en) 2001-10-11 2003-08-12 Taiwan Semiconductor Manufacturing Co., Ltd Accelerated thermal stress cycle test
US6902624B2 (en) 2001-10-29 2005-06-07 Genus, Inc. Massively parallel atomic layer deposition/chemical vapor deposition system
EP1336985A1 (de) 2002-02-19 2003-08-20 Singulus Technologies AG Zerstäubungskathode und Vorrichtung und Verfahren zum Beschichten eines Substrates mit mehreren Schichten
US7008517B2 (en) 2002-02-20 2006-03-07 Applied Materials, Inc. Shutter disk and blade for physical vapor deposition chamber
JP4619116B2 (ja) 2002-06-21 2011-01-26 アプライド マテリアルズ インコーポレイテッド 真空処理システムのための搬送チャンバ
JP2004131832A (ja) 2002-10-15 2004-04-30 Ulvac Japan Ltd 成膜装置及び成膜方法
JP4486507B2 (ja) 2003-01-02 2010-06-23 ローマ リンダ ユニヴァーシティ メディカル センター 陽子線治療システムのための構成管理及び読み出しシステム
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
DE10350517A1 (de) 2003-10-29 2005-06-09 Sieghard Schiller Gmbh & Co. Kg Wafer-Stocker
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US8403613B2 (en) 2003-11-10 2013-03-26 Brooks Automation, Inc. Bypass thermal adjuster for vacuum semiconductor processing
US8313277B2 (en) 2003-11-10 2012-11-20 Brooks Automation, Inc. Semiconductor manufacturing process modules
US8029226B2 (en) 2003-11-10 2011-10-04 Brooks Automation, Inc. Semiconductor manufacturing systems
US8696298B2 (en) 2003-11-10 2014-04-15 Brooks Automation, Inc. Semiconductor manufacturing process modules
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
EP1684951B1 (en) 2003-11-10 2014-05-07 Brooks Automation, Inc. System for handling workpieces in a vacuum-based semiconductor handling system
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
KR100578134B1 (ko) 2003-11-10 2006-05-10 삼성전자주식회사 멀티 챔버 시스템
US7169234B2 (en) 2004-01-30 2007-01-30 Asm America, Inc. Apparatus and methods for preventing rotational slippage between a vertical shaft and a support structure for a semiconductor wafer holder
USD527751S1 (en) 2004-05-28 2006-09-05 Tokyo Electron Limited Transfer-chamber
TWI298895B (en) 2004-06-02 2008-07-11 Applied Materials Inc Electronic device manufacturing chamber and methods of forming the same
US20060201074A1 (en) 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
US7784164B2 (en) 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
WO2006004718A1 (en) 2004-06-28 2006-01-12 Brooks Automation, Inc. Non productive wafer buffer module for substrate processing apparatus
US20060137609A1 (en) 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
CH697552B1 (de) 2004-11-12 2008-11-28 Oerlikon Trading Ag Vakuumbehandlungsanlage.
US20060137608A1 (en) 2004-12-28 2006-06-29 Choi Seung W Atomic layer deposition apparatus
US20070020890A1 (en) 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
KR100803726B1 (ko) 2005-08-12 2008-02-15 주식회사 아이피에스 반송챔버의 구조
US7534080B2 (en) 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
JP2009533876A (ja) 2006-04-11 2009-09-17 アプライド マテリアルズ インコーポレイテッド 太陽電池パネルを形成するためのシステム構成及び方法
US20080072821A1 (en) 2006-07-21 2008-03-27 Dalton Jeremic J Small volume symmetric flow single wafer ald apparatus
EP2102889B1 (en) 2006-12-12 2020-10-07 Evatec AG Rf substrate bias with high power impulse magnetron sputtering (hipims)
KR100847888B1 (ko) 2006-12-12 2008-07-23 세메스 주식회사 반도체 소자 제조 장치
WO2008080244A1 (de) 2007-01-02 2008-07-10 Oc Oerlikon Balzers Ag Verfahren zur herstellung einer gerichteten schicht mittels kathodenzerstäubung und vorrichtung zur durchführung des verfahrens
US20080219807A1 (en) 2007-03-05 2008-09-11 Van Der Meulen Peter Semiconductor manufacturing process modules
US20080276867A1 (en) 2007-05-09 2008-11-13 Jason Schaller Transfer chamber with vacuum extension for shutter disks
WO2008149446A1 (ja) 2007-06-07 2008-12-11 Canon Anelva Corporation 半導体製造装置および方法
JP5253511B2 (ja) 2007-10-24 2013-07-31 オーツェー・エリコン・バルザース・アーゲー ワークピース製造方法及び装置
WO2009071667A1 (en) 2007-12-07 2009-06-11 Oc Oerlikon Balzers Ag Reactive sputtering with hipims
WO2009072081A1 (en) 2007-12-07 2009-06-11 Oc Oerlikon Balzers Ag A method of magnetron sputtering and a method for determining a power modulation compensation function for a power supply applied to a magnetron sputtering source
CN102047407B (zh) 2008-03-25 2012-10-10 Oc欧瑞康巴尔斯公司 加工腔
TWI398537B (zh) 2008-04-03 2013-06-11 Oc Oerlikon Balzers Ag 濺鍍設備及用以製造金屬化結構的方法
US20100012036A1 (en) 2008-07-11 2010-01-21 Hugo Silva Isolation for multi-single-wafer processing apparatus
JP5544697B2 (ja) 2008-09-30 2014-07-09 東京エレクトロン株式会社 成膜装置
JP5315898B2 (ja) 2008-09-30 2013-10-16 東京エレクトロン株式会社 成膜装置
JP5088284B2 (ja) 2008-09-30 2012-12-05 東京エレクトロン株式会社 真空処理装置
JP5056735B2 (ja) 2008-12-02 2012-10-24 東京エレクトロン株式会社 成膜装置
CN102388467B (zh) 2009-03-18 2015-05-13 欧瑞康先进科技股份公司 串联式制造太阳能电池板的方法
KR102298893B1 (ko) 2009-03-18 2021-09-08 에바텍 아크티엔게젤샤프트 진공처리 장치
EP2425036B8 (en) 2009-04-27 2017-12-20 Evatec AG Reactive sputtering with multiple sputter sources
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
EP2360291A1 (de) 2010-02-24 2011-08-24 Singulus Technologies AG Verfahren und Vorrichtung zum schnellen Heizen und Kühlen eines Substrates und sofort anschließender Beschichtung desselben unter Vakuum
KR101355303B1 (ko) 2010-03-24 2014-01-23 캐논 아네르바 가부시키가이샤 전자 디바이스의 제조 방법 및 스퍼터링 방법
KR101839904B1 (ko) 2010-03-25 2018-03-19 어플라이드 머티어리얼스, 인코포레이티드 다중 기판 프로세싱을 위한 세그먼트화된 기판 로딩
CN105256276B (zh) 2010-06-10 2018-10-26 应用材料公司 具有增强的离子化和rf 功率耦合的低电阻率钨pvd
JP2012028659A (ja) 2010-07-27 2012-02-09 Hitachi High-Technologies Corp 真空処理装置
DE102010046780A1 (de) 2010-09-28 2012-03-29 Singulus Technologies Ag Beschichten von Substraten mit einer Legierung mittels Kathodenzerstäubung
CN103261477B (zh) 2010-12-08 2015-09-30 欧瑞康先进科技股份公司 用于向基底上沉积层的设备和方法
EP2659507B1 (en) 2010-12-29 2022-09-14 Evatec AG Vacuum treatment apparatus
JP6006643B2 (ja) 2011-01-20 2016-10-12 東京エレクトロン株式会社 真空処理装置
JP5870568B2 (ja) 2011-05-12 2016-03-01 東京エレクトロン株式会社 成膜装置、プラズマ処理装置、成膜方法及び記憶媒体
US8728239B2 (en) 2011-07-29 2014-05-20 Asm America, Inc. Methods and apparatus for a gas panel with constant gas flow
JP5780062B2 (ja) 2011-08-30 2015-09-16 東京エレクトロン株式会社 基板処理装置及び成膜装置
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
DE102012103295A1 (de) 2012-01-09 2013-07-11 Aixtron Se Räumlich optimierte Anordnung zum Bearbeiten von Halbleitersubstraten
KR101887072B1 (ko) 2012-06-07 2018-08-09 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
WO2013186749A1 (en) 2012-06-15 2013-12-19 Oc Oerlikon Balzers Ag Method for depositing a group iii nitride semiconductor film
US20140001576A1 (en) 2012-06-27 2014-01-02 Applied Materials, Inc. Lowering tungsten resistivity by replacing titanium nitride with titanium silicon nitride
JP5947138B2 (ja) 2012-07-25 2016-07-06 東京エレクトロン株式会社 成膜装置
KR20140033911A (ko) 2012-09-11 2014-03-19 에이에스엠 아이피 홀딩 비.브이. 증착 장치 및 증착 방법
TWI614360B (zh) 2013-02-08 2018-02-11 瑞士商艾維太克股份有限公司 高功率脈衝磁控濺鍍之濺鍍方法及高功率脈衝磁控濺鍍的濺鍍系統
EP2772934A1 (en) 2013-02-28 2014-09-03 Singulus Technologies AG Method and system for naturally oxidizing a substrate
WO2014163791A1 (en) 2013-03-12 2014-10-09 Applied Materials, Inc Semiconductor device manufacturing platform with single and twinned processing chambers
US9564348B2 (en) * 2013-03-15 2017-02-07 Applied Materials, Inc. Shutter blade and robot blade with CTE compensation
US9281222B2 (en) 2013-03-15 2016-03-08 Applied Materials, Inc. Wafer handling systems and methods
CN111489987A (zh) 2013-03-15 2020-08-04 应用材料公司 基板沉积系统、机械手移送设备及用于电子装置制造的方法
WO2014143846A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc Multi-position batch load lock apparatus and systems and methods including same
US20160108515A1 (en) 2013-05-23 2016-04-21 Evatec Advanced Technologies Ag Method for filling vias and substrate-via filling vacuum processing system
US9490149B2 (en) 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
DE102013111790A1 (de) 2013-10-25 2015-04-30 Aixtron Se Energie- und materialverbrauchsoptimierter CVD-Reaktor
DE102013113052A1 (de) 2013-11-26 2015-05-28 Aixtron Se Heizeinrichtung für einen CVD-Reaktor
US20170175247A1 (en) 2013-12-04 2017-06-22 Evatec Ag Sputtering source arrangement, sputtering system and method of manufacturing metal-coated plate-shaped substrates
US9916995B2 (en) 2014-02-24 2018-03-13 Lam Research Corporation Compact substrate processing tool with multi-station processing and pre-processing and/or post-processing stations
US9336997B2 (en) 2014-03-17 2016-05-10 Applied Materials, Inc. RF multi-feed structure to improve plasma uniformity
JP6243290B2 (ja) 2014-05-01 2017-12-06 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6225842B2 (ja) 2014-06-16 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
WO2016003609A1 (en) 2014-07-03 2016-01-07 Applied Materials, Inc. Carousel batch epitaxy system
JP6280487B2 (ja) 2014-10-16 2018-02-14 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6330623B2 (ja) 2014-10-31 2018-05-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP6330630B2 (ja) 2014-11-13 2018-05-30 東京エレクトロン株式会社 成膜装置
CN107112261A (zh) 2014-12-11 2017-08-29 瑞士艾发科技 尤其用于基体的除气的设备和方法
JP6464765B2 (ja) 2015-01-19 2019-02-06 東京エレクトロン株式会社 熱処理装置、熱処理方法及び記憶媒体
TWI676709B (zh) 2015-01-22 2019-11-11 美商應用材料股份有限公司 使用空間上分開的佈植器腔室進行的對薄膜的原子層沈積
KR102010633B1 (ko) 2015-06-30 2019-08-13 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 기판 처리 장치
JP6478847B2 (ja) 2015-07-08 2019-03-06 東京エレクトロン株式会社 基板処理装置
WO2017042123A1 (en) 2015-09-08 2017-03-16 Evatec Ag Vacuum processing apparatus and method for vacuum processing substrates
JP6507953B2 (ja) 2015-09-08 2019-05-08 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
CN107022754B (zh) 2016-02-02 2020-06-02 东京毅力科创株式会社 基板处理装置
US9953843B2 (en) 2016-02-05 2018-04-24 Lam Research Corporation Chamber for patterning non-volatile metals
CN108780766B (zh) 2016-03-08 2022-03-04 瑞士艾发科技 用于衬底脱气的室
TWI729101B (zh) 2016-04-02 2021-06-01 美商應用材料股份有限公司 用於旋轉料架基座中的晶圓旋轉的設備及方法
US11145495B2 (en) 2016-06-15 2021-10-12 Evatec Ag Vacuum treatment chamber and method of manufacturing a vacuum treated plate-shaped substrate
EP3526810B1 (en) 2016-10-14 2021-11-10 Evatec AG Sputtering source
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
JP6777055B2 (ja) 2017-01-11 2020-10-28 東京エレクトロン株式会社 基板処理装置
CH713453A1 (de) 2017-02-13 2018-08-15 Evatec Ag Verfahren zur Herstellung eines Substrates mit einer bordotierten Oberfläche.
JP6750534B2 (ja) 2017-02-24 2020-09-02 東京エレクトロン株式会社 成膜装置
EP3616222B1 (en) 2017-04-27 2024-03-13 Evatec AG Soft magnetic multilayer deposition apparatus and method of manufacturing
JP6922408B2 (ja) 2017-05-18 2021-08-18 東京エレクトロン株式会社 基板処理装置
US10043693B1 (en) 2017-06-06 2018-08-07 Applied Materials, Inc. Method and apparatus for handling substrates in a processing system having a buffer chamber
JP6789187B2 (ja) 2017-07-07 2020-11-25 東京エレクトロン株式会社 基板反り検出装置及び基板反り検出方法、並びにこれらを用いた基板処理装置及び基板処理方法
CN110892090A (zh) 2017-07-27 2020-03-17 瑞士艾发科技 渗透屏障
JP2019036630A (ja) 2017-08-15 2019-03-07 東京エレクトロン株式会社 成膜装置
WO2019096515A1 (en) 2017-11-15 2019-05-23 Evatec Ag Vacuum treatment apparatus and method of vacuum treating substrates
WO2019096564A1 (en) 2017-11-17 2019-05-23 Evatec Ag Rf power delivery to vacuum plasma processing
TW201934783A (zh) 2017-11-30 2019-09-01 瑞士商艾維太克股份有限公司 蒸鍍室及系統
CN111902922B (zh) 2018-04-18 2024-04-19 应用材料公司 具有自定心特征的两件式快门盘组件
KR102500219B1 (ko) 2018-05-12 2023-02-14 어플라이드 머티어리얼스, 인코포레이티드 통합된 셔터 개라지를 갖는 사전-세정 챔버

Also Published As

Publication number Publication date
US20220028711A1 (en) 2022-01-27
WO2022025999A1 (en) 2022-02-03
TW202205489A (zh) 2022-02-01
US11817331B2 (en) 2023-11-14
CN116034180A (zh) 2023-04-28
JP2023535735A (ja) 2023-08-21

Similar Documents

Publication Publication Date Title
US20200381276A1 (en) Multisubstrate process system
KR20230051166A (ko) 페이스팅 프로세스 동안 보호 디스크로 기판 홀더 교체
CN114127887A (zh) 用于半导体处理系统的多盖结构
JP2024055884A (ja) 基板処理モジュールおよびワークピースを移動させる方法
US20210375650A1 (en) High temperature and vacuum isolation processing mini-environments
CN115552581A (zh) 热控制的盖堆叠部件
US20220223367A1 (en) Reduced substrate process chamber cavity volume
US11610799B2 (en) Electrostatic chuck having a heating and chucking capabilities
US11955355B2 (en) Isolated volume seals and method of forming an isolated volume within a processing chamber
US11600507B2 (en) Pedestal assembly for a substrate processing chamber
US20230212735A1 (en) Substrate processing system
US20220076978A1 (en) Alignment of an electrostatic chuck with a substrate support
WO2022203919A1 (en) Hot showerhead
US20220068690A1 (en) Substrate transfer devices
US20230323532A1 (en) Rib cover for multi-station processing modules
US20220076981A1 (en) Sealing device for a pedestal assembly
KR20240021283A (ko) 내부 웨이퍼 이송 능력을 이용하여 챔버 용적을 프로세스 용적에 대해 격리시키는 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal