JP2023535735A - ペースティングプロセス中の保護ディスクを用いた基板ホルダ交換 - Google Patents

ペースティングプロセス中の保護ディスクを用いた基板ホルダ交換 Download PDF

Info

Publication number
JP2023535735A
JP2023535735A JP2023504734A JP2023504734A JP2023535735A JP 2023535735 A JP2023535735 A JP 2023535735A JP 2023504734 A JP2023504734 A JP 2023504734A JP 2023504734 A JP2023504734 A JP 2023504734A JP 2023535735 A JP2023535735 A JP 2023535735A
Authority
JP
Japan
Prior art keywords
disk
shutter
assembly
cluster tool
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023504734A
Other languages
English (en)
Inventor
スリニバサ ラオ イエドラ,
キランクマール ニーラサンドラ サヴァンダイヤ,
トーマス ブレソツキー,
バスカー プラサド,
ニティン バラドワイ サティヤボル,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2023535735A publication Critical patent/JP2023535735A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J15/00Gripping heads and other end effectors
    • B25J15/0019End effectors other than grippers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J15/00Gripping heads and other end effectors
    • B25J15/009Gripping heads and other end effectors with pins for accurately positioning the object on the gripping head
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G29/00Rotary conveyors, e.g. rotating discs, arms, star-wheels or cones
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67346Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders characterized by being specially adapted for supporting a single substrate or by comprising a stack of such individual supports
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2201/00Indexing codes relating to handling devices, e.g. conveyors, characterised by the type of product or load being conveyed or handled
    • B65G2201/02Articles
    • B65G2201/0297Wafer cassette
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2814/00Indexing codes relating to loading or unloading articles or bulk materials
    • B65G2814/03Loading or unloading means
    • B65G2814/0301General arrangements
    • B65G2814/0311Other article loading or unloading devices
    • B65G2814/0313Other article loading or unloading devices with vertically reciprocating platforms

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

処理チャンバ及び移送アームを有するクラスタツールアセンブリにおける使用のためのシャッターディスクは、内側ディスクと、内側ディスク上に配置されるように構成された外側ディスクとを含んでいる。内側ディスクは、クラスタツールアセンブリの移送アームの位置決めピンと嵌合するように構成された複数の位置決め特徴部と、クラスタツールアセンブリの処理チャンバ内に配置された基板支持体の位置合わせ要素と嵌合するように構成された複数のセンタリング特徴部とを含んでいる。【選択図】図4B

Description

[0001]本開示の実施形態は、概して、基板を処理するために複数の処理チャンバを使用する基板処理プラットフォームに関し、具体的には、そのような処理チャンバのプロセスキットに使用される分割シャッターディスクに関する。
[0002]従来のクラスタツールは、基板処理中に1つ又は複数のプロセスを実施するように構成されている。例えば、クラスタツールは、物理的気相堆積(PVD)チャンバ、原子層堆積(ALD)チャンバ、化学気相堆積(CVD)チャンバ、及び/又は基板上で1つ又は複数の他のプロセスを実施するための1つ又は複数の他の処理チャンバを含むことができる。例えば、誘電体材料を堆積させるためのPVDプロセスでは、導電性材料のコーティングをPVDチャンバの内面に適用(すなわち、ペースティング)してチャンバの内面でのパーティクル形成を最小化することがある。このようなペースティングプロセス中、チャンバ部品を、保護ディスク(シャッターディスクとも呼ばれる)を介してペースティング材の堆積から保護する必要がある。しかしながら、単一のクラスタツールシステムにおいて複数のPVDチャンバで基板を処理する間の従来の1つの欠点は、各堆積プロセスの立ち上げと立ち下げに時間をかけなければならないために低下するシステムの機械的スループットに関連する。
[0003]したがって、当技術分野には、PVDペースティングといったプロセスの機械的スループットを改善することのできるクラスタツール用のシャッターディスクに対する需要が存在している。
[0004]本開示の実施形態は、処理チャンバ及び移送アームを有するクラスタツールアセンブリでの使用のためのシャッターディスクを含む。シャッターディスクは、内側ディスクと、内側ディスク上に配置されるように構成された外側ディスクとを含む。内側ディスクは、クラスタツールアセンブリの移送アームの位置決めピンと嵌合するように構成された複数の位置決め特徴部と、クラスタツールアセンブリの処理チャンバ内に配置された基板支持体の位置合わせ要素と嵌合するように構成された複数のセンタリング特徴部とを含んでいる。
[0005]本開示の実施形態はまた、処理チャンバでの使用のためのペデスタルアセンブリを含む。ペデスタルアセンブリは、シャッターディスクの内側ディスク上に配置された複数のセンタリング特徴部と嵌合するように構成された複数の位置合わせ要素を含む基板支持体と、シャッターディスクの内側ディスク上に配置されたシャッターディスクの外側ディスクのシール面と密閉を形成するように構成されたシールアセンブリとを含んでいる。
[0006]本開示の実施形態はまた、クラスタツールアセンブリを含む。クラスタツールアセンブリは、シャッターディスクを移送及び支持するように構成された移送アームを有する中央移送装置と、ペデスタルアセンブリを有する処理チャンバとを含んでいる。移送アームは、移送アーム上に支持されたシャッターディスクの内側ディスク上に配置された位置決め特徴部と嵌合するように構成された複数の位置決めピンを含む。ペデスタルアセンブリは、シャッターディスクの内側ディスク上に配置された複数のセンタリング特徴部と嵌合するように構成された複数の位置合わせ要素を含む基板支持体と、シャッターディスクの内側ディスク上に配置されたシャッターディスクの外側ディスクのシール面と密閉を形成するように構成されたシールアセンブリとを含む。
[0007]本開示の上述の特徴を詳細に理解することができるように、上記で簡単に要約された本開示のより具体的な説明は、実施形態を参照することによって得ることができる。そのうちのいくつかの実施形態は添付の図面で例示されている。しかしながら、添付図面は、例示的な実施形態のみを示し、したがって、その範囲を限定するものと見なすべきではなく、他の等しく有効な実施形態も許容され得ることに留意されたい。
[0008]1つ又は複数の実施形態によるクラスタツールアセンブリの平面図である。 [0009]1つ又は複数の実施形態による中央移送装置の概略図である。 [0010]図3A及び図3Bは、1つ又は複数の実施形態による処理チャンバの概略側断面図である。 [0011]図4A、図4B、図4C及び図4Dは、1つ又は複数の実施形態によるシャッターディスクの概略図、底面図、上面図、及び部分拡大断面図である。 [0012]図5A及び図5Bは、いくつかの実施形態による移送アームの位置決めピンの概略図である。 [0013]図6A、図6B、及び図6Cは、いくつかの実施形態による位置決め特徴部の概略図である。 [0014]図7Aは、1つ又は複数の実施形態による基板支持体の位置合わせ要素の概略図である。図7Bは、1つ又は複数の実施形態によるセンタリング特徴部の概略図である。図7Cは、1つ又は複数の実施形態による外側ディスクの部分拡大側面図である。
[0015]理解を容易にするために、可能な場合は、図に共通する同一の要素を指し示すために同一の参照番号が使用された。一実施形態の要素及び特徴は、さらなる記述がなくとも、他の実施形態に有益に組み込まれ得ると考えられる。
[0016]本開示の実施形態は、概して、基板を処理するために複数の処理チャンバを使用する基板処理プラットフォームに関し、具体的には、そのような処理チャンバの処理キットに使用される分割シャッターディスクに関する。
[0017]本明細書に記載される分割シャッターディスクは、2つの分割着脱可能なピースで形成され、物理的気相堆積(PVD)ペースティングプロセスなどのプロセスの間の材料堆積から、下に位置するチャンバ部品を保護する。分割シャッターディスクは、基板支持体及び移送アームに対して位置決め及び位置合わせするための特徴部を含んでおり、これにより、シャッターディスクを交換するための時間を短縮し、ひいては機械的スループットを向上させる。
[0018]図1は、一実施形態によるクラスタツールアセンブリ100の平面図である。クラスタツール100は、複数のロードロックチャンバ130と、複数のロードロックチャンバ130に隣接する複数のロボットチャンバ180と、複数のロボットチャンバ180に隣接する複数のプレップチャンバ190と、複数のロボットチャンバ180に隣接する処理モジュール150とを含んでいる。クラスタツールアセンブリ100のロードロックチャンバ130は、典型的には、複数の前方開放型統一ポッド(FOUP110)に隣接するファクトリインターフェース120によってFOUP110に連結されている。
[0019]複数のFOUP110は、基板及びシャッターディスクが異なるマシン間を移動する際に、基板及びシャッターディスクを安全に固定して保管するために利用され得る。複数のFOUP110は、システムのプロセス及びスループットに応じて、その数量が変化してもよい。ファクトリインターフェース120は、複数のFOUP110と複数のロードロックチャンバ130との間に配置される。ファクトリインターフェース120は、複数のFOUP110とクラスタツールアセンブリ100との間のインターフェースを生成する。複数のロードロックチャンバ130は、基板及びシャッターディスクがファクトリインターフェース120から複数のロードロックチャンバ130に、及び複数のロードロックチャンバ130からファクトリインターフェース120に、第1のバルブ125を通して移送され得るように、第1のバルブ125によってファクトリインターフェース120に接続されている。図示のように、第1のバルブ125は、ロードロックチャンバ130の1つの壁に設けられている。いくつかの実施形態では、第1のバルブ125は流体分離バルブであり、ファクトリインターフェース120とロードロックチャンバ130との間に密閉を形成する。このような密閉は、外部の汚染物質がクラスタツールアセンブリ100に入ることを防ぐことができる。また、ロードロックチャンバ130は各々が、第1のバルブ125と対向する壁に第2のバルブ135を備えている。第2のバルブ135は、ロードロックチャンバ130とロボットチャンバ180とを結合する。
[0020]図示のように、ロボットチャンバ180は、ロードロックチャンバ130がファクトリインターフェース120とロボットチャンバ180との間に位置するように、ロードロックチャンバ130の一方の側に設けられている。ロボットチャンバ180は各々が移送ロボット185を含んでいる。移送ロボット185は、1つ又は複数の基板及びシャッターディスクをロードロックチャンバ130から処理チャンバ160の1つに移送するために適した任意のロボットであってよい。
[0021]いくつかの実施形態において、移送ロボット185は、基板を、ロードロックチャンバ130から複数のプレップチャンバ190中へと搬送するように構成される。移送ロボット185は、ロードロックチャンバ130から基板を取り出し、ロボットチャンバ180中へと基板を移動させ、次いでプレップチャンバ190中へと基板を移動させる。移送ロボット185によってロードロックチャンバ130からプレップチャンバ190へと基板を移動させる方法と同様に、基板は、移送ロボット185によってプレップチャンバ190からロードロックチャンバ130に移動されてもよい。移送ロボット185は、処理モジュール150からプレップチャンバ190又はロードロックチャンバ130に基板を移動させることもできる。いくつかの代替実施形態では、移送ロボット185は、ロードロックチャンバ130から基板又はシャッターディスクを移動させ、ロボットチャンバ180中へと基板又はシャッターディスクを移動させ、次いで処理モジュール150中へと基板又はシャッターディスクを移動させることができる。この代替実施形態では、基板は、処理モジュール150における処理の前に又は処理モジュール150における処理の後で、プレップチャンバ190に入らない可能性がある。
[0022]プレップチャンバ190は、洗浄チャンバ192、パッケージング構造194、及び洗浄チャンバポンプ196を含んでいる。洗浄チャンバ192は、クラスタツールアセンブリ100内の所望のプロセスに応じて、前洗浄チャンバ、アニールチャンバ、クールダウンチャンバのいずれか1つであってよい。いくつかの実施形態では、洗浄チャンバ192は、湿式洗浄チャンバである。他の実施形態では、洗浄チャンバ192は、プラズマ洗浄チャンバである。さらに他の例示的な実施形態では、洗浄チャンバ192は、カリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能なPreclean IIチャンバである。
[0023]パッケージング構造194は、洗浄チャンバ192の構造的支持体であり得る。パッケージング構造194は、副移送チャンバ(図示せず)、ガス供給口(図示せず)、及び排気口(図示せず)を含んでもよい。パッケージング構造194は、洗浄チャンバ192の周囲の構造を提供し、洗浄チャンバ192をロボットチャンバ180に結合することができる。洗浄チャンバポンプ196は、洗浄チャンバ192の壁に隣接して配置され、洗浄チャンバ192内の圧力の制御を提供する。各洗浄チャンバ192に1つの洗浄チャンバポンプ196を隣接させることができる。洗浄チャンバポンプ196は、洗浄チャンバ192に圧力変化を提供するように構成され得る。いくつかの実施形態では、洗浄チャンバポンプ196は、洗浄チャンバ192の圧力を上昇させるように構成される。他の実施形態では、洗浄チャンバポンプ196は、洗浄チャンバ192内に真空を作り出すように、洗浄チャンバ192の圧力を低下させるように構成される。さらに他の実施形態では、洗浄チャンバポンプ196は、クラスタツールアセンブリ100内で利用されるプロセスに応じて、洗浄チャンバ192の圧力の上昇及び低下の両方を行うように構成される。洗浄チャンバポンプ196は、パッケージング構造194が洗浄チャンバポンプ196を少なくとも部分的に囲むように、パッケージング構造194によって定位置に保持され得る。
[0024]図示のように、処理モジュール150は、バルブ(図示せず)によってロボットチャンバ180に接続されるように、ロボットチャンバ180に隣接している。処理モジュール150は、ロボットチャンバ180の第3の壁に取り付けることができる。ロボットチャンバ180の第3の壁は、ロボットチャンバ180の第1の壁と対向していてよい。
[0025]チャンバポンプ165は、中央移送装置145の周囲に複数のチャンバ165が配置されるように、処理チャンバ160の各々に隣接して配置される。また、複数のチャンバポンプ165は、処理モジュール150において中央移送装置145の半径方向外側に配置され得る。処理チャンバ160の各々に1つのチャンバポンプ165が接続されるように、処理チャンバ160の各々に1つのチャンバポンプ165が提供される。いくつかの実施形態では、処理チャンバ160毎に複数のチャンバポンプ165が設けられる。さらに他の実施形態では、処理チャンバ160は、チャンバポンプ165を有さなくともよい。1つ又は複数の処理チャンバ160が、処理チャンバ160の別個のセットとは異なる数のチャンバポンプ165を有し得るように、処理チャンバ160毎に変化する数のチャンバポンプ165が設けられてもよい。いくつかの実施形態では、チャンバポンプ165は、処理チャンバ160の圧力を上昇させるように構成される。他の実施形態では、洗浄チャンバポンプ196は、処理チャンバ160内に真空を作り出すように、処理チャンバ160の圧力を低下させるように構成される。さらに他の実施形態では、チャンバポンプ165は、クラスタツールアセンブリ100内で利用されるプロセスに応じて、処理チャンバ160の圧力の上昇及び低下の両方を行うように構成される。
[0026]図1に示される実施形態では、処理モジュール150は、6つの処理チャンバ160を含んでいる。一実施形態では、処理モジュール150は、単一の処理チャンバ160を含む。別の実施形態では、2つ以上の処理チャンバ160が設けられる。いくつかの実施形態では、2から12の処理チャンバ160が処理モジュール150内にある。他の実施形態では、4から8の処理チャンバ160が処理モジュール150内にある。処理チャンバ160の数は、クラスタツールアセンブリ100の総設置面積、クラスタツールアセンブリ100によって実施され得るプロセスステップの数、クラスタツールアセンブリ100の総製造コスト、及びクラスタツールアセンブリ100のスループットに影響を与える。
[0027]複数の処理チャンバ160は、物理的気相堆積(PVD)プラットフォーム、化学気相堆積(CVD)プラットフォーム、原子層堆積(ALD)プラットフォーム、エッチングプラットフォーム、洗浄プラットフォーム、加熱プラットフォーム、アニーリングプラットフォーム、及び研磨プラットフォームのうちのいずれか1つとすることができる。いくつかの実施形態では、複数の処理チャンバ160は、すべて同様のプラットフォームとすることができる。他の実施形態では、複数の処理チャンバ160は、2種類以上の処理プラットフォームを含むことができる。1つの例示的な実施形態では、複数の処理チャンバ160のすべてがPVDプロセスチャンバである。別の例示的な実施形態では、複数の処理チャンバ160は、PVDプロセスチャンバ及びCVDプロセスチャンバの両方を含む。複数の処理チャンバ160の構成の他の実施形態が想定される。複数の処理チャンバ160は、プロセスを完了するために必要なプロセスチャンバの種類に合わせて変更することができる。
[0028]中央移送装置145は、処理モジュール150の中心軸の周りに配置されるように、処理モジュール150の中心に配置される。中央移送装置145は、任意の適切な移送デバイスであってよい。中央移送装置145は、静電チャック(ESC、図示せず)上に配置された基板又はシャッターディスクを、処理チャンバ160の各々へ及び処理チャンバ160から搬送するように構成される。一実施形態において、中央移送装置145は、図2に示されるようにカルーセルシステムとして構成される。複数の移送アーム210は、中央移送装置145から放射状に延びている。いくつかの実施形態では、移送アーム210の数は、処理モジュール150の処理チャンバ160の数と等しい。しかしながら、中央移送装置145の移送アーム210の数は、処理モジュール150の処理チャンバ160の数より少なくても多くてもよい。一実施形態において、移送アーム210の数は処理チャンバ160の数よりも多く、より多くの基板186が一度に移送されることを可能にする、及び/又は移送アーム210の一部が、PVDターゲットの表面から汚染を除去するPVDペースティングプロセスを実施するために使用されるシャッターディスク187などの追加のハードウェア部品を支持することを可能にする。PVDペースティングプロセスは、典型的には、同じ処理チャンバ160で実施される2つの基板PVD堆積プロセスの間に、処理チャンバ160で実施される。
[0029]シャッターディスク187は、処理チャンバ160の1つにあるとき、処理チャンバ160内に境界を形成し、PVDペースティングプロセス中に処理チャンバ160内の下に位置するチャンバ部品を不要な堆積から保護する。
[0030]図3A及び図3Bは、処理チャンバ160の模式的な側断面図である。処理チャンバ160は、シャッターディスク(例えば、シャッターディスク187)及び基板(例えば、ESC上の基板186)を処理チャンバ160に出し入れするための中央移送装置(例えば、図1の中央移送装置145)を用いて運用される。移送開口部204は、処理チャンバ160の周壁の外面の内側に向かって、処理チャンバ160の移送領域201中に延びている。移送開口部204は、移送ロボット185がシャッターディスク187又はESC上の基板を移送領域201に出し入れすることを可能にする。様々な実施形態において、移送開口部204は省略されてもよい。例えば、処理チャンバ160が移送ロボット185と結合していない実施形態では、移送開口部204は省略され得る。
[0031]処理チャンバ160のソースアセンブリ270は、堆積プロセス(例えば、PVD堆積プロセス)を実施するように構成される。このような構成では、ソースアセンブリ270は、ターゲット272、マグネトロンアセンブリ271、ソースアセンブリ壁273、蓋274、及びスパッタリング電源275を含む。マグネトロンアセンブリ271は、処理中にマグネトロン回転モータ276の使用によってマグネトロン271Aが回転されるマグネトロン領域279を含む。ターゲット272及びマグネトロンアセンブリ271は、典型的には、流体再循環デバイス(図示せず)からマグネトロン領域279への冷却流体(例えば、脱イオン水)の送達によって冷却される。マグネトロン271Aは、PVD堆積プロセス中に処理容積260内でのスパッタリングプロセスを促進するために、ターゲット272の下面よりも下方に広がる磁場を発生するように構成された複数の磁石271Bを含む。
[0032]CVDプロセス、プラズマCVD(PECVD)プロセス、ALDプロセス、プラズマALD(PEALD)プロセス、エッチングプロセス、加熱プロセス、又はアニーリングプロセスを実施するように適合された処理チャンバ160の代替構成では、ソースアセンブリ270は一般に、異なるハードウェア部品を含む。一実施例では、PECVD堆積プロセス又はエッチングプロセスを実施するように適合された処理チャンバ160のソースアセンブリ270は、処理中に、前駆体ガス又はエッチングガスを処理容積260中に、かつ処理チャンバ160内に配置された基板の表面全体に送達するように構成されたガス分配プレート、又はシャワーヘッドを含む。この場合、マグネトロンアセンブリ271及びターゲットは使用されず、スパッタリング電源275は、ガス分配プレートにバイアスをかけるように構成されたRF電源で置き換えられる。
[0033]基板支持作動アセンブリ290は、ペデスタルリフトアセンブリ291とペデスタルアセンブリ224とを含んでいる。ペデスタルリフトアセンブリ291は、リフトアクチュエータアセンブリ268と、処理チャンバ160の基部219に連結されたリフト取り付けアセンブリ266とを含む。動作中、リフトアクチュエータアセンブリ268及びリフト取り付けアセンブリ266は、ペデスタルアセンブリ224を、少なくとも、中央移送装置145の移送アーム210の下方に垂直(すなわち、移送平面)に(Z方向に)位置付けられる移送位置(図3A)、及び移送アーム210の上方で垂直である処理位置(図3B)に位置付けるように構成される。さらに、リフトアクチュエータアセンブリ268及びリフト取り付けアセンブリ266は、シャッターディスク187又はESC上の基板を移送アーム210から外すために、ペデスタルアセンブリ224に、+Z方向の垂直運動を適用する。加えて、リフトアクチュエータアセンブリ268及びリフト取り付けアセンブリ266は、シャッターディスク187又はESC上の基板を移送アーム210上に位置付けるために、ペデスタルアセンブリ224に、-Z方向の垂直運動を適用する。
[0034]リフトアクチュエータアセンブリ268はペデスタルシャフト292に連結されており、このシャフトは、リフトアクチュエータアセンブリ268によって平行移動される際にペデスタルシャフト292を案内する処理モジュール150の基部219に連結された軸受(図示せず)によって支持される。ベローズアセンブリ(図示せず)が、ポンプ254の使用によって移送領域201内に生じる真空環境が通常動作中に維持されるように、ペデスタルシャフト292の外径と基部219の一部との間に密閉を形成するために使用されている。
[0035]移送アーム210は、シャッターディスク187を移送位置に位置決めするための1つ又は複数の位置決めピン253を含んでいる(図3A)。シャッターディスク187は、移送アーム210の位置決めピン253と嵌合する(例えば、物理的に連結する)ように構成された1つ又は複数の位置決め特徴部212を含む。移送アーム210の位置決めピン253は、モリブデン(Mo)、タングステン(W)、又はコバール(登録商標)Ni-Fe合金で形成することができる。
[0036]ペデスタルアセンブリ224は、ペデスタルシャフト292に連結された基板支持体226を含んでいる。基板支持体226は、処理位置において、処理チャンバ160内でシャッターディスク187又はESC上の基板を支持する(図3B)。基板支持体226は、処理位置において、基板支持体226の上でシャッターディスク187をセンタリングするための1つ又は複数の位置合わせ要素240を含んでいる(図3B)。シャッターディスク187は、基板支持体226の位置合わせ要素240と嵌合する(例えば、物理的に連結する)ように構成された1つ又は複数のセンタリング特徴部214をさらに含んでいる。基板支持体226の位置合わせ要素240は、モリブデン(Mo)若しくはタングステン(W)、又はそれらの組み合わせで形成することができる。代替的に、位置合わせ要素240は、モリブデン(Mo)若しくはタングステン(W)以外の材料、又はモリブデン(Mo)とタングステン(W)とを含む又は含まない材料の組み合わせで形成されてもよい。
[0037]位置合わせ要素240は、基板支持体226に取り外し可能に連結されるか、又は取り外し不能に(すなわち恒久的に)連結される。例えば、一実施形態では、位置合わせ要素240は、取り外し可能に連結され、ファスナ(図示せず)を介して取り付けることができ、位置合わせ要素240が基板支持体226を損傷せずに交換され得るように基板支持体226から取り外される。ファスナは、ナット又は類似の種類のファスナデバイスであり得る。一実施形態において、ファスナは、位置合わせ要素240が交換され得るように、取り外すことができる。例えば、ファスナを取り外すことで、位置合わせ要素240を取り外し、ファスナを介して位置合わせ要素240を基板支持体226に連結することができる。基板支持体226の位置合わせ要素240とシャッターディスク187のセンタリング特徴部214との間の接点は、位置合わせ要素240に摩耗を生じさせる。時間の経過とともに、位置合わせ要素240の交換が必要となり得る。位置合わせ要素240を基板支持体226に取り外し可能に連結することにより、摩耗が位置合わせ要素240の動作に影響を与え、位置合わせ要素240とセンタリング特徴部214との間の連結を劣化させるとき、位置合わせ要素240を取り外して交換することができる。
[0038]プロセスキットアセンブリ230は、一般に、プロセス領域シールド232とシールアセンブリ235とを含む。ステーション壁234は、真空ポンプ265に連結される第1のポートを含み、処理中に、プロセス領域シールド232の上部、ターゲット272の下面、及び分離リング233の一部分とステーション壁234との間に形成される周方向の間隙を通して処理容積260を排気するように構成される。ステーション壁234は、ガス源アセンブリ289に連結され、処理中に、円周プレナムを通して1つ又は複数のプロセスガス(例えば、Ar、N)を処理容積260に送達するように構成される。
[0039]処理位置(図3B)では、シャッターディスク187又はESC上の基板は、ソースアセンブリ270の下方に位置付けられる。シャッターディスク187は、処理位置(図3B)において、処理容積260を移送領域201から実質的に流体的に分離するために、シールアセンブリ235の一部分と密閉を形成するシール面264を含む。したがって、シャッターディスク187、シールアセンブリ235、プロセス領域シールド232、ステーション壁234、分離リング233、及びターゲット272は、処理容積260を実質的に囲み、画定する。いくつかの実施形態では、シャッターディスク187のシール面264とシールアセンブリ235の上部プレート235Aとの間に形成される密閉は、シャッターディスク187のシール面264とシールアセンブリ235の上部プレート235Aとの間の物理的接触によって形成されるシール領域に生成される。いくつかの実施形態では、シールアセンブリ235の可撓性ベローズアセンブリ235Bは、基板支持体作動アセンブリ290のリフトアクチュエータアセンブリ268の使用によってシャッターディスク187のシール面264がシールアセンブリ235の上部プレート235Aの表面と接触するように配置されると、垂直方向に延びるように構成される。可撓性ベローズアセンブリの柔軟な性質により、シール面264に信頼性及び再現性のある密閉を形成することができるように、シャッターディスク187のシール面264とシールアセンブリ235の上部プレート235Aとの間のいかなるずれ又は平面性の差異も吸収され得る。ベローズアセンブリ235Bは、中でも、ステンレス鋼製のベローズアセンブリ又はインコネル製のベローズアセンブリとすることができる。
[0040]図4A、図4B、図4C、及び図4Dは、2つの取り外し可能なピースで形成された分割シャッターディスクであるシャッターディスク187、内側ディスク187A、及び外側ディスク187Bの概略図、底面図、上面図、及び部分拡大断面図である。外側ディスク187Bは、内側ディスク187A上に配置されるように構成されている。円錐形のセンタリング特徴部402は、内側ディスク187Aの開口部に配置され、外側ディスク187Bが内側ディスク187A上に配置されたときに、外側ディスク187Bに形成された対応する開口部404と嵌合し、内側ディスク187Aを外側ディスク187Bと位置合わせする。外側ディスク187Bは、外側ディスク187Bが損傷したとき、内側ディスク187Aを交換することなく、内側ディスク187Aから取り外して新しい外側ディスク187Bと交換することができる。いくつかの実施形態では、外側ディスク187Bは、約301mmと約308mmとの間の半径を有する。内側ディスク187Aは、酸化アルミニウム(Al)、酸化ジルコニウム(Zr)、炭化ケイ素(SiC)、及び窒化アルミニウム(AlN)などのセラミックで形成され得る。外側ディスク187Bは、チタン(Ti)、アルミニウム-ケイ素-炭素(AlSiC)、ステンレス鋼(SST)、アルミニウム(Al)、及び上記の任意の組み合わせなどのシャッターディスクに当技術分野で使用されている任意の材料で形成することができる。位置決め特徴部212及びセンタリング特徴部214は、内側ディスク187Aの開口部に配置されて取り付けられ(例えば、プレス嵌め)、内側ディスク187Aと同じ材料で形成され得る。シール面264は、外側ディスク187Bに形成される。
[0041]図5A及び図5Bは、いくつかの実施形態による移送アーム210の位置決めピン253の概略図である。図6A、図6B、及び図6Cは、いくつかの実施形態による位置決めピン253と嵌合する位置決め特徴部212の概略図である。位置決めピン253は各々が、平坦な形状(図5Aに示す)又は円錐形状(図5Bに示す)を有する。位置決め特徴部212は、約30゜と120゜との間の傾斜角を有する溝付き表面(図6Aに示す)、約6mmと約19mmとの間の半径を有する凹面(図6Bに示す)、又は平坦な表面(図6Cに示す)を有する。位置決めピン253及び位置決め特徴部212は各々が、約9mmと約19mmとの間の直径及び約4Raと約16Raとの間の表面粗さを有し得る。
[0042]図7Aは、1つ又は複数の実施形態による基板支持体226の位置合わせ要素240の概略図である。図7Bは、1つ又は複数の実施形態による、基板支持体226の位置合わせ要素240と係合するセンタリング特徴部214の概略図である。図7Cは、1つ又は複数の実施形態による位置合わせ要素240と係合したセンタリング特徴部214を有する外側ディスク187Bの部分拡大側面図である。シャッターディスク187が基板支持体226上に位置付けられると、ピン形状を有するセンタリング特徴部214の各々は位置合わせ要素240の1つに形成されたノッチ702に係合し、シャッターディスク187を基板支持体226の上でセンタリングする。
[0043]上述された例示的な実施形態では、2つの分割着脱可能ピースで形成された分割シャッターディスクが、ペースティングプロセスなどのプロセス中に材料堆積から下に位置するチャンバ部品を保護するために提供される。上述された実施形態による分割シャッターディスクは、基板支持体及び移送アームに対する位置決め及び位置合わせを行うための特徴部を含み、それによりシャッターディスクの交換時間を短縮し、ひいては機械的スループットを向上させる。
[0044]以上の記述は本開示の実施形態を対象としているが、本開示の基本的な範囲から逸脱することなく、本開示の他の実施形態及び追加の実施形態が考案されてもよく、本開示の範囲は特許請求の範囲によって決定される。

Claims (20)

  1. 処理チャンバと移送アームとを含むクラスタツールアセンブリにおける使用のためのシャッターディスクであって、
    内側ディスク、及び
    前記内側ディスク上に配置されるように構成された外側ディスク
    を含み、前記内側ディスクが、
    クラスタツールアセンブリの移送アームの位置決めピンと嵌合するように構成された複数の位置決め特徴部、及び
    前記クラスタツールアセンブリの前記処理チャンバ内に配置された基板支持体の位置合わせ要素と嵌合するように構成された複数のセンタリング特徴部
    を含む、シャッターディスク。
  2. 前記外側ディスクが、前記処理チャンバのシールアセンブリの一部分と密閉を形成するように構成されたシール面を含む、請求項1に記載のシャッターディスク。
  3. 前記内側ディスクが、酸化アルミニウム(Al)、酸化ジルコニウム(Zr)、炭化ケイ素(SiC)、及び窒化アルミニウム(AlN)からなる群から選択される材料を含む、請求項1に記載のシャッターディスク。
  4. 前記複数の位置決め特徴部が、前記内側ディスクと同じ材料を含む、請求項3に記載のシャッターディスク。
  5. 前記複数の位置決め特徴部の各々が溝付き表面を有する、請求項3に記載のシャッターディスク。
  6. 前記複数の位置決め特徴部の各々が凹面を有する、請求項3に記載のシャッターディスク。
  7. 前記複数の位置決め特徴部の各々が平坦な表面を有する、請求項3に記載のシャッターディスク。
  8. 前記複数のセンタリング特徴部が、前記内側ディスクと同じ材料を含む、請求項3に記載のシャッターディスク。
  9. 前記複数のセンタリング特徴部の各々がノッチを有する、請求項1に記載のシャッターディスク。
  10. 前記外側ディスクが、チタン(Ti)、アルミニウム-ケイ素-炭素(AlSiC)、ステンレス鋼(SST)、及びアルミニウム(Al)からなる群から選択される材料を含む、請求項1に記載のシャッターディスク。
  11. 処理チャンバにおける使用のためのペデスタルアセンブリであって、
    シャッターディスクの内側ディスク上に配置された複数のセンタリング特徴部と嵌合するように構成された複数の位置合わせ要素を含む基板支持体、及び
    前記シャッターディスクの前記内側ディスク上に配置された前記シャッターディスクの外側ディスクのシール面と密閉を形成するように構成されたシールアセンブリ
    を含むペデスタルアセンブリ。
  12. 前記位置合わせ要素の各々がピン形状を有する、請求項11に記載のペデスタルアセンブリ。
  13. 前記複数の位置合わせ要素が、モリブデン(Mo)又はタングステン(W)から選択される材料を含む、請求項11に記載のペデスタルアセンブリ。
  14. 前記位置合わせ要素が前記基板支持体に取り外し可能に連結されている、請求項11に記載のペデスタルアセンブリ。
  15. クラスタツールアセンブリであって、
    シャッターディスクを移送し支持するように構成された移送アームを含む中央移送装置であって、前記移送アームが、前記移送アーム上に支持される前記シャッターディスクの内側ディスク上に配置された位置決め特徴部と嵌合するように構成された複数の位置決めピンを含む、中央移送装置、及び
    ペデスタルアセンブリを含む処理チャンバ
    を含み、前記ペデスタルアセンブリが、
    前記シャッターディスクの前記内側ディスク上に配置された複数のセンタリング特徴部と嵌合するように構成された複数の位置合わせ要素を含む基板支持体、及び
    前記シャッターディスクの前記内側ディスク上に配置された前記シャッターディスクの外側ディスクのシール面と密閉を形成するように構成されたシールアセンブリ
    を含む、クラスタツールアセンブリ。
  16. 前記移送アーム上の前記複数の位置決めピンの各々が、溝付き表面、凹面、及び平坦な表面のうちの1つを有する、請求項15に記載のクラスタツール。
  17. 前記移送アーム上の前記複数の位置決めピンが、モリブデン(Mo)又はタングステン(W)から選択される材料を含む、請求項15に記載のクラスタツールアセンブリ。
  18. 前記基板支持体上の前記位置合わせ要素の各々がピン形状を有する、請求項15に記載のクラスタツールアセンブリ。
  19. 前記基板支持体上の前記複数の位置合わせ要素が、モリブデン(Mo)又はタングステン(W)から選択される材料を含む、請求項15に記載のクラスタツールアセンブリ。
  20. 前記複数の位置合わせ要素が、前記基板支持体に取り外し可能に連結されている、請求項15に記載のクラスタツールアセンブリ。
JP2023504734A 2020-07-27 2021-04-12 ペースティングプロセス中の保護ディスクを用いた基板ホルダ交換 Pending JP2023535735A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/940,058 US11817331B2 (en) 2020-07-27 2020-07-27 Substrate holder replacement with protective disk during pasting process
US16/940,058 2020-07-27
PCT/US2021/026831 WO2022025999A1 (en) 2020-07-27 2021-04-12 Substrate holder replacement with protective disk during pasting process

Publications (1)

Publication Number Publication Date
JP2023535735A true JP2023535735A (ja) 2023-08-21

Family

ID=79689410

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023504734A Pending JP2023535735A (ja) 2020-07-27 2021-04-12 ペースティングプロセス中の保護ディスクを用いた基板ホルダ交換

Country Status (6)

Country Link
US (1) US11817331B2 (ja)
JP (1) JP2023535735A (ja)
KR (1) KR20230051166A (ja)
CN (1) CN116034180A (ja)
TW (1) TW202205489A (ja)
WO (1) WO2022025999A1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230033101A (ko) * 2021-08-27 2023-03-08 삼성전자주식회사 플라즈마 발생 장치
CN115037517B (zh) * 2022-05-06 2023-11-17 全球能源互联网研究院有限公司南京分公司 智能物联终端安全状态采集方法、装置及电子设备

Family Cites Families (146)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE636458A (ja) 1962-09-10
JP3005179B2 (ja) * 1995-08-21 2000-01-31 アプライド マテリアルズ インコーポレイテッド スパッタリング装置用のシャッタ装置
US5863170A (en) 1996-04-16 1999-01-26 Gasonics International Modular process system
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6162299A (en) 1998-07-10 2000-12-19 Asm America, Inc. Multi-position load lock chamber
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6440261B1 (en) 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
TW504941B (en) 1999-07-23 2002-10-01 Semiconductor Energy Lab Method of fabricating an EL display device, and apparatus for forming a thin film
US7066703B2 (en) 1999-09-29 2006-06-27 Tokyo Electron Limited Chuck transport method and system
US20030155079A1 (en) 1999-11-15 2003-08-21 Andrew D. Bailey Plasma processing system with dynamic gas distribution control
FI118343B (fi) 1999-12-28 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6576062B2 (en) 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
JP4644926B2 (ja) 2000-10-13 2011-03-09 ソニー株式会社 半導体製造装置および半導体装置の製造方法
US6962471B2 (en) 2000-10-26 2005-11-08 Leica Microsystems Jena Gmbh Substrate conveying module and system made up of substrate conveying module and workstation
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US20020144786A1 (en) 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US6852194B2 (en) 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
JP4821074B2 (ja) 2001-08-31 2011-11-24 東京エレクトロン株式会社 処理システム
US6604853B2 (en) 2001-10-11 2003-08-12 Taiwan Semiconductor Manufacturing Co., Ltd Accelerated thermal stress cycle test
WO2003038145A2 (en) 2001-10-29 2003-05-08 Genus, Inc. Chemical vapor deposition system
EP1336985A1 (de) 2002-02-19 2003-08-20 Singulus Technologies AG Zerstäubungskathode und Vorrichtung und Verfahren zum Beschichten eines Substrates mit mehreren Schichten
US7008517B2 (en) 2002-02-20 2006-03-07 Applied Materials, Inc. Shutter disk and blade for physical vapor deposition chamber
KR100682209B1 (ko) 2002-06-21 2007-02-12 어플라이드 머티어리얼스, 인코포레이티드 진공 처리 시스템용 전달 챔버
JP2004131832A (ja) 2002-10-15 2004-04-30 Ulvac Japan Ltd 成膜装置及び成膜方法
ES2303915T3 (es) 2003-01-02 2008-09-01 Loma Linda University Medical Center Gestion de la configuracion y sistema de recuperacion para un sistema terapeutico de rayos protonicos.
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
TWI356100B (en) * 2003-07-24 2012-01-11 Applied Materials Inc Shutter disk and blade for physical vapor depositi
DE10350517A1 (de) 2003-10-29 2005-06-09 Sieghard Schiller Gmbh & Co. Kg Wafer-Stocker
US8313277B2 (en) 2003-11-10 2012-11-20 Brooks Automation, Inc. Semiconductor manufacturing process modules
US8403613B2 (en) 2003-11-10 2013-03-26 Brooks Automation, Inc. Bypass thermal adjuster for vacuum semiconductor processing
KR100578134B1 (ko) 2003-11-10 2006-05-10 삼성전자주식회사 멀티 챔버 시스템
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US8029226B2 (en) 2003-11-10 2011-10-04 Brooks Automation, Inc. Semiconductor manufacturing systems
US8696298B2 (en) 2003-11-10 2014-04-15 Brooks Automation, Inc. Semiconductor manufacturing process modules
US20050223837A1 (en) 2003-11-10 2005-10-13 Blueshift Technologies, Inc. Methods and systems for driving robotic components of a semiconductor handling system
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US7169234B2 (en) 2004-01-30 2007-01-30 Asm America, Inc. Apparatus and methods for preventing rotational slippage between a vertical shaft and a support structure for a semiconductor wafer holder
USD527751S1 (en) 2004-05-28 2006-09-05 Tokyo Electron Limited Transfer-chamber
US20060201074A1 (en) 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
CN103199039B (zh) 2004-06-02 2016-01-13 应用材料公司 电子装置制造室及其形成方法
US7784164B2 (en) 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
WO2006004718A1 (en) 2004-06-28 2006-01-12 Brooks Automation, Inc. Non productive wafer buffer module for substrate processing apparatus
US20060137609A1 (en) 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
CH697552B1 (de) 2004-11-12 2008-11-28 Oerlikon Trading Ag Vakuumbehandlungsanlage.
KR20060076714A (ko) 2004-12-28 2006-07-04 에이에스엠지니텍코리아 주식회사 원자층 증착기
US20070020890A1 (en) 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
KR100803726B1 (ko) 2005-08-12 2008-02-15 주식회사 아이피에스 반송챔버의 구조
US7534080B2 (en) 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070281090A1 (en) 2006-04-11 2007-12-06 Shinichi Kurita System architecture and method for solar panel formation
US20080072821A1 (en) 2006-07-21 2008-03-27 Dalton Jeremic J Small volume symmetric flow single wafer ald apparatus
KR100847888B1 (ko) 2006-12-12 2008-07-23 세메스 주식회사 반도체 소자 제조 장치
US9355824B2 (en) 2006-12-12 2016-05-31 Evatec Ag Arc suppression and pulsing in high power impulse magnetron sputtering (HIPIMS)
KR101761401B1 (ko) 2007-01-02 2017-07-25 에바텍 어드벤스드 테크놀로지스 아크티엔게젤샤프트 음극 스퍼터링에 의해 방향성을 갖는 층을 제조하기 위한 장치
US20080219811A1 (en) 2007-03-05 2008-09-11 Van Der Meulen Peter Semiconductor manufacturing process modules
US20080276867A1 (en) 2007-05-09 2008-11-13 Jason Schaller Transfer chamber with vacuum extension for shutter disks
WO2008149446A1 (ja) 2007-06-07 2008-12-11 Canon Anelva Corporation 半導体製造装置および方法
KR20100086490A (ko) 2007-10-24 2010-07-30 오씨 외를리콘 발처스 악티엔게젤샤프트 작업편 제조방법 및 장치
US8246794B2 (en) 2007-12-07 2012-08-21 Oc Oerlikon Blazers Ag Method of magnetron sputtering and a method for determining a power modulation compensation function for a power supply applied to a magnetron sputtering source
JP5551078B2 (ja) 2007-12-07 2014-07-16 エリコン・アドヴァンスド・テクノロジーズ・アーゲー Hipimsによる反応性スパッタリング
CN102047407B (zh) 2008-03-25 2012-10-10 Oc欧瑞康巴尔斯公司 加工腔
JP5759891B2 (ja) 2008-04-03 2015-08-05 エリコン アドバンスド テクノロジーズ アーゲー スパッタリング装置および金属化構造体を製造する方法
US20100012036A1 (en) 2008-07-11 2010-01-21 Hugo Silva Isolation for multi-single-wafer processing apparatus
JP5544697B2 (ja) 2008-09-30 2014-07-09 東京エレクトロン株式会社 成膜装置
JP5088284B2 (ja) 2008-09-30 2012-12-05 東京エレクトロン株式会社 真空処理装置
JP5315898B2 (ja) 2008-09-30 2013-10-16 東京エレクトロン株式会社 成膜装置
JP5056735B2 (ja) 2008-12-02 2012-10-24 東京エレクトロン株式会社 成膜装置
US8870513B2 (en) 2009-03-18 2014-10-28 Oerlikon Advanced Technologies Ag Vacuum treatment apparatus
US9214589B2 (en) 2009-03-18 2015-12-15 Oerlikon Advanced Technologies Ag Method of inline manufacturing a solar cell panel
KR20120014571A (ko) 2009-04-27 2012-02-17 오씨 외를리콘 발처스 악티엔게젤샤프트 복수의 스퍼터 소스를 구비한 반응성 스퍼터링
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
EP2360291A1 (de) 2010-02-24 2011-08-24 Singulus Technologies AG Verfahren und Vorrichtung zum schnellen Heizen und Kühlen eines Substrates und sofort anschließender Beschichtung desselben unter Vakuum
KR101355303B1 (ko) 2010-03-24 2014-01-23 캐논 아네르바 가부시키가이샤 전자 디바이스의 제조 방법 및 스퍼터링 방법
CN102439710B (zh) 2010-03-25 2017-03-29 应用材料公司 用于多个基材处理的分段基材负载
TWI517390B (zh) 2010-06-10 2016-01-11 應用材料股份有限公司 具增強的遊離及rf功率耦合的低電阻率鎢pvd
JP2012028659A (ja) 2010-07-27 2012-02-09 Hitachi High-Technologies Corp 真空処理装置
DE102010046780A1 (de) 2010-09-28 2012-03-29 Singulus Technologies Ag Beschichten von Substraten mit einer Legierung mittels Kathodenzerstäubung
EP2649218B1 (en) 2010-12-08 2017-08-23 Evatec AG Apparatus and method for depositing a layer onto a substrate
WO2012089733A1 (en) 2010-12-29 2012-07-05 Oc Oerlikon Balzers Ag Vacuum treatment apparatus
WO2012098871A1 (ja) 2011-01-20 2012-07-26 東京エレクトロン株式会社 真空処理装置
JP5870568B2 (ja) 2011-05-12 2016-03-01 東京エレクトロン株式会社 成膜装置、プラズマ処理装置、成膜方法及び記憶媒体
US8728239B2 (en) 2011-07-29 2014-05-20 Asm America, Inc. Methods and apparatus for a gas panel with constant gas flow
JP5780062B2 (ja) 2011-08-30 2015-09-16 東京エレクトロン株式会社 基板処理装置及び成膜装置
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
DE102012103295A1 (de) 2012-01-09 2013-07-11 Aixtron Se Räumlich optimierte Anordnung zum Bearbeiten von Halbleitersubstraten
KR101887072B1 (ko) 2012-06-07 2018-08-09 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
WO2013186749A1 (en) 2012-06-15 2013-12-19 Oc Oerlikon Balzers Ag Method for depositing a group iii nitride semiconductor film
US20140001576A1 (en) 2012-06-27 2014-01-02 Applied Materials, Inc. Lowering tungsten resistivity by replacing titanium nitride with titanium silicon nitride
JP5947138B2 (ja) 2012-07-25 2016-07-06 東京エレクトロン株式会社 成膜装置
KR20140033911A (ko) 2012-09-11 2014-03-19 에이에스엠 아이피 홀딩 비.브이. 증착 장치 및 증착 방법
US9624572B2 (en) 2013-02-08 2017-04-18 Evatec Ag Method of HIPIMS sputtering and HIPIMS sputter system
EP2772934A1 (en) 2013-02-28 2014-09-03 Singulus Technologies AG Method and system for naturally oxidizing a substrate
WO2014163791A1 (en) 2013-03-12 2014-10-09 Applied Materials, Inc Semiconductor device manufacturing platform with single and twinned processing chambers
TWI624897B (zh) 2013-03-15 2018-05-21 應用材料股份有限公司 多位置批次負載鎖定裝置與系統,以及包括該裝置與系統的方法
US9281222B2 (en) 2013-03-15 2016-03-08 Applied Materials, Inc. Wafer handling systems and methods
US10427303B2 (en) 2013-03-15 2019-10-01 Applied Materials, Inc. Substrate deposition systems, robot transfer apparatus, and methods for electronic device manufacturing
US9564348B2 (en) * 2013-03-15 2017-02-07 Applied Materials, Inc. Shutter blade and robot blade with CTE compensation
US20160108515A1 (en) 2013-05-23 2016-04-21 Evatec Advanced Technologies Ag Method for filling vias and substrate-via filling vacuum processing system
US9490149B2 (en) 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
DE102013111790A1 (de) 2013-10-25 2015-04-30 Aixtron Se Energie- und materialverbrauchsoptimierter CVD-Reaktor
DE102013113052A1 (de) 2013-11-26 2015-05-28 Aixtron Se Heizeinrichtung für einen CVD-Reaktor
US20170175247A1 (en) 2013-12-04 2017-06-22 Evatec Ag Sputtering source arrangement, sputtering system and method of manufacturing metal-coated plate-shaped substrates
US9916995B2 (en) 2014-02-24 2018-03-13 Lam Research Corporation Compact substrate processing tool with multi-station processing and pre-processing and/or post-processing stations
US9336997B2 (en) 2014-03-17 2016-05-10 Applied Materials, Inc. RF multi-feed structure to improve plasma uniformity
JP6243290B2 (ja) 2014-05-01 2017-12-06 東京エレクトロン株式会社 成膜方法及び成膜装置
US10892180B2 (en) * 2014-06-02 2021-01-12 Applied Materials, Inc. Lift pin assembly
JP6225842B2 (ja) 2014-06-16 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
SG11201610307PA (en) 2014-07-03 2017-01-27 Applied Materials Inc Carousel batch epitaxy system
CN105470181B (zh) * 2014-09-10 2019-02-19 北京北方华创微电子装备有限公司 一种遮蔽盘传输装置及反应腔室
JP6280487B2 (ja) 2014-10-16 2018-02-14 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6330623B2 (ja) 2014-10-31 2018-05-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP6330630B2 (ja) 2014-11-13 2018-05-30 東京エレクトロン株式会社 成膜装置
CN107112261A (zh) 2014-12-11 2017-08-29 瑞士艾发科技 尤其用于基体的除气的设备和方法
JP6464765B2 (ja) 2015-01-19 2019-02-06 東京エレクトロン株式会社 熱処理装置、熱処理方法及び記憶媒体
TWI676709B (zh) 2015-01-22 2019-11-11 美商應用材料股份有限公司 使用空間上分開的佈植器腔室進行的對薄膜的原子層沈積
KR102010633B1 (ko) 2015-06-30 2019-08-13 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 기판 처리 장치
JP6478847B2 (ja) 2015-07-08 2019-03-06 東京エレクトロン株式会社 基板処理装置
KR20180049057A (ko) 2015-09-08 2018-05-10 에바텍 아크티엔게젤샤프트 진공처리장치 및 진공처리기판 제조방법
JP6507953B2 (ja) 2015-09-08 2019-05-08 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
CN107022754B (zh) 2016-02-02 2020-06-02 东京毅力科创株式会社 基板处理装置
US9953843B2 (en) 2016-02-05 2018-04-24 Lam Research Corporation Chamber for patterning non-volatile metals
WO2017152958A1 (en) 2016-03-08 2017-09-14 Evatec Ag Chamber for degassing substrates
TWI729101B (zh) 2016-04-02 2021-06-01 美商應用材料股份有限公司 用於旋轉料架基座中的晶圓旋轉的設備及方法
CN109314034B (zh) 2016-06-15 2021-11-16 瑞士艾发科技 真空处理室及制造真空处理的板形基底的方法
CN109804455B (zh) 2016-10-14 2022-03-15 瑞士艾发科技 溅射源
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
JP6777055B2 (ja) 2017-01-11 2020-10-28 東京エレクトロン株式会社 基板処理装置
CH713453A1 (de) 2017-02-13 2018-08-15 Evatec Ag Verfahren zur Herstellung eines Substrates mit einer bordotierten Oberfläche.
JP6750534B2 (ja) 2017-02-24 2020-09-02 東京エレクトロン株式会社 成膜装置
US20200203071A1 (en) 2017-04-27 2020-06-25 Evatec Ag Soft magnetic multilayer desposition apparatus, methods of manufacturing and magnetic multilayer
JP6922408B2 (ja) 2017-05-18 2021-08-18 東京エレクトロン株式会社 基板処理装置
US10043693B1 (en) 2017-06-06 2018-08-07 Applied Materials, Inc. Method and apparatus for handling substrates in a processing system having a buffer chamber
JP6789187B2 (ja) 2017-07-07 2020-11-25 東京エレクトロン株式会社 基板反り検出装置及び基板反り検出方法、並びにこれらを用いた基板処理装置及び基板処理方法
KR20200037824A (ko) 2017-07-27 2020-04-09 에바텍 아크티엔게젤샤프트 투과 장벽
JP2019036630A (ja) 2017-08-15 2019-03-07 東京エレクトロン株式会社 成膜装置
WO2019096515A1 (en) 2017-11-15 2019-05-23 Evatec Ag Vacuum treatment apparatus and method of vacuum treating substrates
KR102424953B1 (ko) 2017-11-17 2022-07-25 에바텍 아크티엔게젤샤프트 진공 플라즈마 공정에의 rf 전력 공급
WO2019105671A1 (en) 2017-11-30 2019-06-06 Evatec Ag Evaporation chamber and system
KR102699890B1 (ko) * 2018-04-18 2024-08-29 어플라이드 머티어리얼스, 인코포레이티드 자기-중심조정 피쳐를 갖는 2-피스 셔터 디스크 조립체
CN112088227B (zh) * 2018-05-12 2022-09-30 应用材料公司 具有整合遮件库的预清洁腔室

Also Published As

Publication number Publication date
TW202205489A (zh) 2022-02-01
US20220028711A1 (en) 2022-01-27
US11817331B2 (en) 2023-11-14
CN116034180A (zh) 2023-04-28
WO2022025999A1 (en) 2022-02-03
KR20230051166A (ko) 2023-04-17

Similar Documents

Publication Publication Date Title
CN112088227B (zh) 具有整合遮件库的预清洁腔室
CN114127887B (zh) 用于半导体处理系统的多盖结构
US20200381276A1 (en) Multisubstrate process system
JP2023535735A (ja) ペースティングプロセス中の保護ディスクを用いた基板ホルダ交換
US20210375650A1 (en) High temperature and vacuum isolation processing mini-environments
US20240258136A1 (en) Substrate processing module and method of moving a workpiece
US11600507B2 (en) Pedestal assembly for a substrate processing chamber
US11610799B2 (en) Electrostatic chuck having a heating and chucking capabilities
US20220076978A1 (en) Alignment of an electrostatic chuck with a substrate support
US20230212735A1 (en) Substrate processing system
US20220307131A1 (en) Hot showerhead
JP2023547382A (ja) スループットの向上と移送時間の短縮を実現する半導体処理チャンバ
US20220068690A1 (en) Substrate transfer devices
US11955355B2 (en) Isolated volume seals and method of forming an isolated volume within a processing chamber
TWI853162B (zh) 基片處理模組及移動工件的方法
JP2023546104A (ja) 対称的な高周波帰還経路を提供するための動的インタフェース

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230322

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240604

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20240904