CN1095859A - 半导体制造工艺和半导体器件制造工艺 - Google Patents

半导体制造工艺和半导体器件制造工艺 Download PDF

Info

Publication number
CN1095859A
CN1095859A CN94102771A CN94102771A CN1095859A CN 1095859 A CN1095859 A CN 1095859A CN 94102771 A CN94102771 A CN 94102771A CN 94102771 A CN94102771 A CN 94102771A CN 1095859 A CN1095859 A CN 1095859A
Authority
CN
China
Prior art keywords
silicon fiml
substrate
technology
film
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN94102771A
Other languages
English (en)
Other versions
CN1052564C (zh
Inventor
高山彻
张宏勇
山崎舜平
竹村保彦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Publication of CN1095859A publication Critical patent/CN1095859A/zh
Application granted granted Critical
Publication of CN1052564C publication Critical patent/CN1052564C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02672Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using crystallisation enhancing elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • H01L27/127Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement
    • H01L27/1274Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor
    • H01L27/1277Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor using a crystallisation promoting species, e.g. local introduction of Ni catalyst
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • H01L27/127Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement
    • H01L27/1274Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor
    • H01L27/1285Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor using control of the annealing or irradiation parameters, e.g. using different scanning direction or intensity for different transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/7866Non-monocrystalline silicon transistors
    • H01L29/78672Polycrystalline or microcrystalline silicon transistor
    • H01L29/78675Polycrystalline or microcrystalline silicon transistor with normal-type structure, e.g. with top gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Optics & Photonics (AREA)
  • Recrystallisation Techniques (AREA)
  • Thin Film Transistor (AREA)

Abstract

一种以较低结晶温度和较短时间周期制造半导 体的工艺,其工序是:在衬底上形成绝缘物涂层;将所 述绝缘物涂层暴露到等离子体中;在上述暴露工序之 后,在所述绝缘物涂层上形成非晶硅膜;并且在400 到650℃或更高温度中,但不得高于衬底玻璃转换温 度,热处理所述硅膜。成晶核部位是这样被控制的, 选择性地将非晶硅膜暴露到等离子体中或选择性地 施加一种物质,该物质含有起催化作用的元素。也披 露了用同样方法制造薄膜晶体管的工艺。

Description

本发明涉及制造用于薄膜器件如薄膜绝缘棚场效应晶体管(下文简称“薄膜晶体管”或“TFT”)的晶状半导体工艺;本发明还涉及使用上述晶状半导体制造半导体器件的工艺。
用于薄膜器件如迄今为止公知的TFT的晶状硅半导体薄膜,利用使非晶硅膜晶体化制造。非晶硅膜通过等离子体CVD(化学蒸镀)或热CVD来形成,使用一种装置如电炉;温度保持不低于600℃,延续12小时或更长。只有在使非晶膜经受持续较长的时间的热处理,才可能得到具有充分高质量(如极好的场效应和高可靠性)的晶状硅半导体的薄膜。
然而,为获得晶状硅半导体薄膜,已有技术尚需解决许多问题。问题之一是导致工艺成本增加的低生产率。例如,如果结晶的工序要求24小时的持续时间,那么在同时必须加工720个衬底,理想状态下,每个衬底耗费工时2分钟。可是通常管状炉衬底同时热处理最大数量可限制在50,在实际上处理仅仅使用一个装置(反应管)。发现单个衬底完成处理要花费30分钟。换言之,在2分钟内完成每个衬底的反应至少需要15个反应管。这意味着增加花费成本,因此,由于成本过高,增加了产品价格。
热处理温度被认为是另一个问题。通常,用石英玻璃衬底制造TFT,上述衬底包括纯净硅氧化物或无碱硼硅酸盐衬底,比如用Corming掺入制造的7059#玻璃衬底(下文简称为“Corning7059衬底”)。前述衬底具有如此好的热阻,以致于可用象半导体集成电路的常规晶片工艺同样方法进行处理。但是,其花费大,并且随衬底面积的增加,价格成指数地增加。因此目前,石英玻璃衬底的使用仅限于具有比较小的面积的TFT集成电路。
从另一方面来说,与用石英玻璃制的那些相比,无碱硼硅酸盐玻璃衬底价格低廉。然而,就它们的热阻而言,则显得不足。由于无碱玻璃衬底在550~650℃的温度中会产生变形,更具体来说,由于现有的材料在不高于600℃的温度中会变形,所以任何600℃的热处理都会导致衬底上形成不可逆的收缩和扭曲。这些变形特别明显出现在对角线长度大于10吋的衬底上。人们相信:在硅半导体膜上实施热处理的温度为550℃或更低,并且时间在4小时内是必要的,以减少总工艺成本。
根据上述情况,本发明目的在于提供一种制造半导体的工艺,该半导体可经受由上述条件实施的热处理;并且提供了一种用这样半导体制作的半导体器件的工艺。
按照本发明的一个实施例提供了一种制作半导体的工艺,该工艺的特征在于包括:衬底上形成绝缘物涂层;将所述绝缘涂层暴露在等离子体中;在涂层暴露到所述等离子体中后,在所述绝缘物涂层上形成非晶硅膜;并且通过所述硅膜光退火和/或对所述硅膜热处理,温度为400~650℃或不高于衬底玻璃转换温度,使所述硅膜晶体化。
按照本发明的另一个实施例提供了一种制造半导体的工艺,其特征在于包括:在衬底上形成绝缘物涂层;用掩模材料有选择地覆盖所述绝缘物膜;将所述衬底暴露在等离子体中;在将衬底暴露在所述等离子体后,在所述绝缘物涂层上形成非晶硅膜;通过使所述硅膜作光退火和/或使所述硅膜以400~650℃或不高于衬底的玻璃转换温度进行热处理,以使所述硅膜晶化;并有选择性地腐蚀所述硅膜。
此外,按照本发明又一个实施例包括制造一种薄膜晶体管,其特征在于:在衬底上形成绝缘物涂层;用掩膜材料有选择地覆盖所述绝缘物涂层;把所述衬底暴露在等离子体中;把衬底暴露到所述等离子体后在所述绝缘物涂层上形成非晶硅膜;通过使所述硅膜作光退火或/和在400~650℃或不高于衬底的玻璃转换温度下对所述硅膜进行热处理,以使所述硅膜晶体化;有选择性地腐蚀所述硅膜;由用掩模材料预先涂敷的部分形成薄膜晶体管的沟道形成区。
按照本发明的再一个实施例提供了一种制造半导体工艺,其特征在于包括:在衬底上形成非晶硅膜;形成直接与所述硅膜上表面或下表面直接接触的衬底,该衬底包括通过施加催化作用,促进非晶硅晶体化的成分;并且利用使硅膜光退火和/或在400~650℃或不高于衬底的玻璃转换温度下加热硅膜,以使硅膜晶体化。更准确地说,本工艺是通过用溶液涂敷非晶膜基底涂层的表面完成的,溶液含有水、酒精(单羟基的或多羟基的)、石油溶剂(可以是饱和的或不饱和的烃类)和类似物,醋酸盐或硝酸盐、任何形式的羧化物,或其他有机酸盐成分在其中溶解或扩散,上述成分促进结晶。非晶涂敷膜的上表面可用其他方法提供涂层。然后使生成的非晶体涂层受到热处理。
还有按照本发明的另一个实施例提供一种制造半导体的工艺,其特征在于包括:在衬底上形成绝缘物涂层;用掩模材料选择性地涂敷所述绝缘物涂层;把所述衬底暴露到等离子体中,或形成衬底的涂层,该涂层含有起催化作用和促进非晶硅结晶的成份;衬底受到上一步骤处理后,在所述绝缘物涂层上形成非晶硅膜;利用对所述硅膜作光退火和/或在400~650℃或不高于衬底的玻璃转换温度下,使所述硅膜进行热处理,以使所述硅膜晶体化;并且有选择性腐蚀所述硅膜。
图1展示了用以完成本发明实施例的工艺的装置的示意图(见例1);
图2(A)~2(C)展示了顺序侧剖结构的示意性图示步骤,上述结构利用按照本发明实施例的工艺获得,工艺中应用了选择性结晶(例2);
图3(A)至3(E)示意性展示了顺序侧剖结构的图示步骤,上述结构由按照本发明实施例制造TFT的工艺获得(例3);
图4(A)至4(F)示意性展示了顺序侧剖结构的图示步骤,上述结构由按照本发明实施例制造TFT的另一工艺获得(例3);
图5展示了用以完成本发明工艺的装置的示意图(见例1);
图6展示了Raman散射强度比率和在例1获得的硅膜上观测到的退火延续时间的变化,图中,强度比率表示相对强度、采取标准试样(单晶硅)的散射强度为1。
图7展示了在例1获得的硅膜上观测到的Kaman散射强度比率随退火持续时间的变化,图中,强度比率表示相对强度,以标准试样(单晶硅)的Raman散射强度为1;
图8(A)~8(D)示意性展示了顺序侧剖结构的图示步骤;所说的结构由另一个按照本发明实施例制造TFT的工艺获得(例8);
图9(A)~9(E)示意性展示了顺序侧剖结构的图示步骤;上述结构由另外又一个按照本发明实施例制造TFT的工艺获得(例9);
图10展示了在硅晶体内的Ni的浓度。
进行广泛研究之后,本发明者们找到了解决上述问题的方法。更准确地说,本发明者们在衬底上制作了下绝缘物层,以防止杂质从衬底硬挤进半导体层。并且一经将绝缘物层暴露到等离子体中后,就淀积非晶体硅层,再进一步以光和/或热使非晶体硅晶体化。这样,已经发现:在所生成结构上淀积的硅半导体膜很易被晶化。
上述发现可作如下解释,在一种情况下,由于晶核生成需时很长,可说明为什么在约600℃温度下的常规热结晶工艺需要长的时间周期的原因。在本说明中,该时间周期称之为潜在周期。由本发明者们根据24小时结晶过程的观察,在该周期期间由于没有形成晶核,所以在6小时的初始周期中,硅保持其原始非晶状态。在6小时的后继周期中,自然产生晶核,并在该步骤之后结晶。可以看到,已有的工艺过程包括在整个工时中持续6~12小时的潜在周期。然而,在潜在周期期间这样形成的晶核非常紊乱,且各处晶核浓度不同。这样,在个别区域发现结晶经常进行,但在另外和区域,则基本观察不到结晶发生。然而随时间推移,在那些未曾观察到成核的区域也出现成核现象,或该结晶区域延伸逐渐遍及整个衬底。可以看出:获得完全的结晶衬底需12小时或更长的周期。
当用等离子体处理基层绝缘膜时,一种起促进结晶的催化剂作用的物质在绝缘物膜内形成。加速成核的催化剂预示了,比如由于等离子体或来自构成室或衬底材料的沉积造成的损害所引起的负担或缺陷。更确切地说明,在结晶过程中具有催化作用的材料如Ni、Fe、Co和Pt已发现具有显著地催化剂作用。现存的这些催化剂促进成核和缩短潜在周期。但是,更大量的晶核可通过增加这些催化剂数量获得。由此事实可以设想等离子体处理时间越长产生核化浓度越高,并且导致细小晶体的生成。
在等离子体处理中要注意的另一方面是核化在整个衬底上以非常均匀的浓度进行。这可通过观察由本发明晶体化的硅膜光腐蚀表面来证实。更具体地说,利用氟硝酸(fluoronitric acid)光腐蚀表面后在光学显微镜、电子显微镜和类似仪器下,通过观察在等离子处理过的衬底上淀积的非晶硅膜以及在550℃,4小时条件下热处理生成的结构而获得的试样表面。然后可发现:以大致相同间距形成象火山口一样的微孔。认为这些孔是由于易被腐蚀材料存在之故。换言之,腐蚀的图形符合在硅膜内侧晶核浓度分布。可以设想,用象孔的密度分布图形一样的方法分布催化剂。在按照本发明的工艺中,优选的Ni浓度是在从1×1015原子/cm3到1%原子范围内,更好的是Ni浓度在从1×1016原子/cm3到0.001%原子范围。最佳是最小浓度在从1×1015到1×1017原子/cm3范围内,如SIMS(次离子质谱仪)所观察到的。如果Ni浓度大于上述值,那么生成的半导体性能会受到极大的损害。相反,如若Ni的浓度降至低于现定范围,那么在590℃或更低温度下不发生结晶。
利用平行板型等离子体发生装置进行处理可获得等离子处理中的良好产品。此外,当施加适当偏压时在室中使用阳极区放电也可提供良好的产物。总之,使用由生成等离子体的Ni、Fe或Co制成的电极可获得最佳生成物。
此外,在等离子体处理期间,把衬底加热到100~500℃范围,更容易发生结晶。更确切地说,衬底最好被加热到200℃或更高。这是由于较高的温度可更易得到催化物。
由在含氮、氧、氩、氖或氪的气氛中,特别是这些气体的含量占整个体积10%或更多时生成等离子体,可获得等离子体处理的最佳结果。气体中最好搀入氢或氦。然而通过SIMS(次离子质谱测定)发现产生优质品的硅膜是固有的或基本上是固有的,并且发现他们在1×1019/cm3或更低的浓度中含有外部元素碳、氧、氮每一种。
根据本发明的工艺包括等离子体处理基层绝缘物膜表面。可是,当受过等离子体处理的衬底一旦被暴露到大气中时,灰尘、水和其它杂质粘附到表面,使硅膜的结晶度受到大大损害。换言之,由于衬底在大气中的暴露,产生了非均匀特性的衬底。通过在密闭系统进行膜的沉积和等离子体处理能避免这样的问题。而且在上述密闭系统中保持一种气氛,在该气氛环境中,不用等离子体处理的衬底显露到空气中,可连续地进行膜沉积。并且最好使衬底表面和绝缘涂层保持在足够清洁状态。比如最好,借助紫外线照射、臭氧处理或同时并用,以从该表面除去碳、有机物等。
发明者们进一步扩展上述研究,并想出简单工艺,该工艺包括施加一种物质,该物质含有加速结晶过程的元素或其化合物,以获得象通过等离子体处理绝缘物涂层表面所获得的类似结果。使用这样的方法,不用另外提供真空装置,而只需常规设备。但在使用这样物质中,人们担心盐中所含的氧和碳会扩散进硅膜中,并且降低硅膜的半导体性能。因此,本发明者们作这样的研究,利用热重分析法和差分热分析发现:通过适当选择材料,在450℃或更低温度条件下使这样的附加物质分解产生氧化物或单体,这样的物质就不会进一步发生扩散进入硅膜。尤其是当在还原气氛如氮气中分解时,发现盐如醋酸盐和硝酸盐在400℃或更低温度下形成单质金属。这些盐首先在氧气氛中通过分解产生氧化物,但是他们在较高温度下借助氧的解吸作用,最终形成单质金属。
在这样掺入至少能加速部分硅膜结晶的元素后,使整个结构在400~650℃温度范围内进行退火。与上述包括进行等离子处理的工艺类似,发现在经过2小时后,在非晶硅膜上产生结晶。
然而,上述催化剂元素即Ni、Fe、Co和Pt本身并不利于硅。因此,他们的浓度最好尽可能地降低。本发明者们经过深入地研究得知,从确保良好性能和可靠性观点来看用于半导体器件如TFT的这些半导体成分的浓度范围是从1×1015原子/cm3到1原子%。较好的是在1×1016原子/cm3到0.001原子%范围。正如用SIMS(次离子质谱仪)观察到那样,催化剂金属元素的最小浓度最好是在1×1015到1×1019原子/cm3范围。如果催化剂金属元素的浓度低于该范围,则不可能产生充分的结晶,如果该浓度超过该范围,则相反会产生性能和可靠性都差的半导体。
下面参照非限定性实施例对本发明进行更详细说明,但是应当清楚,本发明不仅限于此。
实施例1
下面叙述使在Corgning 7059#衬底上形成的平面型非晶硅膜晶化的工艺。使用RF溅射将2000
Figure 941027716_IMG2
厚度硅氧化物膜淀积在衬底上作为基层膜,并且使所生成的硅氧化物膜在氮等离子体中进行处理。如图1所简示,等离子体处理装置是平板型的。它包括室11、气体入口系统12、抽气系统13、RF电源14、以及Ni合金电极15和16,为的是使衬底17可设置在RF等离子体18下面。
进行等离子体处理要在10Pa的反应压力下(这里真空度达10-3Pa或更低),施加20W或60W的RF功率,持续5分钟,同时使作为反应气体的氮以100SCCM(每分钟标准立方厘米)的流速流动,并把衬底的温度调至200℃。
然后,用等离子体CVD法淀积1500
Figure 941027716_IMG3
厚度的非晶硅膜,使其在温度为430℃条件下保持1小时,从膜中除掉氢后,在膜上能出现固相生长,这时其温度变化从500至580℃,持续时间从10分钟到8小时。在用上述温度范围对其加热之前或之后或同时用激光照射,可实现固相生长。
可用另外方法完成上述步骤,比如图5所示具有2个或更多室的装置,以致可使工序不间断地进行。具体来说上述工艺包括在把等离子体处理过的硅氧化物基底暴露到空气中之后淀积非晶硅膜。按照本发明的工艺对于表面状态是敏感的,并且所得到的结晶硅膜易严重地受到在其暴露到空气中期间粘附到衬底表面的杂质的影响。
简要地参照图5所示的装置,它包括溅射装置室501;并且通过将电能由RF电源504施加到2个电极(试样架和支撑板)502和503产生等离子体。作为试样的衬底506和靶505设置在相应电极上。在这情况下,靶505是氧化硅。室501还装有用以掺入包括氧气和氩气的混合气体的气体系统507和用以掺入氮气的另一气体系统508,在膜的淀积期间,通过前面系统提供气体,和在等离子体处理期间通过后面系统提供气体。还给室501装有抽真空系统509。
装置进一步包括作为平行平面型等离子体CVD设备的室521。通过由电源524向室521内侧的2个电极522和523提供电源,在箱子521内侧可产生等离子体。试样衬底525装在电极522上面。通过经气体系统526向室中引入硅烷和氢气混合物,以使由等离子体反应形成的涂层形成在衬底上。虽然在图中未展示,但机构设置到这些室,使得衬底能被加热到适当温度。
一个其中装有衬底511的附加箱子510,设置在2个等离子体室之间。
在使用图5所示装置的工艺中,在室501内用溅射完成硅氧化物膜淀积后,通过用氮置换室内空气,立即进行氮等离子体处理。如果氧化硅靶会残留在室内,由于溅射,就会进一步淀积上氧化硅膜。为了防止这种情况的出现,必须降低RF能量或必须使氧化硅靶与等离子体隔离。幸而如下文所述,与溅射所需的100W或更高的RF功率相比较,最好在60W功率或更低情况下进行等离子体处理,更可取的是功率为20W,因此,在氮等离子中进行处理期间不发生氧化硅淀积。但是,为了进一步确保工艺,用于淀积氧化硅膜的室最好与用于等离子体处理的室分开设置。在与上文所述的同样条件下,如此淀积的非晶硅膜也受到固相结晶。
在非晶硅膜经过固相晶体生长后,通过Ar+激光Raman分光测量判断其结晶程度。其结果如图6和7所示。2个曲线中的纵座标代表相应强度,强度单位用标准试样(单晶硅)的Raman峰值强度。由曲线可见未进行等离子处理的试样,在580可更低温度下,持续8小时或更短时间进行热处理不产生结晶。与此相反,发现在RF功率为20W和60W进行过等离子处理的2个试样进行结晶。
仔细研究这些结果可看出,结晶的发生是在等离子体处理中所加FR能量的函数。更具体来说,当20W的低功率下进行等离子体处理时,颇为缓慢地发生结晶。至少需要持续1小时的退火,以使非晶硅膜在550℃温度下结晶。换言之,在该情况下潜在周期是1小时。然而经1小时后,结晶迅速进行,在退火的2小时内达到饱和状态。对照Raman峰值强度可看出,在2小时退火后,与标准试样即单晶硅相比试样获得充分的结晶度。
与上述情况相反,在等离子体处理中施加60W大功率情况下,结晶较快地进行。例如,4小时退火可使非晶硅膜在温度低至480℃时晶化,并且把温度增至550℃,退火仅持续10分钟(即10分钟的潜伏周期),结晶便开始,并且在1小时内达到饱和状态。可是,结晶度是低的,与Raman强度相比可看出,在该情况下所得到的结晶度还不到20W低功率下使硅膜结晶所获得的结晶度的70%。
此差别可用成核密度来解释。那就是由于催化物密度低,当低功率条件下进行等离子体处理时,成核浓度低。这样,这些晶核的结晶要求在高温和长持续时间下处理。但是,生成的细晶体具有高的结晶度及产生高的Raman强度比。相反通过在高功率状态施加等离子体处理,以高密度产生催化物。由于以高浓度产生核化,所以结晶相对比较容易产生。然而在它们生长期间晶核相互干扰,所以所获得的膜结晶度低劣。
总之,应用等离子体处理与无等离子体处理情况相比较,结晶能够在低温和短时间周期内发生。显然,通过应用等离子体处理,在低温和短时间周期内获得结晶。在本实施例中,通过控制RF能量来控制催化物的密度,然而,在其他因素如在等离子体处理期间所施加的压力、气体的类型和成分、试样的温度以及处理持续时间等对于控制催化物的密度都是重要因素。
实施例2
下面描述利用等离子体选择性处理氧化膜基底,以使非晶硅膜进行选择性晶化的工艺。参看图2,通过溅射在Cornong 7059#衬底21上淀积2000
Figure 941027716_IMG4
厚度的氧化硅膜22作为基底,并通过自旋涂敷在基底上涂敷耐热感光胶24。使生成的感光胶膜24作出布线图案后,用象例1中同样方法把整个衬底暴露在氮等离子体中,以便在氧化膜基底的暴露部分23上实施等离子体处理。实现等离子体处理条件除了把RF功率调至60W外,其余如例1中使用的一样。从而获得图2(A)所示的结构。
由于在该处的衬底被加热至200℃或更高些,所以所使用的掩模材料必须至少能耐同样的温度。此外,在不使用等离子体时掩模材料最好是可更换的。这样,由图中这些点看最好使用掩模用的耐热感光胶。换言之,也可使用无机材料如氮化钛、氧化硅以及氮化硅。
接着借助低压CVD,在淀积1500 厚的非晶硅膜25后,作4小时550℃的退火。结果,看到结晶硅26,通过等离子体处理,形成未被掩模材料复盖的周围剩余部分。结晶延伸至由掩模材料(但仅仅是由等离子体处理的部分)盖住的部分,沿长度方向达约5μm。在由掩模材料盖住的其他部分27上看不到结晶发生。通过照射激光的退火。可在上述550℃加热之前或之后或同时进行。
显然,受到等离子体处理的该部分结晶度要低于距那些等离子体处理部分5μm距离的周边部分的结晶度。在直接受到等离子体处理的部分内,事实是,微晶从多个独立的晶核开始生长,然后它们彼此碰撞,妨碍了自身生长。而另一方面,周围部分不含有晶核,使晶体生长的方向限止在一个单独的方向上。可以看到,允许晶体生长不受任何限制地进行。
实施例3
下面描述通过选择性进行等离子体处理,制作具有特别高迁移率TFT的工艺。更准确地说,掩模材料仅在所加工的TFT的沟道形成区(即在棚接点下和岛状半导体区域内的源区和漏区之间所限定的区域)的部分上形成,以免使该部分露在等离子体中。然而尽管结晶发生取决于退火温度和持续时间,但在如前述例2所述从几微米到10微米大小区域内,该工艺不适合于具有过长沟道过宽沟道的器件。
在等离子体处理中,氧化硅基底的表面因受等离子体碰撞而被损伤,况且还有各种外来物粘附到表面。但是这些损伤部分和起加速核化的催化剂作用的外来物,如果形成在TFT的沟道形成区域内,也可能产生漏电流。此外只有通过应用高结晶度的半导体才能获得具有高迁移率的TFT。参照上述例2,在上述情况下,周围部分要优于等离子体处理过的部分。
参照图3,下面叙述按照本实施例的工艺。通过溅射在Cornong 7059#衬底30上淀积2000
Figure 941027716_IMG6
厚氧化硅膜31作为基底,在其上涂覆耐热感光胶,以形成像沟道同样尺寸,即5μm×15μm的各个掩模32A和32B。另外,利用棚连接的图案可构图成掩模;因为如下文所述在使非晶硅膜图案成形后实现结晶,对于两种考虑其效果也都是一样的。把所得到的衬底放到等离子体33中,作等离子体处理,如图3(A)所示。使用与例1中使用的同样的等离子体处理装置。当以100SCCM(每分钟标准立方厘米)的流速使作为反应气体氮流动,并且使衬底温度保持在200℃时,通过在10Pa反应压强(真空度达10-3Pa或更低)下施加60W功率5分钟来完成等离子体处理。
等离子体处理之后,除去掩模32A和32B,并且使用作为原料气体的单硅烷(SiH4),借助低压CVD在其上淀积1500
Figure 941027716_IMG7
厚非晶硅膜。接着作退火,温度为550℃,时间为4小时,以能使该膜晶化。在退火之前或之后或同时,为使该膜晶体化可用激光照射上述膜。将这样结晶化的膜作图成形,以构成岛状硅区域34A和34B,并且在这之后,使用四乙氧硅烷(TEOS)和氧作为原料气体,通过等离子体CVD淀积1,000
Figure 941027716_IMG8
厚硅氧化物膜35。利用低压CVD淀积N型多晶硅膜之后,使此生成结构图形化,以形成带棚接触点36A和36B的棚连接。图3(B)展示了所得到的结构。
然后,用等离子体掺杂引入杂质。在这种情况下,磷化氢(PH3)气体和乙硼烷(B2H6)气体分别用作N型和P型杂质源。用80Kev的加速电压掺杂PH3;用65Kev的电压掺杂B2H6。通过再使结构经550℃,4小时的退火,使杂质活化,以形成杂质区域37。可利用光能如激光退火和闪光灯退火的方法完成杂质的活化,所生成的结构如图3(C)所示。
最后淀积5000
Figure 941027716_IMG9
厚的氧化硅膜作为层间绝缘体38,所用的方法是与制作TFT通常工艺一样。借助在所产生的氧化硅膜中形成接触孔,在源区和漏区形成连接和接触点39A和39B。象这样获得的最终TFT结构展示在图3(D)中。
图3(E)中所给出的是从上侧所看到TFT线路的最终结构。图3(A)~3(D)是沿图3(E)点划线剖切的侧剖视图。这样所获得的TFT被发现其场效应迁移率N沟道型为40~60cm2/Vs;P沟道型为30~50cm2/Vs。
实施例4
下面说明制作按照本发明的铝棚TFT工艺。
参看图4,利用溅射在Corning 7059#衬底40上淀积2,000
Figure 941027716_IMG10
厚氧化硅膜41作为基底。将整体衬底暴露到等离子体42中,如图4(A)所示,以实施等离子体处理。使用例1中所用的相同的等离子体处理装置。作上述等离子体处理的条件是时间为5分钟,RF功率60W、反应压力10Pa(所达到的真空度为10-3Pa或更低),同时使氩作为反应气体以100SCCM(每分钟标准立方厘米)的流速流动,并且衬底温度保持在200℃。
然后,利用单硅烷(SiH4)作为原料气体,通过低压CVD在其上淀积1500
Figure 941027716_IMG11
厚非晶硅膜43。接着实施退火,条件为550℃,持续4小时,以使膜晶化。在退火前或后或同时可用激光照射到膜,以使膜结晶。所得到的结构见图4(B)。
将这样晶化了的膜图形化,以形成岛状硅区域44,随后利用四乙氧硅烷(TEOS)和氧作为原料气体,借助等离子体CVD淀积1,000
Figure 941027716_IMG12
厚氧化硅膜45。利用溅射淀积5000
Figure 941027716_IMG13
厚含1%硅的铝膜之后,使铝膜图形化,以构成栅连接和接触点46。图4(C)表示所得到的结构。
接着把整个衬底浸入含3%酒石酸的甘醇溶液中,并在铂阴极和铝连接件(阳极)46之间加电,以实施阳极氧化。加电方法如下:开始电压以2V/分钟的速度增加,但达到220V后要保持恒定。当电流下降到10μA/m2或更低点时断电。这样获得2,000厚阳极氧化物47展示在图4(D)中。
然后,用等离子体搀杂引入杂质。在这种情况下,PH3气体和B2H6气体分别用作N型和P型杂质源。用80Kev的加速电压搀杂PH3;用65Kev的电压搀杂B2H6。通过进一步用激光退火该结构,所说的激光退火是用波长在248nm,激光束能量强度为250~300mj/cm2的KrF准分子激光轰5次以形成杂质区48。所得到的结构展示在图4(E)中。
最后,借助制作TFT一般工艺的同样方法,淀积5000
Figure 941027716_IMG15
厚氧化硅膜作为层间绝缘体49。通过在所得到的氧化硅膜内作出接触孔,在源区和漏区形成连接和接触点50A及50B、图4(F)展示了利用上述工艺所获得的最终结构。
发现这样制得的TFT其场效应迁移率,N沟道型为40~60cm2/Vs;P沟道型为30~50cm2/Vs。此外,使用该TFT制作的移位电阻控制在6MHz时漏电压17V,11MHz时漏电压为20V。
实施例5
下面所要描述的工艺有:利用等离子体处理,通过选择性处理氧膜基底,有选择性地使非晶硅膜晶化;以及利用激光照射进一步强化结晶过程。除了例2中描述的工艺外,本实施例还包括用激光照射处理。
参见图8,利用溅射在Corning 7059#衬底21上淀积厚度为2000
Figure 941027716_IMG16
的氧化硅膜22作为基底。通过自旋涂敷在其上覆以耐热感光胶24。使生成的感光胶膜24图形化后,用例1同样方法将整个衬底露在氮等离子体中,使在氧化膜基底暴露部分23上完成等离子体处理,除了将RF功率调至20W外,象例1中使用的同样条件实施等离子体处理。图8(A)表示用上述工艺获得的结构。
由于在该点的衬底被加热到温度为200℃或更高,所以其中所用的掩模材料至少也能耐同样的温度。此外,最好不用等离子体可除去掩模材料。根据图中这些点,还是用耐热感光胶作为掩模最佳。换言之,也可用无机材料如氮化钛、氧化硅以及氮化硅。
然后,除掉感光胶24。
接着,在用减压CVD淀积1500
Figure 941027716_IMG17
厚非晶硅膜后,进行4小时,550℃的退火。结果,在等离子体处理中剩余的未遮盖掩模层的周围部分观察到结晶化的硅26。结晶延伸至由掩模层(但是仅仅由等离子体处理的部分)覆盖的部分,达到沿长度方向约5μm。在由掩模覆盖的其它部分27上面没有观察到结晶发生。
上述热退火后,在生成结构上用激光束80照射。通过用波长248nm、脉宽20毫微秒、激光束能量密度200~400mj/cm2的KrF准分子激光照射2次完成激光退火。在激光退火期间,衬底的温度维持在150~300℃范围内,如加热达200℃,会产生激光照射最佳效果。
其它可利用的激光包括那些波长在308nm的XeCl准分子激光和波长在193nm的ArF准分子激光。另外,在激光位置内可照射强光。尤其是包括照射红外光的RTA(快速热退火)的应用是有效的,因为它能在短的时间周期内可有选择性地加热硅膜。通过使用任何前述方法可获得具有良好结晶度的硅膜。这样,发现热退火结果产生的结晶区26变成使结晶度得到进一步改善的硅膜26′。由于激光照射的结果由剩余的非晶区27产生多晶膜27′。该膜27′虽然从表面上看来得到改进,但利用Raman分光学分析证实:结晶度差。而且通过传输电子显微镜观察显示:无数微晶形成膜27′;并且晶粒取向较大的结晶构成膜26′。
用例3(参阅图3)中同样的方法把所得到的晶状硅膜26′加工成岛状部分,以获得性能得到显著改进的TFT。更确切地说,用本实施例得到的硅膜制作的N沟道TFT所产生的场效应迁移率,其范围是150~200cm2/Vs,并且门限电压为0.5~1.5V,这与先前利用例2得到的硅膜产生的TFT的值形成鲜明对比,即是,迁移率范围为50~90cm2/Vs,并且门限值为3~8V。可以看到:迁移率大大增加,门限电压的起伏大大减小。
通常,上述性能改善仅仅通过非晶硅膜的激光结晶实现。但是利用激光结晶已有技术工艺获得的硅膜在膜的性能方面有相当大的波动。由于其结晶要求为400℃或更高温度和能量强度为350mj/cm2或更高的激光束照射,所以它们不可能被大量生产。与已有技术相比,按照本发明实施例的工艺,衬底温度低于传统的工艺,并且激光束强度也低得多。此外,借助按照本发明工艺可获得的性能稳定的膜,在成品率方面与通过传统热退火工艺的固相生长结晶制作的膜相比较也较高。由此生产的TFT还呈现均匀的特性。
通过本发明发现:当镍浓度低时硅膜结晶不充分。因此,由于使用这样的硅膜,产生特性差的TFT。然而,按照本例子的工艺提供了一种硅膜,它利用激光照射效应补偿不足的结晶度。因此用本实施例的不损害所生成的TFT特性的工艺,也可制造适合用于多种TFT的含Ni浓度低的硅膜。这样可制出在活性层区域含Ni较少的,即从电稳定性和可靠性观点来看更加有利的器件。
实施例6
本实施例提供这样的一种工艺方法:通过把由掺入加速结晶的催化剂配制的溶液涂敷在非晶体膜上表面,把催化剂引入到非晶硅膜内。
在本实施例中,有选择地掺入催化剂,以使得在这区域的晶体生长而进入不含催化剂的部分。用该方法不增加催化剂的浓度可获得晶状硅膜。
参看图9。用溅射或等离子体CVD在Corning 7059衬底901(大小为10cm2)上演积氧化硅膜902。然后,在用等离子体CVD淀积1000 厚非晶硅膜后,再用溅射淀积500
Figure 941027716_IMG19
厚氧化硅膜905,以提供保护涂层。将按Ni重量计100ppm的5ml醋酸脂溶液(10cm2的正方形衬底)滴入到此结构中。使旋涂器900在50rpm工作10秒,以实施自旋涂敷,从而在整个衬底表面上形成均匀含水膜907。此外,保持涂敷状态5分钟后,将生成结构承受60秒钟的自旋干燥,旋涂器900的速度控制在2,000rpm。另外通过提供给旋涂器150rpm或更低的转速可再作60秒钟的自旋干燥。图9(A)展示了用上述工艺获得的结构。
接着,通过加热使非晶体硅膜904晶化,条件是温度为550℃,在氮气氛中,持续8小时。在以这样的方式热处理期间晶体发生生长,即晶体沿着箭头916指出的方向横向生长,从掺入Ni的区域906到没有掺入Ni的区域903。当然,在直接掺入Ni的区域904内也发生结晶过程。
参看图9(A),直接掺入了Ni的区域904发生结晶;并且在区域903结晶沿横向进行。图10表示区域903中Ni的浓度分布。图10内的分布特性曲线是以用SIMS(辅助离子质谱测定法)得到的Ni浓度数据为基础作出的。已证实,直接掺入Ni的区域904的Ni浓度大致是一位数或高于图10图象中所显示的浓度。
通过控制溶液的Ni浓度可调节在图10的曲线中所示的Ni浓度分布。在本发明,溶液的Ni浓度调至100ppm。然而,已知即使溶液的该浓度调至10ppm也产生结晶。通过把溶液的Ni浓度调至10ppm,可把图9中区域903的Ni浓度进一步降低一位数。但是,通过减少溶液的Ni浓度却出现了新问题,这是由于沿横向的晶体生长距离916缩短了。
此外,其作用还在于进一步改善了通过与实施例5类似的方式进行激光束或与之等效的强光照射所获得的晶状硅膜的结晶度。然而在实施例5的情况下,由于Ni含有较高浓度,使镍从硅膜中析出,在硅膜中形成大小约为0.1~10μm的Ni晶粒,所以使该膜的组织受到损害。与利用等离子体处理使膜内Ni混合的实施例5的情况相比,在该情况下可大大降低Ni的浓度。因此,没有硅化镍析出,并且由于激光照射,可阻止发生膜表面变粗糙。
这样结晶化的晶状硅膜可直接用于TFT的活性层内。尤其是因为该区域含有很低浓度的催化剂,所以作为TFT活性层的区域903的应用是非常有效的。
更准确地说,如图9(B)及下面的附图所示,利用上述晶体硅膜制作TFT的工艺包括:把硅膜腐蚀成岛状部分,以形成岛状硅区域908。在腐蚀保护性氧化膜905之后,再把生成的结构放置在温度范围为500~750℃,最好为550~650℃的氧化性气氛中,以形成在硅区域表面起棚绝缘物膜作用的硅氧化膜909。在这个热处理步骤中,利用把水蒸汽、一氧化二氮和类似物混入该气氛中可进一步加速氧化反应。当然,可把公知的气相生长工艺如等离子体CVD和溅射作为形成氧化硅膜909的替换方法使用,而不再进行上述的热氧化步骤。
这样形成岛状硅区域908和氧化硅膜909之后,以可横贯岛状硅区域方式组成连接物910,上述连接物起栅接触点作用,用能作阳极氧化的材料如铝构成。其内最好使用按钴重量计含0.05~0.3%的铝,以防止小丘产生。从而获得图9(B)所示的结构。
用象实施例4同样的方法,对连接物910的表面实施阳极氧化,以在其表面上形成0.1~1μm厚阳极氧化膜911。所生成的结构如图9(C)所示。
然后用等离子体掺杂把杂质掺入上述结构。在这种情况下,用PH3气体作为搀杂气体。掺杂PH3时加速电压为80Kev,再用波长248nm,激光束能量强度为250~300mJ/cm2的准分子激光轰击5次来激光退火该结构,使所掺杂的杂质激活。上述工艺形成杂质区域912和913。在该情况下,栅接触从杂质区域以相应于阳极氧化物厚度X的距离移位。图9(D)展示了所生成的结构。
最后,用制作TFT一般工艺同样的方法,淀积5000
Figure 941027716_IMG20
厚氧化硅膜作为层间绝缘体914。另外,用自旋涂敷制作透光聚酰亚胺膜915,使形成较光滑的表面。借助在所生成的膜内作出接触孔,在源区和漏区形成连接和接触点917及918。图9(E)展示了上述工艺制得的TFT最终结构。
在本实施例中,用醋酸盐溶液作为含催化剂的溶液。但是,也可使用从很宽的种类范围中选出的含水溶液,以有机溶剂为基础的溶液。化合物类溶液中可以不含催化剂,并且可在溶液中完全扩散。
催化剂可加入从极性溶剂如水、酒精、酸类及氨中选择的溶剂中。
当选择Ni作为催化剂时,借助Ni化合物把Ni加入极性溶剂。为此目的所使用的有代表性的Ni化合物包括:Ni溴化物、Ni醋酸盐、Ni草酸盐、Ni碳酸盐、Ni氯化物、Ni碘化物、Ni硝酸盐、Ni硫酸盐、Ni甲酸盐、Ni乙酰醋酸盐、Ni四环己基丁酸盐、Ni氧化物、及Ni氢氧化物。
本发明所使用的溶剂包括非极性溶剂如:苯、甲苯、二甲苯、四氯化碳、三氯甲烷、和乙醚。
以Ni化合物形式将Ni掺入所使用的溶剂。为此目的所使用的Ni化合物有:Ni乙酰醋酸盐和Ni二乙基己烷盐。
在含催化剂的溶液中加入表面活性剂也是有益的,上述加入增加了溶液对于涂敷表面的粘着力,控制吸附性能。另外,表面活性剂可预先施加到用溶液涂覆的表面。当金属Ni被用作催化剂时,它必须预先溶解在以溶液形式使用的酸类中。
上述情况所涉及一种将催化剂-Ni完全溶入其中的溶液的实例。在溶液中Ni无需被充分溶解,并且也可使用其它形式的材料,比如乳化液,它包括均匀分散在弥散剂中的金属Ni粉末和Ni化合物粉末。
上述情况也适合于使用除Ni以外的催化剂的任何材料。
非极性溶液比如Ni二乙基己烷盐的甲苯溶液能直接施加到非晶硅膜表面。这时,将在涂覆保护膜中常用的粘结剂,预先涂到非晶硅膜也是有效的。但是,对其使用必须小心,因为应用剂量太大反而影响催化剂加入非晶硅膜内。
催化剂加入溶液的量虽然取决于溶液的类型,但其量按重量计大体为1~200ppm,最好为1~50ppm。加入范围取决于结晶膜的Ni浓度和耐氢氟酸性。
如上所述,本发明在实现使非晶体硅甚至在更低的温度和更短的时间周期内发生结晶方面开创了一个新纪元。此外按照本发明的工艺适合于大批量生产。但使用的却是最普通的设备、仪器和方法,因此,对于电子产业来说,这是个有益的和有前景的工艺。
更准确地说,例如通常固相生长工艺要求退火工序延续至少24小时。认为每个衬底工艺过程时间最好是2分钟,要用15个退火炉才能实施工艺。然而,本发明能在8小时内完成工艺,并且在最佳条件下,该工艺甚至可缩短至仅4小时或更少。这意味着,炉子数量减少至上述计算数量的1/6时,就可实行上述工艺。这导致提高生产率和减少设备投资,因此降低了衬底的工艺成本。所以,可生产廉价的TFT,确实,本发明对于工业有极大的好处。
当通过参照特定实施例对本发作出详细描述的时候,应该清楚,该领域普通技术人员可作出各种变化和改进,但并没有脱离本发明的精神和范围。

Claims (20)

1、一种制造半导体的工艺,其工序如下:
在衬底上形成绝缘物涂层;
将所述绝缘物涂层暴露在等离子体中;
在所述暴露工序之后,在所述绝缘物涂层上形成非晶硅膜;并且
通过光使所述硅膜退火和/或在400到650℃温度下热处理所述硅膜,使所述硅膜晶体化。
2、按权利要求1所述工艺,其特征是,在所述暴露工序期间,以100至500℃的温度加热衬底。
3、按权利要求1所述工艺,其特征是,等离子体至少包括从含氮、氧、氖、氪、氩一类气体中选择出的一种,其体积占10%或更多些。
4、按权利要求1所述工艺,其特征是,在所述暴露工序和所述非晶硅膜形成工序之间,衬底不暴露在空气中。
5、按权利要求1所述工艺,其特征是,在装有电极的空间内进行暴露工序,电极是由含Ni、Fe、Co和Pt一类元素中至少包括一种的材料构成。
6、按权利要求1所述工艺,其特征是,还包括下述工序,在所述热处理工序之后,用激光束或等效于激光束的强光照射所述硅膜。
7、按权利要求3所述工艺,其特征是,等离子体还包括从含作为稀释气体的氢和氦一类中选择出的一种气体。
8、一种制造半导体的工艺,其工序如下:
在衬底上形成绝缘物涂层;
有选择性地用掩模材料涂敷所述的绝缘物涂层;
将所述衬底暴露到等离子体中;
所述暴露工序之后,在所述绝缘物涂层上形成非晶硅膜;
利用光使所述硅膜退火和/或在400到650℃温度范围内加热所述硅膜,使所述硅膜晶体化;和
有选择性地腐蚀所述硅膜。
9、按权利要求8所述工艺,其特征是,在所述暴露工序期间,衬底加热到100到500℃温度范围。
10、按权利要求8所述工艺,其特征是,等离子体至少包括从含氮、氧、氖、氪、氩一类气体中选择出的一种,其体积占10%或更多些。
11、按权利要求10所述工艺,其特征是,等离子体还包括从含作为稀释气体的氢和氦一类中选出的一种气体。
12、一种制造薄膜晶体管的工艺,其工序如下:
在衬底上形绝缘物涂层;
用掩模材料有选择地涂覆所述绝缘物涂层;
将所说衬底暴露到等离子体中;
暴露工序之后,在所述绝缘物涂层上形成非晶硅膜;
通过光使所述硅膜退火和/或在400到650℃温度范围中加热处理所述硅膜,使所述硅膜晶体化;
选择性腐蚀所述硅膜;和
在预先用掩模材料涂敷的部分上建立薄膜晶体管的沟道形成区域。
13、按权利要求12所述工艺,其特征是,等离子体包括至少从含氮、氧、氖、氪、氩一类气体中选择出的一种,其体积占10%或更多些。
14、按权利要求13所述工艺,其特征是,等离子体还包括一种从含氢和氦一类气体中选择的气体作为稀释气体。
15、一种制造半导体的工艺,其工序如下:
在衬底上形成非晶硅膜;
形成一种与所述硅膜上表面或下表面紧密接触的物质,该物质包括一种这样的元素,通过其所产生的催化用而加速非晶硅的结晶;并且,
通过光使所述硅膜退火和/或在400℃或更高温度下热处理所述硅膜,使所述硅膜晶体化,但加热温度不得高于衬底的玻璃转换温度。
16、一种制造半导体的工艺,其工序如下:
在衬底上形成绝缘物涂层;
在所述绝缘物涂层上形成含有一种元素的薄层,该元素是从Ni、Fe、Co和Pt一类中选择;
在所述薄层形成工序前或后,形成与所述薄层紧密接触的硅膜;并且,
通过光使所述硅膜退火和/或加热所述硅膜,以使所述硅膜晶体化。
17、按权利要求16所述工艺,其特征是,所述薄层具有从包括单原子层状、岛状、簇群状(cluster)和膜一类中选出的形式。
18、一种制造半导体的工艺,其工序如下:
在衬底上通过自旋涂敷形成透明层,该层包括从含Ni、Fe、Co和Pt一类中选择的元素;
在所述衬底上形成硅膜;及
用通过使所述硅膜光退火和/或加热处理所述硅膜中的所说元素使所说的硅膜晶体化。
19、一种制造半导体的工艺,其工序如下:
在衬底上形成绝缘物涂层;
用掩模材料有选择地涂敷所述绝缘物涂层;
在衬底表面涂敷含有一种元素的物质,该元素通过所起的催化作用促进非晶硅晶体化;
在所述物质涂敷工序之后,在所述绝缘物涂层上形成非晶硅膜;
通过使所述硅膜光退火和/或在400到650℃温度中使所述硅膜热处理,以使所述硅膜晶体化;并且。
选择性地腐蚀所述硅膜。
20、一种制造半导体的工艺,其工序如下:
在玻璃衬底上形成非晶硅膜;
在所述非晶硅膜形成工序之后,用掩膜材料选择性地涂敷所述硅膜;
在衬底表面上涂敷一种物质,该物质所含的元素通过所起的催化作用促进非晶硅膜的晶体化;
通过对所述硅膜进行光退火和/或在400℃或更高温度下热处理所述硅膜,以使所述硅膜晶体化,但温度不得高于衬底的玻璃转换温度;并且,
选择性腐蚀所述硅膜。
CN94102771A 1993-02-03 1994-02-03 半导体制造工艺和半导体器件制造工艺 Expired - Fee Related CN1052564C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP03949993A JP3497198B2 (ja) 1993-02-03 1993-02-03 半導体装置および薄膜トランジスタの作製方法
JP39499/93 1993-02-03

Related Child Applications (2)

Application Number Title Priority Date Filing Date
CN99121081A Division CN1123934C (zh) 1993-02-03 1999-10-06 半导体制造工艺和半导体器件制造工艺
CN99121082A Division CN1132222C (zh) 1993-02-03 1999-10-06 半导体制造工艺和半导体器件制造工艺

Publications (2)

Publication Number Publication Date
CN1095859A true CN1095859A (zh) 1994-11-30
CN1052564C CN1052564C (zh) 2000-05-17

Family

ID=12554743

Family Applications (5)

Application Number Title Priority Date Filing Date
CN94102771A Expired - Fee Related CN1052564C (zh) 1993-02-03 1994-02-03 半导体制造工艺和半导体器件制造工艺
CNB001344838A Expired - Lifetime CN100416750C (zh) 1993-02-03 1994-02-03 半导体制造工艺和半导体器件制造工艺
CN99121081A Expired - Lifetime CN1123934C (zh) 1993-02-03 1999-10-06 半导体制造工艺和半导体器件制造工艺
CN99121082A Expired - Fee Related CN1132222C (zh) 1993-02-03 1999-10-06 半导体制造工艺和半导体器件制造工艺
CNB00134482XA Expired - Fee Related CN1201380C (zh) 1993-02-03 2000-11-28 半导体制造工艺和半导体器件制造工艺

Family Applications After (4)

Application Number Title Priority Date Filing Date
CNB001344838A Expired - Lifetime CN100416750C (zh) 1993-02-03 1994-02-03 半导体制造工艺和半导体器件制造工艺
CN99121081A Expired - Lifetime CN1123934C (zh) 1993-02-03 1999-10-06 半导体制造工艺和半导体器件制造工艺
CN99121082A Expired - Fee Related CN1132222C (zh) 1993-02-03 1999-10-06 半导体制造工艺和半导体器件制造工艺
CNB00134482XA Expired - Fee Related CN1201380C (zh) 1993-02-03 2000-11-28 半导体制造工艺和半导体器件制造工艺

Country Status (6)

Country Link
US (1) US6610142B1 (zh)
EP (3) EP0609867A3 (zh)
JP (1) JP3497198B2 (zh)
KR (5) KR0168693B1 (zh)
CN (5) CN1052564C (zh)
TW (1) TW266315B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1086843C (zh) * 1995-09-21 2002-06-26 夏普株式会社 半导体电路及半导体器件
CN1737193B (zh) * 2004-08-20 2010-10-06 三星电子株式会社 利用氧化还原反应沉积贵金属电极的方法
CN103634960A (zh) * 2013-12-06 2014-03-12 阳泉市新鑫科技研究所有限责任公司 等离子氦气和氙气高压气体发热装置

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0612102B1 (en) 1993-02-15 2001-09-26 Semiconductor Energy Laboratory Co., Ltd. Process for the fabrication of a crystallised semiconductor layer
US6997985B1 (en) 1993-02-15 2006-02-14 Semiconductor Energy Laboratory Co., Ltd. Semiconductor, semiconductor device, and method for fabricating the same
US5834827A (en) * 1994-06-15 1998-11-10 Seiko Epson Corporation Thin film semiconductor device, fabrication method thereof, electronic device and its fabrication method
EP0714140B1 (en) * 1994-06-15 2003-09-03 Seiko Epson Corporation Method of manufacturing a semiconductor thin film transistor
JPH0855848A (ja) * 1994-08-11 1996-02-27 Semiconductor Energy Lab Co Ltd 酸化珪素膜の加熱処理方法
JP3897826B2 (ja) 1994-08-19 2007-03-28 株式会社半導体エネルギー研究所 アクティブマトリクス型の表示装置
JP3442500B2 (ja) 1994-08-31 2003-09-02 株式会社半導体エネルギー研究所 半導体回路の作製方法
US5915174A (en) 1994-09-30 1999-06-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for producing the same
JP4130237B2 (ja) * 1995-01-28 2008-08-06 株式会社半導体エネルギー研究所 結晶性珪素膜の作製方法及び半導体装置の作製方法
TW305063B (zh) 1995-02-02 1997-05-11 Handotai Energy Kenkyusho Kk
US7075002B1 (en) 1995-03-27 2006-07-11 Semiconductor Energy Laboratory Company, Ltd. Thin-film photoelectric conversion device and a method of manufacturing the same
TW463378B (en) 1995-06-01 2001-11-11 Semiconductor Energy Lab Method of manufacturing semiconductor device
US6228751B1 (en) 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
TW371796B (en) 1995-09-08 1999-10-11 Semiconductor Energy Lab Co Ltd Method and apparatus for manufacturing a semiconductor device
US6204101B1 (en) 1995-12-15 2001-03-20 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
TW319912B (zh) * 1995-12-15 1997-11-11 Handotai Energy Kenkyusho Kk
JP3729955B2 (ja) * 1996-01-19 2005-12-21 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3645380B2 (ja) 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 半導体装置の作製方法、情報端末、ヘッドマウントディスプレイ、ナビゲーションシステム、携帯電話、ビデオカメラ、投射型表示装置
JP3645379B2 (ja) * 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
TW317643B (zh) 1996-02-23 1997-10-11 Handotai Energy Kenkyusho Kk
TW335503B (en) 1996-02-23 1998-07-01 Semiconductor Energy Lab Kk Semiconductor thin film and manufacturing method and semiconductor device and its manufacturing method
JP3476320B2 (ja) * 1996-02-23 2003-12-10 株式会社半導体エネルギー研究所 半導体薄膜およびその作製方法ならびに半導体装置およびその作製方法
TW374196B (en) 1996-02-23 1999-11-11 Semiconductor Energy Lab Co Ltd Semiconductor thin film and method for manufacturing the same and semiconductor device and method for manufacturing the same
TW548686B (en) 1996-07-11 2003-08-21 Semiconductor Energy Lab CMOS semiconductor device and apparatus using the same
US8603870B2 (en) 1996-07-11 2013-12-10 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same
US6369410B1 (en) 1997-12-15 2002-04-09 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the semiconductor device
US6396147B1 (en) 1998-05-16 2002-05-28 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device with metal-oxide conductors
US6362027B1 (en) 1998-07-08 2002-03-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, active matrix substrate, method of manufacturing the semiconductor device and method of manufacturing the active matrix substrate
JP3592535B2 (ja) * 1998-07-16 2004-11-24 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP4030193B2 (ja) 1998-07-16 2008-01-09 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6294441B1 (en) 1998-08-18 2001-09-25 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US6380007B1 (en) 1998-12-28 2002-04-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method of the same
US6878968B1 (en) 1999-05-10 2005-04-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
JP4298131B2 (ja) 1999-05-14 2009-07-15 株式会社半導体エネルギー研究所 液晶表示装置の作製方法
US6680487B1 (en) 1999-05-14 2004-01-20 Semiconductor Energy Laboratory Co., Ltd. Semiconductor comprising a TFT provided on a substrate having an insulating surface and method of fabricating the same
TW459275B (en) 1999-07-06 2001-10-11 Semiconductor Energy Lab Semiconductor device and method of fabricating the same
JP3599679B2 (ja) * 2000-04-04 2004-12-08 松下電器産業株式会社 薄膜トランジスタの製造方法
US6913986B2 (en) 2000-04-04 2005-07-05 Matsushita Electric Industrial Co., Ltd. Method and apparatus for fabricating a thin film and thin film transistor and method of fabricating same
JP4558140B2 (ja) * 2000-05-02 2010-10-06 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP2001326175A (ja) * 2000-05-12 2001-11-22 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
US6875674B2 (en) 2000-07-10 2005-04-05 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device with fluorine concentration
US20070275411A1 (en) 2006-05-25 2007-11-29 Mcgall Glenn H Silane mixtures
US7332273B2 (en) 2002-06-20 2008-02-19 Affymetrix, Inc. Antireflective coatings for high-resolution photolithographic synthesis of DNA arrays
EP1445802A1 (en) * 2003-02-06 2004-08-11 Centre National De La Recherche Scientifique (Cnrs) Transistor for active matrix display, a display unit comprising the said transistor and a method for producing said transistor
TWI227565B (en) * 2003-04-16 2005-02-01 Au Optronics Corp Low temperature poly-Si thin film transistor and method of manufacturing the same
SG115733A1 (en) 2004-03-12 2005-10-28 Semiconductor Energy Lab Thin film transistor, semiconductor device, and method for manufacturing the same
JP4730034B2 (ja) * 2005-09-20 2011-07-20 日新電機株式会社 シリコンドット付き基板の形成方法
JP4497068B2 (ja) * 2005-09-26 2010-07-07 日新電機株式会社 シリコンドット形成方法及びシリコンドット形成装置
WO2008104346A2 (en) * 2007-02-27 2008-09-04 Carl Zeiss Laser Optics Gmbh Continuous coating installation and methods for producing crystalline thin films and solar cells
US20080295882A1 (en) * 2007-05-31 2008-12-04 Thinsilicon Corporation Photovoltaic device and method of manufacturing photovoltaic devices
US8030147B2 (en) 2007-09-14 2011-10-04 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing thin film transistor and display device including the thin film transistor
JP5311957B2 (ja) * 2007-10-23 2013-10-09 株式会社半導体エネルギー研究所 表示装置及びその作製方法
JP5311955B2 (ja) 2007-11-01 2013-10-09 株式会社半導体エネルギー研究所 表示装置の作製方法
US8591650B2 (en) * 2007-12-03 2013-11-26 Semiconductor Energy Laboratory Co., Ltd. Method for forming crystalline semiconductor film, method for manufacturing thin film transistor, and method for manufacturing display device
US8187956B2 (en) * 2007-12-03 2012-05-29 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing microcrystalline semiconductor film, thin film transistor having microcrystalline semiconductor film, and photoelectric conversion device having microcrystalline semiconductor film
JP2009272402A (ja) * 2008-05-02 2009-11-19 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置
CN101746547B (zh) * 2008-12-08 2011-04-20 中国石油天然气股份有限公司 改性沥青包装薄膜袋及其制备方法
CN102301491A (zh) * 2009-06-10 2011-12-28 薄膜硅公司 光生伏打模块和制造具有多个半导体层堆叠的光生伏打模块的方法
US8258025B2 (en) * 2009-08-07 2012-09-04 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing microcrystalline semiconductor film and thin film transistor
JP5709579B2 (ja) 2010-03-02 2015-04-30 株式会社半導体エネルギー研究所 微結晶半導体膜の作製方法
CN111279458B (zh) 2017-07-31 2023-10-27 康宁股份有限公司 制造多晶硅的闪光灯退火方法
TWI750375B (zh) * 2018-05-16 2021-12-21 力智電子股份有限公司 溝槽閘極金氧半場效電晶體及其製造方法
CN111564365A (zh) * 2020-04-10 2020-08-21 中国科学院微电子研究所 一种沉积薄膜的方法及其应用、形成半导体有源区的方法

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
USRE28385E (en) 1968-03-20 1975-04-08 Method of treating semiconductor devices
US3556880A (en) 1968-04-11 1971-01-19 Rca Corp Method of treating semiconductor devices to improve lifetime
US3783049A (en) 1971-03-31 1974-01-01 Trw Inc Method of platinum diffusion
US4231809A (en) 1979-05-25 1980-11-04 Bell Telephone Laboratories, Incorporated Method of removing impurity metals from semiconductor devices
JPS57194518A (en) * 1981-05-27 1982-11-30 Toshiba Corp Manufacture of polycrystalline silicon
JPS5833822A (ja) * 1981-08-21 1983-02-28 Mitsubishi Electric Corp 半導体基体の製作方法
JPS58130517A (ja) * 1982-01-29 1983-08-04 Hitachi Ltd 単結晶薄膜の製造方法
AT380974B (de) 1982-04-06 1986-08-11 Shell Austria Verfahren zum gettern von halbleiterbauelementen
JPS58190020A (ja) 1982-04-30 1983-11-05 Seiko Epson Corp エピタキシヤル成長法
JPS5983993A (ja) * 1982-11-02 1984-05-15 Nec Corp 単結晶半導体層の成長方法
JPS60202952A (ja) * 1984-03-28 1985-10-14 Fujitsu Ltd 半導体装置の製造方法
JPH07105338B2 (ja) 1985-08-07 1995-11-13 日本電気株式会社 半導体装置の製造方法
JPS63142807A (ja) 1986-12-05 1988-06-15 Nec Corp 半導体装置の製造方法
US5225355A (en) 1988-02-26 1993-07-06 Fujitsu Limited Gettering treatment process
US5010037A (en) 1988-10-14 1991-04-23 California Institute Of Technology Pinhole-free growth of epitaxial CoSi2 film on Si(111)
JPH02140915A (ja) * 1988-11-22 1990-05-30 Seiko Epson Corp 半導体装置の製造方法
JP2765968B2 (ja) 1989-07-27 1998-06-18 三洋電機株式会社 結晶性シリコン膜の製造方法
US5075259A (en) 1989-08-22 1991-12-24 Motorola, Inc. Method for forming semiconductor contacts by electroless plating
JPH0395922A (ja) * 1989-09-07 1991-04-22 Canon Inc 半導体薄膜の形成方法
JPH03138925A (ja) 1989-10-24 1991-06-13 Kyocera Corp 半導体膜の結晶化法
JPH03138625A (ja) * 1989-10-25 1991-06-13 Fujitsu Ltd 半導体光学装置及びその製造方法
JPH03200319A (ja) 1989-12-27 1991-09-02 Nec Corp 多結晶シリコンの形成方法
JPH03257818A (ja) * 1990-03-07 1991-11-18 Seiko Epson Corp 半導体装置の製造方法
JP3054422B2 (ja) * 1990-03-07 2000-06-19 株式会社東芝 半導体装置の製造方法
US5177826A (en) * 1990-03-16 1993-01-12 Hagemann International Rotary toothbrush
JPH0760807B2 (ja) 1990-03-29 1995-06-28 株式会社ジーティシー 半導体薄膜の製造方法
DE69125886T2 (de) * 1990-05-29 1997-11-20 Semiconductor Energy Lab Dünnfilmtransistoren
JPH0462976A (ja) * 1990-06-30 1992-02-27 Nippon Seiki Co Ltd 加速度センサの製造方法
GB9014723D0 (en) * 1990-07-03 1990-08-22 Marconi Gec Ltd Crystallisation process
US5147826A (en) * 1990-08-06 1992-09-15 The Pennsylvania Research Corporation Low temperature crystallization and pattering of amorphous silicon films
JP2650003B2 (ja) 1991-02-14 1997-09-03 信越化学工業株式会社 化学的気相成長法によるシリコン単結晶の製造方法およびその原料クロロシラン類中の超微量元素と製造されたシリコン単結晶中の超微量元素の分別定量方法
US5289030A (en) * 1991-03-06 1994-02-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device with oxide layer
JPH05182923A (ja) * 1991-05-28 1993-07-23 Semiconductor Energy Lab Co Ltd レーザーアニール方法
GB9114018D0 (en) 1991-06-28 1991-08-14 Philips Electronic Associated Thin-film transistor manufacture
JPH05109737A (ja) 1991-10-18 1993-04-30 Casio Comput Co Ltd 薄膜トランジスタの製造方法
US5244819A (en) 1991-10-22 1993-09-14 Honeywell Inc. Method to getter contamination in semiconductor devices
JP3204735B2 (ja) * 1992-06-01 2001-09-04 株式会社東芝 水素化アモルファスシリコン薄膜トランジスタの製造方法
US5288662A (en) 1992-06-15 1994-02-22 Air Products And Chemicals, Inc. Low ozone depleting organic chlorides for use during silicon oxidation and furnace tube cleaning
US5300187A (en) 1992-09-03 1994-04-05 Motorola, Inc. Method of removing contaminants
US5843225A (en) 1993-02-03 1998-12-01 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating semiconductor and process for fabricating semiconductor device
US5275851A (en) 1993-03-03 1994-01-04 The Penn State Research Foundation Low temperature crystallization and patterning of amorphous silicon films on electrically insulating substrates
US5422311A (en) 1993-05-03 1995-06-06 Hyundai Electronics Industries Co., Ltd. Method for manufacturing a conductor layer in a semiconductor device
US5426061A (en) 1994-09-06 1995-06-20 Midwest Research Institute Impurity gettering in semiconductors

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1086843C (zh) * 1995-09-21 2002-06-26 夏普株式会社 半导体电路及半导体器件
CN1737193B (zh) * 2004-08-20 2010-10-06 三星电子株式会社 利用氧化还原反应沉积贵金属电极的方法
CN103634960A (zh) * 2013-12-06 2014-03-12 阳泉市新鑫科技研究所有限责任公司 等离子氦气和氙气高压气体发热装置

Also Published As

Publication number Publication date
EP0609867A3 (en) 1995-01-11
JP3497198B2 (ja) 2004-02-16
CN1132222C (zh) 2003-12-24
JPH06232059A (ja) 1994-08-19
CN1201380C (zh) 2005-05-11
EP0997950A2 (en) 2000-05-03
KR0168693B1 (ko) 1999-02-01
KR100287485B1 (ko) 2001-04-16
CN1316767A (zh) 2001-10-10
KR100287486B1 (ko) 2001-04-16
CN1052564C (zh) 2000-05-17
KR100267145B1 (ko) 2000-10-16
US6610142B1 (en) 2003-08-26
EP0609867A2 (en) 1994-08-10
CN100416750C (zh) 2008-09-03
CN1255742A (zh) 2000-06-07
TW266315B (zh) 1995-12-21
KR100285864B1 (ko) 2001-07-03
EP1207549A2 (en) 2002-05-22
EP0997950A3 (en) 2009-01-28
CN1123934C (zh) 2003-10-08
EP1207549A3 (en) 2010-07-07
CN1316769A (zh) 2001-10-10
CN1255732A (zh) 2000-06-07

Similar Documents

Publication Publication Date Title
CN1052564C (zh) 半导体制造工艺和半导体器件制造工艺
CN1052110C (zh) 制造半导体器件的方法
CN1052566C (zh) 制造半导体器件的方法
CN1054943C (zh) 制造半导体器件的方法
CN1058583C (zh) 半导体的制造方法
CN1052569C (zh) 制造半导体器件的方法
US6271066B1 (en) Semiconductor material and method for forming the same and thin film transistor
US6423586B1 (en) Method for crystallizing semiconductor material without exposing it to air
CN1052572C (zh) 制造半导体器件的方法
CN1045688C (zh) 半导体薄膜及使用这种薄膜的半导体器件的制造方法
CN1458694A (zh) 氮化硅膜、半导体装置及其制造方法
CN1098555A (zh) 一种半导体器件及其制造方法
CN1297582A (zh) 薄膜晶体管的制造方法
CN1389899A (zh) 半导体膜、半导体器件和用于制造半导体膜、半导体器件的方法
CN1708832A (zh) 使用覆盖层的无定形材料相变方法
US6562672B2 (en) Semiconductor material and method for forming the same and thin film transistor
US5387542A (en) Polycrystalline silicon thin film and low temperature fabrication method thereof
CN1842919A (zh) 薄膜晶体管及其制造方法
CN103227239A (zh) 干法刻蚀两步法铝诱导非晶硅晶化薄膜的方法
CN1881550A (zh) 一种制造薄膜晶体管和电子器件的方法
CN1149631C (zh) 制备具有结晶性的半导体膜的设备和方法
JP2002373860A (ja) 半導体装置の作製方法
CN1967791A (zh) 半导体器件的制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20000517

Termination date: 20130203