CN107868946B - 气体导入机构和处理装置 - Google Patents

气体导入机构和处理装置 Download PDF

Info

Publication number
CN107868946B
CN107868946B CN201710887853.5A CN201710887853A CN107868946B CN 107868946 B CN107868946 B CN 107868946B CN 201710887853 A CN201710887853 A CN 201710887853A CN 107868946 B CN107868946 B CN 107868946B
Authority
CN
China
Prior art keywords
injector
gas
processing container
gas introduction
insertion hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201710887853.5A
Other languages
English (en)
Other versions
CN107868946A (zh
Inventor
福岛讲平
似鸟弘弥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN107868946A publication Critical patent/CN107868946A/zh
Application granted granted Critical
Publication of CN107868946B publication Critical patent/CN107868946B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

提供一种能够控制对基板实施的处理的面内分布的气体导入机构和处理装置。气体导入机构是为了在处理容器内使用预定的气体对基板实施预定的处理而设于所述处理容器的,具有:歧管,其配置于所述处理容器的下端部,具有:喷射器支承部,其沿着所述处理容器的内壁面上下延伸,并且具有喷射器能够插入且能够外嵌支承该喷射器的插入孔;气体导入部,其从所述喷射器支承部向外侧伸出,在内部具有将所述插入孔和所述处理容器的外部连通而气体可流通的气体流路;喷射器,其插入所述插入孔,沿着所述内壁面整体呈直线状延伸,并且,在插入到所述插入孔的部位具有与所述气体流路连通的开口;旋转机构,其与所述喷射器的下端部连接,使所述喷射器旋转。

Description

气体导入机构和处理装置
技术领域
本发明涉及气体导入机构和处理装置。
背景技术
公知有一种批量式的基板处理装置,能够在处理容器内以将多个基板呈多层保持于基板保持器具的状态下对多个基板进行成膜处理等(参照例如专利文献1)。
在该批量式的基板处理装置中,在处理容器的侧壁形成有气体流路,通过在气体流路的处理容器侧插入具有L字形状的喷射器的水平部分,成为喷射器固定于处理容器的构造。另外,在喷射器的垂直部分,沿着基板所层叠的方向(铅垂方向)设有多个气体喷出口。
现有技术文献
专利文献
专利文献1:日本特许第5284182号公报
发明内容
发明要解决的问题
然而,在上述的基板处理装置中,喷射器固定于处理容器,因此,喷出气体的方向恒定,存在无法充分地控制在基板成膜的膜的特性的面内分布的情况。
因此,在本发明的一形态中,其目的在于提供一种能够对在基板实施的处理的面内分布进行控制的气体导入机构。
用于解决问题的方案
为了达成上述目的,本发明的一形态的气体导入机构是为了在处理容器内使用预定的气体来对基板实施预定的处理而设于所述处理容器的气体导入机构,其具有:歧管,其配置于所述处理容器的下端部,其具有:喷射器支承部,其沿着所述处理容器的内壁面上下延伸,并且,具有喷射器能够插入且能够外嵌支承该喷射器的插入孔;气体导入部,其从所述喷射器支承部向外侧伸出,在内部具有将所述插入孔和所述处理容器的外部连通而气体能够流通的气体流路;喷射器,其插入所述插入孔,沿着所述内壁面整体呈直线状延伸,并且,在插入到所述插入孔的部位具有与所述气体流路连通的开口;旋转机构,其与所述喷射器的下端部连接,使所述喷射器旋转。
发明的效果
根据公开的基板处理装置,能够控制对基板实施的处理的面内分布。
附图说明
图1是一实施方式的处理装置的概略图。
图2是用于说明图1的处理装置的喷射器的横剖视图。
图3是例示图1的处理装置的气体导入机构的图(1)。
图4是用于说明图3的气体导入机构的内部构造的图。
图5是例示图1的处理装置的气体导入机构的图(2)。
图6是例示图1的处理装置的气体导入机构的图(3)。
图7是例示图1的处理装置的气体导入机构的图(4)。
图8是用于说明从喷射器的气孔喷出的气体的朝向的图。
图9是用于说明在晶圆形成的膜的膜厚的面内分布的图。
附图标记说明
10、处理容器;80、晶圆舟皿;90、歧管;91、喷射器支承部;95、气体导入部;96、气体流路;110、喷射器;111、气孔;112、开口;121、气体配管;200、旋转机构;210、气缸;220、连杆机构;300、旋转机构;310、马达;320、蜗轮蜗杆机构;400、旋转机构;410、气缸;420、齿轮齿条机构;500、旋转机构;510、马达;520、旋转轴。
具体实施方式
以下,参照附图对用于实施本发明的方式进行说明。此外,在本说明书和附图中,通过对实质上相同的结构标注相同的附图标记,省略重复的说明。
(处理装置)
对本发明的一实施方式的处理装置进行说明。在一实施方式中,举例说明对基板进行热处理的处理装置,但处理对象、处理内容并没有特别限定,可适用于将气体向处理容器内供给而进行处理的各种处理装置。
图1是一实施方式的处理装置的概略图。
如图1所示,处理装置具有能收容半导体晶圆(以下称为“晶圆W”。)的处理容器10。处理容器10由耐热性较高的石英成形为大致圆筒体状,在顶部具有排气口11。处理容器10构成为沿着铅垂(上下)方向延伸的立式的形状。在例如要处理的晶圆W的直径是300mm的情况下,处理容器10的直径设定为350mm~450mm程度的范围。
在处理容器10的顶部的排气口11连接有气体排气口20。气体排气口20由从例如排气口11延伸而呈直角弯曲成L字形状的石英管构成。
在气体排气口20连接有对处理容器10内的气氛进行排气的真空排气系统30。具体而言,真空排气系统30具有与气体排气口20连结的由例如不锈钢形成的金属制的气体排气管31。另外,在气体排气管31的中途依次夹设有开闭阀32、碟形阀等压力调整阀33和真空泵34,一边对处理容器10内的压力进行调整,一边能够抽真空。此外,气体排气口20的内径设定成与气体排气管31的内径相同。
在处理容器10的侧部以包围处理容器10的方式设有加热部件40,能对收容于处理容器10的晶圆W进行加热。加热部件40被分割成例如多个区域,由可从铅垂方向上侧朝向下侧独立地控制发热量的多个加热器(未图示)构成。此外,也可以是,加热部件40未被分割成多个区域,而由1个加热器构成。另外,在加热部件40的外周设有绝热材料50,确保热的稳定性。
处理容器10的下端部开口,能够输入、输出晶圆W。成为处理容器10的下端部的开口被盖体60进行开闭的结构。
在比盖体60靠上方的位置设有晶圆舟皿80。晶圆舟皿80是用于保持晶圆W的基板保持器具,构成为能沿着铅垂方向以使多个晶圆W分开的状态进行保持。晶圆舟皿80所保持的晶圆W的张数并没有特别限定,能够设为例如50张~150张。
晶圆舟皿80隔着由石英形成的保温筒75载置于台74上。台74支承于贯通对处理容器10的下端开口部进行开闭的盖体60的旋转轴72的上端部。旋转轴72的贯通部夹设有例如磁性流体密封73,以气密地密封了的状态将旋转轴72支承成可旋转。另外,在盖体60的周边部与处理容器10的下端部夹设有例如O形密封圈等密封构件61,保持处理容器10内的密封性。
旋转轴72安装于支承到例如舟皿升降机等升降机构70的臂71的顶端,能够使晶圆舟皿80和盖体60等一体地升降。此外,也可以是,将台74向盖体60侧固定地设置,不使晶圆舟皿80旋转,而进行晶圆W的处理。
在处理容器10的下端部配置有歧管90,该歧管90具有沿着处理容器10的内周壁延伸的部分,并且具有朝向半径方向的外方延伸的凸缘状的部分。并且,借助歧管90从处理容器10的下端部向处理容器10内导入需要的气体。歧管90由与处理容器10独立的零部件构成,但也可以设置成,与处理容器10的侧壁一体地设置,构成处理容器10的侧壁的一部分。此外,随后叙述歧管90的详细的结构。
歧管90支承喷射器110。喷射器110是用于向处理容器10内供给气体的管状构件,由例如石英形成。喷射器110被设置成在处理容器10的内部沿着铅垂方向延伸。在喷射器110沿着长度方向以预定间隔形成有多个气孔111,能够从气孔111朝向水平方向喷出气体。
图2是用于说明图1的处理装置的喷射器的横剖视图。图2的(a)表示原点位置处的喷射器110的状态。另外,图2的(b)表示从原点位置向左旋转了预定的角度θ1的位置处的喷射器110的状态,图2的(c)表示从原点位置向右旋转了预定的角度θ2的位置处的喷射器110的状态。
喷射器110与随后叙述的旋转机构连接,能够利用旋转机构的动作向左旋转和向右旋转。具体而言,也可以是,喷射器110能够从如图2的(a)所示气孔111朝向处理容器10的中心的位置向如图2的(b)所示向左旋转到角度θ1的位置。另外,也可以是,如图2的(c)所示,喷射器110能够向右旋转到角度θ2的位置。并且,通过以从喷射器110的气孔111朝向水平方向喷出气体的状态使喷射器110旋转,能够控制对晶圆W实施的处理的面内分布。
再次参照图1,喷射器110与气体供给系统120连接,以便向喷射器110供给气体。气体供给系统120具有与喷射器110连通的由金属、例如不锈钢形成的气体配管121。另外,在气体配管121的中途依次夹设有质量流量控制器等流量控制器123和开闭阀122,能够一边对处理气体的流量进行控制一边供给处理气体。晶圆W的处理所需要的其他需要的处理气体也经由同样地构成的气体供给系统120和歧管90供给。
处理容器10的下端部的歧管90的周边部被由例如不锈钢形成的底座130支承,利用底座130支承处理容器10的载荷。底座130的下方成为具有未图示的晶圆移载机构的晶圆移载室,成为大致大气压的氮气气氛。另外,底座130的上方成为洁净室的清洁的空气的气氛。
(气体导入机构)
接着,对本发明的一实施方式的处理装置的气体导入机构进行说明。图3是例示图1的处理装置的气体导入机构的图。图4是用于说明图3的气体导入机构的内部构造的分解立体图。
如图3和图4所示,气体导入机构具有歧管90、喷射器110、旋转机构200、以及气体配管121。
歧管90具有喷射器支承部91和气体导入部95。
喷射器支承部91是沿着处理容器10的内壁面在铅垂方向上延伸的部分,支承喷射器110。喷射器支承部91具有供喷射器110的下端插入、且能外嵌支承喷射器110的下端的插入孔92。
气体导入部95是从喷射器支承部91向半径方向的外侧伸出而暴露于处理容器10的外侧的部分,具有将插入孔92和处理容器10的外部连通而供气体流通的气体流路96。气体配管121与气体流路96的外侧端部连接,构成为能供给来自外部的气体。
喷射器110插入喷射器支承部91的插入孔92,沿着处理容器10的内壁面整体呈直线状延伸,并且在插入到插入孔92的部位具有与气体流路96连通的开口112。开口112形成为例如以水平方向为长轴、以铅垂方向为短轴的大致椭圆形状。由此,即使是喷射器110旋转了的情况下,也可以从气体流路96向喷射器110高效地供给气体。
歧管90由例如金属形成。出于防止金属污染的观点考虑,优选处理容器10和构成处理容器10的零部件基本上由石英形成,但存在复杂的形状、与螺钉等之间的螺纹连接的部位不得不由金属形成。本发明的一实施方式的处理装置的歧管90也由金属形成,但喷射器110不设为L字形状,设为棒形状。并且,通过在歧管90的气体导入部95内形成水平地延伸的气体流路96,在喷射器110形成与气体流路96连通的开口112,从而在喷射器110没有厚壁的水平部分。由此,歧管90的气体导入部95无需收容喷射器110的厚壁的水平部分,因此,能够减薄歧管90的气体导入部95的壁厚,降低高度而使金属污染减少。此外,形成歧管90的金属也可以是不锈钢、铝、哈斯特洛伊合金等耐蚀性金属材料。
旋转机构200与喷射器110的下端部连接,使喷射器110以其长度方向为中心轴线旋转。具体而言,旋转机构200具有气缸210和连杆机构220,利用连杆机构220将由气缸210产生的直线运动(往复运动)转换成旋转运动而向喷射器110传递。
气缸210具有缸部211、杆部212、以及电磁阀213。杆部212的一部分收容于缸部211。通过由电磁阀213控制的空气向缸部211供给,杆部212沿着缸部211和杆部212的轴向(图3中的左右方向)往复运动。此外,也可以使用液压缸来替代气缸210。
连杆机构220具有连接杆221、波纹管222、保持架223、连杆部224、垫圈225、以及保持螺栓226。
连接杆221具有棒形状,在被波纹管222维持了气密性的状态下插入歧管90内。连接杆221的一端与气缸210的杆部212连接。由此,通过杆部212沿着缸部211和杆部212的轴向往复运动,连接杆221与杆部212一起沿着缸部211和杆部212的轴向(连接杆221的轴向)往复运动。此外,也可以使用磁性流体密封来替代波纹管222。
保持架223借助连杆部224与连接杆221连接。由此,若连接杆221沿着其轴向往复运动,则保持架223向左旋转或向右旋转(图3(b)中的以箭头表示的方向)。具体而言,通过连接杆221向右方向运动,保持架223向左旋转,通过连接杆221向左方向运动,保持架223向右旋转。如图4所示,在保持架223形成有开口部223a。开口部223a以开口径从保持架223的上表面侧朝向下表面侧逐级变小的方式在整个周向上形成有台阶部223b。在台阶部223b的上表面形成有突起部223c,在喷射器110的下端部形成的未图示的凹部能与突起部223c嵌合。由此,保持架223以喷射器110不相对于保持架223沿着周向旋转的方式保持喷射器110。并且,若保持架223旋转运动,则喷射器110与保持架223成为一体而旋转运动。另外,保持架223隔着垫圈225被保持螺栓226保持成旋转自由。
接着,基于图5对气体导入机构的另一个例子进行说明。图5是例示图1的处理装置的气体导入机构的图。
图5所示的气体导入机构在利用具有马达310和蜗轮蜗杆机构320的旋转机构300使喷射器110旋转这点与图4所示的气体导入机构不同。此外,对于其他结构,是与图4所示的气体导入机构同样的结构。以下,存在对与图4所示的气体导入机构同样的结构省略说明的情况。
如图5所示,旋转机构300与喷射器110的下端部连接,使喷射器110以其长度方向为中心轴线旋转。具体而言,旋转机构300具有马达310和蜗轮蜗杆机构320,利用蜗轮蜗杆机构320将由马达310产生的旋转运动的旋转方向和旋转速度进行转换而向喷射器110传递。
马达310是例如直流(DC)马达。
蜗轮蜗杆机构320具有旋转轴321、磁性流体密封部322、蜗杆323、蜗轮324、垫圈325、以及保持螺栓326。
旋转轴321具有棒形状,在被磁性流体密封部322维持了气密性的状态下插入歧管90内。旋转轴321的一端与马达310连接。由此,通过马达310动作而旋转轴321旋转。此外,也可以使用波纹管来替代磁性流体密封部322。
蜗杆323固定于旋转轴321的顶端。由此,若旋转轴321旋转,则蜗杆323与旋转轴321成为一体而旋转。
蜗轮324与蜗杆323啮合,且能正反旋转。由此,若蜗杆323旋转,则蜗轮324与蜗杆323的旋转方向相对应向左旋转或向右旋转(图5(b)中的以箭头所示的方向)。蜗轮324以喷射器110不相对于蜗轮324沿着周向旋转的方式保持喷射器110。由此,若蜗轮324旋转运动,则喷射器110与蜗轮324成为一体而旋转运动。另外,蜗轮324隔着垫圈325被保持螺栓326保持成旋转自由。
接着,基于图6对气体导入机构的另一个例子进行说明。图6是例示图1的处理装置的气体导入机构的图。
图6所示的气体导入机构在利用具有气缸410和齿轮齿条机构420的旋转机构400使喷射器110旋转这点与图4所示的气体导入机构不同。此外,对于其他结构,是与图4所示的气体导入机构同样的结构。以下,存在对与图4所示的气体导入机构同样的结构省略说明的情况。
如图6所示,旋转机构400与喷射器110的下端部连接,使喷射器110以其长度方向为中心轴线旋转。具体而言,旋转机构400具有气缸410和齿轮齿条机构420,利用齿轮齿条机构420将由气缸410产生的直线运动转换成旋转运动而向喷射器110传递。
气缸410具有缸部411、杆部412、以及电磁阀413。杆部412的一部分收容于缸部411。通过由电磁阀413控制的空气向缸部411供给,杆部412沿着缸部411和杆部412的轴向(图6中的左右方向)往复运动。此外,也可以使用液压缸来替代气缸410。
齿轮齿条机构420具有驱动轴421、波纹管422、齿条423、小齿轮424、垫圈425、以及保持螺栓426。
驱动轴421具有棒形状,在被波纹管422维持了气密性的状态下插入歧管90内。驱动轴421的一端与气缸410的杆部412连接。由此,通过杆部412沿着缸部411和杆部412的轴向往复运动,驱动轴421与杆部412一起沿着缸部411和杆部412的轴向(驱动轴421的轴向)往复运动。此外,也可以使用磁性流体密封来替代波纹管422。
齿条423固定于驱动轴421的顶端。由此,若驱动轴421往复运动,则齿条423与旋转轴321成为一体而往复运动。此外,齿条423也可以与驱动轴421形成为一体。
小齿轮424与齿条423啮合,且能正反旋转。由此,若齿条423往复运动,则小齿轮424与齿条423的往复运动相对应地向左旋转或向右旋转(图6的(b)中的以箭头所示的方向)。小齿轮424以喷射器110不相对于小齿轮424沿着周向旋转的方式保持喷射器110。由此,若小齿轮424旋转运动,则喷射器110与小齿轮424成为一体而旋转运动。另外,小齿轮424隔着垫圈425被保持螺栓426保持成旋转自由。
接着,基于图7对气体导入机构的另一个例子进行说明。图7是例示图1的处理装置的气体导入机构的图。
图7所示的气体导入机构在利用具有马达510和旋转轴520的旋转机构500使喷射器110旋转这点与图4所示的气体导入机构不同。此外,对于其他结构,是与图4所示的气体导入机构同样的结构。以下,存在对与图4所示的气体导入机构同样的结构省略说明的情况。
如图7所示,旋转机构500与喷射器110的下端部连接,使喷射器110以其长度方向为中心轴线旋转。具体而言,旋转机构500具有马达510和旋转轴520,利用旋转轴520将由马达510产生的旋转运动向喷射器110传递。
马达510是例如DC马达。
旋转轴520具有棒形状,在被磁性流体密封部521维持了气密性的状态下从盖体60的下方贯通盖体60而借助连接构件522与喷射器110的下端部连接。由此,通过马达510动作而旋转轴520旋转。此外,也可以使用波纹管来替代磁性流体密封部521。另外,连接构件522隔着垫圈523被保持螺栓524保持成旋转自由。
(实施例)
接着,对使从喷射器110的气孔111喷出的气体的朝向(喷出角度)变化了时的、在晶圆W的表面形成的膜的膜厚的面内分布进行说明。
图8是用于说明从喷射器的气孔喷出的气体的朝向的图。图9是用于说明在晶圆形成的膜的膜厚的面内分布的图。在图9中,横轴表示通过晶圆W的中心的径向的位置(mm),纵轴表示晶圆W的径向上的与最小膜厚之差(以下称为“膜厚差值”。)
Figure BDA0001420460400000111
另外,圆标记表示喷出角度是0°的情况,四方标记表示喷出角度是15°的情况,三角标记表示喷出角度是30°的情况。
如图9所示,可知:通过使在第2喷射器110b形成的气孔111b的角度变化,在晶圆W形成的膜的膜厚分布变化。具体而言,在喷出角度是0°和15°的情况下,晶圆W的中心位置(0mm)处的膜厚差值是
Figure BDA0001420460400000112
而在喷出角度是30°的情况下,晶圆W的中心位置处的膜厚差值是
Figure BDA0001420460400000113
左右。即、可知:在喷出角度是30°的情况下,与喷出角度是0°和15°的情况相比较,晶圆W的面内的膜厚分布变小。
此外,如图8的(a)所示,“喷出角度是0°”是指如下条件:在将从第1喷射器110a的气孔111a喷出的气体的喷出角度设为朝向晶圆W的旋转中心C的角度的状态下喷出二氯甲硅烷(DCS)气体。此时,未从第2喷射器110b的气孔111b供给气体。
另外,如图8的(b)所示,“喷出角度是15°”是指如下条件:在将从第1喷射器110a的气孔111a喷出的气体的喷出角度设为朝向晶圆W的旋转中心C的角度的状态下喷出DCS气体,在将从第2喷射器110b的气孔111b喷出的气体的喷出角度从朝向晶圆W的旋转中心C的角度向右旋转了15°的状态下喷出DCS气体。
而且,如图8的(c)所示,“喷出角度是30°”是指如下条件:在将从第1喷射器110a的气孔111a喷出的气体的喷出角度设为朝向晶圆W的旋转中心C的角度的状态下喷出DCS气体,在将从第2喷射器110b的气孔111b喷出的气体的喷出角度从朝向晶圆W的旋转中心C的角度向右旋转了30°的状态下喷出DCS气体。
如此,通过使气体的喷出角度变化,能够对在晶圆W的表面形成的膜的膜厚的面内分布进行控制。
以上,对用于实施本发明的方式进行了说明,但上述内容不是用于限定发明的内容,能够在本发明的范围内进行各种变形和改良。
在上述的实施方式中,列举喷射器110是一个或两个的情况为例进行了说明,但并不限定于此,也可以设有三个以上的喷射器110。另外,在喷射器110是多个的情况下,至少多个喷射器110中的一个设置成可旋转即可,其他喷射器110也可以固定于歧管。另外,也可以是,多个喷射器110的全部设置成可旋转。另外,也可以是,不是限定喷射器110相对于晶圆W的装载方向的喷出范围,利用多个喷射器110在每个区域改变气体的喷出角度。

Claims (8)

1.一种气体导入机构,其是为了在处理容器内使用预定的气体来对基板实施预定的处理而设于所述处理容器的,该气体导入机构具有:
歧管,其配置于所述处理容器的下端部,具有:喷射器支承部,其沿着所述处理容器的内壁面上下延伸,并且,具有喷射器能够插入且能够外嵌支承该喷射器的插入孔;气体导入部,其从所述喷射器支承部向外侧伸出,在内部具有将所述插入孔和所述处理容器的外部连通而气体能够流通的气体流路;
所述喷射器,其插入所述插入孔,沿着所述内壁面整体呈直线状延伸,并且,在插入到所述插入孔的部位具有与所述气体流路连通的开口;
旋转机构,其与所述喷射器的下端部连接,使所述喷射器旋转,
其中,所述开口形成为以水平方向为长轴、以铅垂方向为短轴的椭圆形状,
在所述喷射器沿着长度方向形成有多个气孔,
所述旋转机构被配置为能够将所述多个气孔从朝向所述处理容器的中心的位置向左旋转第1规定角度,并且能够将所述多个气孔从朝向所述处理容器的中心的位置向右旋转第2规定角度。
2.根据权利要求1所述的气体导入机构,其中,
所述旋转机构具有:
连杆机构,其与所述喷射器的下端部连接;
缸,其与所述连杆机构连接,驱动所述连杆机构。
3.根据权利要求1所述的气体导入机构,其中,
所述旋转机构具有:
蜗轮蜗杆机构,其与所述喷射器的下端部连接;
马达,其与所述蜗轮蜗杆机构连接,驱动所述蜗轮蜗杆机构。
4.根据权利要求1所述的气体导入机构,其中,
所述旋转机构具有:
齿轮齿条,其与所述喷射器的下端部连接,
缸,其与所述齿轮齿条连接,驱动所述齿轮齿条。
5.根据权利要求1所述的气体导入机构,其中,
所述旋转机构具有:
旋转轴,其与所述喷射器的下端部连接;
马达,其与所述旋转轴连接,使所述旋转轴旋转。
6.根据权利要求1~5中任一项所述的气体导入机构,其中,
所述处理容器和所述喷射器由石英形成,
所述歧管由金属形成。
7.一种处理装置,其具有:
处理容器;
歧管,其配置于所述处理容器的下端部,具有:喷射器支承部,其沿着所述处理容器的内壁面上下延伸,并且具有喷射器能够插入且能够外嵌支承该喷射器的插入孔;气体导入部,其从所述喷射器支承部向外侧伸出,在内部具有将所述插入孔和所述处理容器的外部连通而气体能够流通的气体流路;
所述喷射器,其插入所述插入孔,沿着所述内壁面整体呈直线状延伸,并且在插入到所述插入孔的部位具有与所述气体流路连通的开口;
旋转机构,其与所述喷射器的下端部连接,使所述喷射器旋转,
所述开口形成为以水平方向为长轴、以铅垂方向为短轴的椭圆形状,
在所述喷射器沿着长度方向形成有多个气孔,
所述旋转机构被配置为能够将所述多个气孔从朝向所述处理容器的中心的位置向左旋转第1规定角度,并且能够将所述多个气孔从朝向所述处理容器的中心的位置向右旋转第2规定角度。
8.根据权利要求7所述的处理装置,其中,
所述处理容器具有能够收容基板保持器具的大致圆筒体状,该基板保持器具能够沿着铅垂方向以使多个基板分开的状态保持该多个基板。
CN201710887853.5A 2016-09-27 2017-09-27 气体导入机构和处理装置 Active CN107868946B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2016188311A JP6710134B2 (ja) 2016-09-27 2016-09-27 ガス導入機構及び処理装置
JP2016-188311 2016-09-27

Publications (2)

Publication Number Publication Date
CN107868946A CN107868946A (zh) 2018-04-03
CN107868946B true CN107868946B (zh) 2021-06-29

Family

ID=61687190

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710887853.5A Active CN107868946B (zh) 2016-09-27 2017-09-27 气体导入机构和处理装置

Country Status (5)

Country Link
US (1) US20180087156A1 (zh)
JP (1) JP6710134B2 (zh)
KR (1) KR102228321B1 (zh)
CN (1) CN107868946B (zh)
TW (1) TWI697956B (zh)

Families Citing this family (298)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP6706901B2 (ja) * 2015-11-13 2020-06-10 東京エレクトロン株式会社 処理装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP1624352S (zh) * 2018-07-19 2019-02-12
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP7109331B2 (ja) * 2018-10-02 2022-07-29 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP1648531S (zh) * 2019-01-28 2019-12-23
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP6902060B2 (ja) * 2019-02-13 2021-07-14 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、およびプログラム
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
JP7170598B2 (ja) 2019-07-17 2022-11-14 東京エレクトロン株式会社 基板処理装置及び基板処理方法
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
JP7209598B2 (ja) 2019-07-26 2023-01-20 東京エレクトロン株式会社 基板処理装置及び基板処理方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048062A (ko) * 2019-10-23 2021-05-03 삼성전자주식회사 웨이퍼 처리 장치 및 웨이퍼 처리 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
KR102432915B1 (ko) * 2020-05-22 2022-08-17 내일테크놀로지 주식회사 붕소 전구체의 열처리를 통한 질화붕소나노튜브의 제조방법 및 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008503898A (ja) * 2004-06-22 2008-02-07 東京エレクトロン株式会社 素性構造及びウエハの均一な被覆率のため、磁場エンベロープの移動を伴う強くイオン化されたpvd
CN101556911A (zh) * 2008-04-07 2009-10-14 东京毅力科创株式会社 基板处理装置
CN101736318A (zh) * 2008-11-10 2010-06-16 东京毅力科创株式会社 成膜装置
JP2013089818A (ja) * 2011-10-19 2013-05-13 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04280420A (ja) * 1991-03-07 1992-10-06 Toshiba Corp 熱処理装置
JP3072664B2 (ja) * 1991-10-31 2000-07-31 日本電気株式会社 縦型減圧気相成長装置
JPH05304093A (ja) * 1992-04-02 1993-11-16 Nec Corp 縦型減圧cvd装置
US20040224504A1 (en) * 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
KR101070353B1 (ko) * 2003-06-25 2011-10-05 주성엔지니어링(주) 반도체 소자 제조장치의 가스 인젝터
JP5237133B2 (ja) * 2008-02-20 2013-07-17 株式会社日立国際電気 基板処理装置
JP5284182B2 (ja) 2008-07-23 2013-09-11 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP5350747B2 (ja) * 2008-10-23 2013-11-27 東京エレクトロン株式会社 熱処理装置
JP2011029441A (ja) * 2009-07-27 2011-02-10 Hitachi Kokusai Electric Inc 基板処理装置及び基板処理方法
JP5742185B2 (ja) * 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
JP2012175077A (ja) * 2011-02-24 2012-09-10 Hitachi Kokusai Electric Inc 基板処理装置、基板の製造方法、及び、半導体デバイスの製造方法
JP6208591B2 (ja) * 2014-02-13 2017-10-04 東京エレクトロン株式会社 インジェクタ保持構造及びこれを用いた基板処理装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008503898A (ja) * 2004-06-22 2008-02-07 東京エレクトロン株式会社 素性構造及びウエハの均一な被覆率のため、磁場エンベロープの移動を伴う強くイオン化されたpvd
CN101556911A (zh) * 2008-04-07 2009-10-14 东京毅力科创株式会社 基板处理装置
CN101736318A (zh) * 2008-11-10 2010-06-16 东京毅力科创株式会社 成膜装置
JP2013089818A (ja) * 2011-10-19 2013-05-13 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法

Also Published As

Publication number Publication date
CN107868946A (zh) 2018-04-03
US20180087156A1 (en) 2018-03-29
TWI697956B (zh) 2020-07-01
TW201818469A (zh) 2018-05-16
KR102228321B1 (ko) 2021-03-15
KR20180034253A (ko) 2018-04-04
JP2018056232A (ja) 2018-04-05
JP6710134B2 (ja) 2020-06-17

Similar Documents

Publication Publication Date Title
CN107868946B (zh) 气体导入机构和处理装置
US20230119730A1 (en) Substrate Processing Method and Substrate Processing Apparatus
TWI645487B (zh) Substrate processing apparatus and substrate processing method
CN105990197B (zh) 基板处理装置
US20100224614A1 (en) Heat Treatment Apparatus, Heater, and Method for Manufacturing the Heater
JP2002033281A (ja) 基板処理装置
CN207353216U (zh) 基板处理装置
CN107204304B (zh) 干法-湿法集成晶片处理系统
US20110179717A1 (en) Substrate processing apparatus
US20100024728A1 (en) Substrate processing apparatus
KR20220099089A (ko) 프로세스 모듈, 기판 처리 시스템 및 처리 방법
US11846023B2 (en) Injector and substrate processing apparatus using the same, and substrate processing method
KR102391762B1 (ko) 열처리 장치 및 열처리 방법
JP2008060148A (ja) 真空処理装置及び真空処理方法
JP3056241B2 (ja) 熱処理装置
US20210017646A1 (en) Substrate processing apparatus and substrate processing method
CN108538748B (zh) 气体导入机构及热处理装置
JP2001351870A (ja) 基板処理装置
KR20210089578A (ko) 가스 공급 구조 및 기판 처리 장치
JP2010021385A (ja) 基板処理装置及び半導体装置の製造方法
JP2006190812A (ja) 基板処理装置
JP2002343781A (ja) 熱処理装置
JPWO2022269659A5 (zh)
JP2002175988A (ja) 弁装置および熱処理装置
KR20050025479A (ko) 로드락 챔버의 퍼지 가스 공급장치

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant