KR20180034253A - 가스 도입 기구 및 처리 장치 - Google Patents

가스 도입 기구 및 처리 장치 Download PDF

Info

Publication number
KR20180034253A
KR20180034253A KR1020170121617A KR20170121617A KR20180034253A KR 20180034253 A KR20180034253 A KR 20180034253A KR 1020170121617 A KR1020170121617 A KR 1020170121617A KR 20170121617 A KR20170121617 A KR 20170121617A KR 20180034253 A KR20180034253 A KR 20180034253A
Authority
KR
South Korea
Prior art keywords
injector
gas
insertion hole
manifold
processing
Prior art date
Application number
KR1020170121617A
Other languages
English (en)
Other versions
KR102228321B1 (ko
Inventor
고헤이 후쿠시마
히로미 니타도리
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20180034253A publication Critical patent/KR20180034253A/ko
Application granted granted Critical
Publication of KR102228321B1 publication Critical patent/KR102228321B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은, 기판에 실시되는 처리의 면내 분포를 제어하는 것이 가능한 가스 도입 기구를 제공하는 것이다. 일 실시 형태의 가스 도입 기구는, 처리 용기 내에서 소정의 가스를 사용해서 기판에 대해 소정의 처리를 실시하기 위해서 상기 처리 용기에 설치된 가스 도입 기구이며, 상기 처리 용기의 하단부에 배치된 매니폴드로서, 상기 처리 용기의 내벽면을 따라 상하로 연장됨과 함께, 관상 부재를 삽입 가능하면서 또한 밖에서 끼워 지지 가능한 삽입 구멍을 갖는 인젝터 지지부와, 상기 인젝터 지지부로부터 외측으로 돌출되고, 상기 삽입 구멍과 상기 처리 용기의 외부를 연통해서 가스가 통류 가능한 가스 유로를 내부에 갖는 가스 도입부를 갖는 매니폴드와, 상기 삽입 구멍에 삽입되어, 상기 내벽면을 따라 전체가 직선 형상으로 연장됨과 함께, 상기 삽입 구멍에 삽입된 개소에 상기 가스 유로와 연통하는 개구를 갖는 인젝터와, 상기 인젝터의 하단부에 접속되어, 상기 인젝터를 회전시키는 회전 기구를 갖는다.

Description

가스 도입 기구 및 처리 장치{GAS INTRODUCTION MECHANISM AND PROCESSING APPARATUS}
본 발명은 가스 도입 기구 및 처리 장치에 관한 것이다.
처리 용기 내에서, 기판 유지구에 복수의 기판을 다단으로 유지한 상태에서, 복수의 기판에 대하여 성막 처리 등을 행하는 것이 가능한 뱃치식의 기판 처리 장치가 알려져 있다(예를 들어, 특허문헌 1 참조).
이 뱃치식의 기판 처리 장치에서는, 처리 용기의 측벽에 가스 유로가 형성되고, 가스 유로의 처리 용기측에는 L자 형상을 갖는 인젝터의 수평 부분이 삽입됨으로써, 인젝터가 처리 용기에 고정되는 구조로 되어 있다. 또한, 인젝터의 수직 부분에는, 기판이 적층되는 방향(연직 방향)을 따라 복수의 가스 분출구가 형성되어 있다.
일본 특허 제5284182호 공보
그러나, 상기 기판 처리 장치에서는, 인젝터가 처리 용기에 고정되어 있기 때문에, 가스를 토출하는 방향이 일정해서, 기판에 성막되는 막의 특성의 면내 분포를 충분히 제어할 수 없는 경우가 있었다.
그래서, 본 발명의 일 형태에서는, 기판에 실시되는 처리의 면내 분포를 제어하는 것이 가능한 가스 도입 기구를 제공하는 것을 목적으로 한다.
본 발명의 일 형태에 관한 가스 도입 기구는, 처리 용기 내에서 미리 정해진 가스를 사용해서 기판에 대하여 미리 정해진 처리를 실시하기 위해 상기 처리 용기에 설치된 가스 도입 기구이며, 상기 처리 용기의 하단부에 배치된 매니폴드로서, 상기 처리 용기의 내벽면을 따라 상하로 연장됨과 함께 삽입 구멍을 포함하는 인젝터 지지부와, 상기 인젝터 지지부로부터 외측으로 돌출되고, 상기 삽입 구멍과 상기 처리 용기의 외부를 연통해서 가스가 통류 가능한 가스 유로를 내부에 포함하는 가스 도입부를 포함하는 매니폴드와, 상기 삽입 구멍에 삽입되고 밖에서 끼움 지지되어, 상기 내벽면을 따라 전체가 직선 형상으로 연장됨과 함께, 상기 삽입 구멍에 삽입된 개소에 상기 가스 유로와 연통하는 개구를 포함하는 인젝터와, 상기 인젝터의 하단부에 접속되어, 상기 인젝터를 회전시키는 회전 기구를 포함한다.
개시된 기판 처리 장치에 의하면, 기판에 실시되는 처리의 면내 분포를 제어할 수 있다.
도 1은 일 실시 형태에 따른 처리 장치의 개략도이다.
도 2는 도 1의 처리 장치의 인젝터를 설명하기 위한 횡단면도이다.
도 3은 도 1의 처리 장치의 가스 도입 기구를 예시하는 도면(1)이다.
도 4는 도 3의 가스 도입 기구의 내부 구조를 설명하기 위한 도면이다.
도 5는 도 1의 처리 장치의 가스 도입 기구를 예시하는 도면(2)이다.
도 6은 도 1의 처리 장치의 가스 도입 기구를 예시하는 도면(3)이다.
도 7은 도 1의 처리 장치의 가스 도입 기구를 예시하는 도면(4)이다.
도 8은 인젝터의 가스 구멍으로부터 토출되는 가스의 방향을 설명하기 위한 도면이다.
도 9는 웨이퍼에 형성된 막의 막 두께의 면내 분포를 설명하기 위한 도면이다.
이하, 본 발명을 실시하기 위한 형태에 대해서 도면을 참조하여 설명한다. 또한, 본 명세서 및 도면에 있어서, 실질적으로 동일한 구성에 대해서는, 동일한 부호를 부여함으로써 중복된 설명을 생략한다.
(처리 장치)
본 발명의 일 실시 형태에 관한 처리 장치에 대해서 설명한다. 일 실시 형태에서는, 기판에 열처리를 행하는 처리 장치를 예로 들어 설명하는데, 처리 대상, 처리 내용은 특별히 한정되지 않고, 가스를 처리 용기 내에 공급해서 처리를 행하는 다양한 처리 장치에 적용 가능하다.
도 1은, 일 실시 형태에 따른 처리 장치의 개략도이다.
도 1에 도시되는 바와 같이, 처리 장치는, 반도체 웨이퍼(이하, 「웨이퍼(W)」라고 함)를 수용 가능한 처리 용기(10)를 갖고 있다. 처리 용기(10)는, 내열성이 높은 석영에 의해 대략 원통체 형상으로 성형되고, 천장에 배기구(11)를 갖는다. 처리 용기(10)는, 연직(상하) 방향으로 연장되는 종형의 형상으로 구성되어 있다. 처리 용기(10)의 직경은, 예를 들어 처리되는 웨이퍼(W)의 직경이 300mm인 경우에는, 350 내지 450mm 정도의 범위로 설정되어 있다.
처리 용기(10)의 천장부의 배기구(11)에는, 가스 배기구(20)가 접속된다. 가스 배기구(20)는, 예를 들어 배기구(11)로부터 연장되어 직각으로 L자 형상으로 굴곡된 석영관으로 구성된다.
가스 배기구(20)에는, 처리 용기(10) 내의 분위기를 배기하는 진공 배기계(30)가 접속된다. 구체적으로는, 진공 배기계(30)는, 가스 배기구(20)에 연결되는, 예를 들어 스테인리스강에 의해 형성되는 금속제의 가스 배기관(31)을 갖고 있다. 또한, 가스 배기관(31)의 도중에는, 개폐 밸브(32), 버터플라이 밸브 등의 압력 조정 밸브(33) 및 진공 펌프(34)가 순차적으로 설치되어 있어, 처리 용기(10) 내의 압력을 조정하면서 진공화할 수 있도록 되어 있다. 또한, 가스 배기구(20)의 내경은, 가스 배기관(31)의 내경과 동일하게 설정되어 있다.
처리 용기(10)의 측부에는, 처리 용기(10)를 둘러싸도록 해서 가열 수단(40)이 설치되어 있어, 처리 용기(10)에 수용되는 웨이퍼(W)를 가열할 수 있도록 되어 있다. 가열 수단(40)은, 예를 들어 복수의 존으로 분할되어 있고, 연직 방향 상측으로부터 하측을 향해서, 독립적으로 발열량을 제어 가능한 복수의 히터(도시하지 않음)에 의해 구성되어 있다. 또한, 가열 수단(40)은, 복수의 존으로 분할되지 않고, 1개의 히터에 의해 구성되어 있어도 된다. 또한, 가열 수단(40)의 외주에는, 단열재(50)가 설치되어 있어, 열적 안정성을 확보하도록 되어 있다.
처리 용기(10)의 하단부는 개구되어 있어, 웨이퍼(W)를 반입, 반출할 수 있게 되어 있다. 처리 용기(10)의 하단부의 개구는, 덮개(60)에 의해 개폐가 행하여지는 구성으로 되어 있다.
덮개(60)보다도 상방에는, 웨이퍼 보트(80)가 설치되어 있다. 웨이퍼 보트(80)는, 웨이퍼(W)를 유지하기 위한 기판 유지구이며, 연직 방향으로 복수의 웨이퍼(W)를 이격한 상태에서 유지 가능하게 구성된다. 웨이퍼 보트(80)가 유지하는 웨이퍼(W)의 매수는 특별히 한정되는 것은 아니지만, 예를 들어 50매 내지 150매로 할 수 있다.
웨이퍼 보트(80)는, 석영에 의해 형성되는 보온통(75)을 개재해서 테이블(74) 상에 적재되어 있다. 테이블(74)은, 처리 용기(10)의 하단 개구부를 개폐하는 덮개(60)를 관통하는 회전축(72)의 상단부에 지지된다. 회전축(72)의 관통부에는, 예를 들어 자성 유체 시일(73)이 설치되어, 회전축(72)을 기밀하게 시일한 상태에서 회전 가능하게 지지하고 있다. 또한, 덮개(60)의 주변부와 처리 용기(10)의 하단부에는, 예를 들어 O-링 등의 시일 부재(61)가 설치되어 있어, 처리 용기(10) 내의 시일성을 유지하고 있다.
회전축(72)은, 예를 들어 보트 엘리베이터 등의 승강 기구(70)에 지지된 아암(71)의 선단에 설치되어 있어, 웨이퍼 보트(80) 및 덮개(60) 등을 일체적으로 승강할 수 있도록 이루어져 있다. 또한, 테이블(74)을 덮개(60)측에 고정해서 설치하여, 웨이퍼 보트(80)를 회전시키지 않고 웨이퍼(W)의 처리를 행하도록 해도 된다.
처리 용기(10)의 하단부에는, 처리 용기(10)의 내주벽을 따라 연장되는 부분을 가짐과 함께, 반경 방향의 외측을 향해서 연장되는 플랜지 형상의 부분을 갖는 매니폴드(90)가 배치되어 있다. 그리고, 매니폴드(90)를 통해서, 처리 용기(10)의 하단부로부터, 처리 용기(10) 내에 필요한 가스를 도입한다. 매니폴드(90)는, 처리 용기(10)와는 별도 부품으로 구성되지만, 처리 용기(10)의 측벽과 일체적으로 설치되어, 처리 용기(10)의 측벽의 일부를 구성하도록 설치된다. 또한, 매니폴드(90)의 상세한 구성에 대해서는 후술한다.
매니폴드(90)는, 인젝터(110)를 지지한다. 인젝터(110)는, 처리 용기(10) 내에 가스를 공급하기 위한 관상 부재이며, 예를 들어 석영에 의해 형성된다. 인젝터(110)는, 처리 용기(10)의 내부에서 연직 방향으로 연장되도록 설치된다. 인젝터(110)에는, 길이 방향을 따라서 소정 간격으로 복수의 가스 구멍(111)이 형성되어 있고, 가스 구멍(111)으로부터 수평 방향을 향해서 가스를 토출할 수 있도록 되어 있다.
도 2는, 도 1의 처리 장치의 인젝터를 설명하기 위한 횡단면도이다. 도 2의 (a)는 원점 위치에서의 인젝터(110)의 상태를 나타내고 있다. 또한, 도 2의 (b)는 원점 위치로부터 좌측 방향으로 소정의 각도(θ1)만큼 회전한 위치에서의 인젝터(110)의 상태를 나타내고, 도 2의 (c)는 원점 위치로부터 우측 방향으로 소정의 각도(θ2)만큼 회전한 위치에서의 인젝터(110)의 상태를 나타내고 있다.
인젝터(110)는, 후술하는 회전 기구와 접속되어 있어, 회전 기구의 동작에 따라 좌측 방향 및 우측 방향으로 회전 가능하게 되어 있다. 구체적으로는, 인젝터(110)는, 도 2의 (a)에 도시된 바와 같이, 가스 구멍(111)이 처리 용기(10)의 중심을 향하는 위치로부터, 도 2의 (b)에 도시된 바와 같이, 좌측 방향으로 각도(θ1)의 위치까지 회전 가능하면 된다. 또한, 인젝터(110)는, 도 2의 (c)에 도시된 바와 같이, 우측 방향으로 각도(θ2)의 위치까지 회전 가능해도 된다. 그리고, 인젝터(110)의 가스 구멍(111)으로부터 수평 방향을 향해서 가스를 토출한 상태에서 인젝터(110)를 회전시킴으로써, 웨이퍼(W)에 실시되는 처리의 면내 분포를 제어할 수 있다.
다시 도 1을 참조하면, 인젝터(110)에는, 인젝터(110)에 가스를 공급하기 위해서 가스 공급계(120)가 접속된다. 가스 공급계(120)는, 인젝터(110)에 연통되는 금속, 예를 들어 스테인리스강에 의해 형성되는 가스 배관(121)을 갖고 있다. 또한, 가스 배관(121)의 도중에는, 매스 플로우 컨트롤러 등의 유량 제어기(123) 및 개폐 밸브(122)가 순차적으로 설치되어, 처리 가스의 유량을 제어하면서 공급할 수 있도록 되어 있다. 웨이퍼(W)의 처리에 필요한 다른 필요한 처리 가스도, 마찬가지로 구성된 가스 공급계(120) 및 매니폴드(90)를 통해서 공급된다.
처리 용기(10)의 하단부의 매니폴드(90)의 주변부는, 예를 들어 스테인리스강에 의해 형성된 베이스 플레이트(130)에 의해 지지되어 있고, 베이스 플레이트(130)에 의해 처리 용기(10)의 하중을 지지하도록 되어 있다. 베이스 플레이트(130)의 하방은, 도시하지 않은 웨이퍼 이동 탑재 기구를 갖는 웨이퍼 이송실로 되어 있고, 대략 대기압의 질소 가스 분위기로 되어 있다. 또한, 베이스 플레이트(130)의 상방은 클린룸의 청정한 공기의 분위기로 되어 있다.
(가스 도입 기구)
이어서, 본 발명의 일 실시 형태에 관한 처리 장치의 가스 도입 기구에 대해서 설명한다. 도 3은, 도 1의 처리 장치의 가스 도입 기구를 예시하는 도면이다. 도 4는, 도 3의 가스 도입 기구의 내부 구조를 설명하기 위한 분해 사시도이다.
도 3 및 도 4에 도시된 바와 같이, 가스 도입 기구는, 매니폴드(90)와, 인젝터(110)와, 회전 기구(200)와, 가스 배관(121)을 갖는다.
매니폴드(90)는, 인젝터 지지부(91)와, 가스 도입부(95)를 갖는다.
인젝터 지지부(91)는, 처리 용기(10)의 내벽면을 따라 연직 방향으로 연장되는 부분이며, 인젝터(110)를 지지한다. 인젝터 지지부(91)는, 인젝터(110)의 하단이 삽입 가능하고, 인젝터(110)의 하단을 밖에서 끼워 지지 가능한 삽입 구멍(92)을 갖는다.
가스 도입부(95)는, 인젝터 지지부(91)로부터 반경 방향의 외측으로 돌출되어, 처리 용기(10)의 외측에 노출되는 부분이며, 삽입 구멍(92)과 처리 용기(10)의 외부를 연통해서 가스가 통류 가능한 가스 유로(96)를 갖는다. 가스 유로(96)의 외측 단부에는, 가스 배관(121)이 접속되어, 외부로부터의 가스가 공급 가능하게 구성된다.
인젝터(110)는, 인젝터 지지부(91)의 삽입 구멍(92)에 삽입되어, 처리 용기(10)의 내벽면을 따라 전체가 직선 형상으로 연장됨과 함께, 삽입 구멍(92)에 삽입된 개소에 가스 유로(96)와 연통하는 개구(112)를 갖는다. 개구(112)는, 예를 들어 수평 방향을 장축, 연직 방향을 단축으로 하는 대략 타원 형상으로 형성되어 있다. 이에 의해, 인젝터(110)가 회전한 경우에도, 가스 유로(96)로부터 인젝터(110)에 효율적으로 가스가 공급된다.
매니폴드(90)는, 예를 들어 금속으로 구성된다. 처리 용기(10) 및 처리 용기(10)를 구성하는 부품은, 금속 오염을 방지하는 관점에서, 기본적으로는 석영으로 구성되는 것이 바람직하지만, 복잡한 형상이나, 나사 등과의 나사 결합 접속이 있는 개소는, 금속으로 구성하지 않을 수 없다. 본 발명의 일 실시 형태에 관한 처리 장치의 매니폴드(90)도, 금속으로 구성되는데, 인젝터(110)를 L자 형상으로 하지 않고, 막대 형상으로 하고 있다. 그리고, 매니폴드(90)의 가스 도입부(95) 내에 수평으로 연장되는 가스 유로(96)를 형성하고, 인젝터(110)에 가스 유로(96)와 연통하는 개구(112)를 형성함으로써, 인젝터(110)에 두꺼운 수평 부분을 없애고 있다. 이에 의해, 매니폴드(90)의 가스 도입부(95)는, 인젝터(110)의 두꺼운 수평 부분을 수용할 필요가 없어지기 때문에, 매니폴드(90)의 가스 도입부(95)의 두께를 얇게 하고, 높이를 낮게 해서 금속 콘테미네이션을 저감시키는 것이 가능하게 된다. 또한, 매니폴드(90)를 구성하는 금속은, 스테인리스강, 알루미늄, 하스텔로이 등의 내식성 메탈 재료이어도 된다.
회전 기구(200)는, 인젝터(110)의 하단부에 접속되어, 인젝터(110)를 그 길이 방향을 중심축으로 해서 회전시킨다. 구체적으로는, 회전 기구(200)는, 에어 실린더(210)와, 링크 기구(220)를 갖고, 에어 실린더(210)에서 발생시킨 직선 운동(왕복 운동)을 링크 기구(220)에 의해 회전 운동으로 변환하여, 인젝터(110)에 전달한다.
에어 실린더(210)는, 실린더부(211)와, 로드부(212)와, 전자기 밸브(213)를 갖는다. 로드부(212)의 일부는, 실린더부(211)에 수용되어 있다. 로드부(212)는, 전자기 밸브(213)로 제어된 에어가 실린더부(211)에 공급됨으로써, 실린더부(211) 및 로드부(212)의 축방향(도 3에서의 좌우 방향)으로 왕복 운동한다. 또한, 에어 실린더(210) 대신에, 유압 실린더를 사용해도 된다.
링크 기구(220)는, 링크 바(221)와, 벨로우즈(222)와, 리테이너(223)와, 링크부(224)와, 와셔(225)와, 유지 볼트(226)를 갖는다.
링크 바(221)는, 막대 형상을 갖고, 벨로우즈(222)에 의해 기밀성을 유지한 상태에서 매니폴드(90) 내에 삽입되어 있다. 링크 바(221)의 일단은, 에어 실린더(210)의 로드부(212)와 접속되어 있다. 이에 의해, 링크 바(221)는, 로드부(212)가 실린더부(211) 및 로드부(212)의 축방향으로 왕복 운동함으로써, 로드부(212)와 함께 실린더부(211) 및 로드부(212)의 축방향(링크 바(221)의 축방향)으로 왕복 운동한다. 또한, 벨로우즈(222) 대신에, 자성 유체 시일을 사용해도 된다.
리테이너(223)는, 링크부(224)를 통해서 링크 바(221)와 접속되어 있다. 이에 의해, 링크 바(221)가 그 축방향으로 왕복 운동하면, 리테이너(223)가 좌측 방향 또는 우측 방향(도 3의 (b)에서의 화살표로 나타내는 방향)으로 회전한다. 구체적으로는, 링크 바(221)가 우측 방향으로 움직임으로써 리테이너(223)가 좌측 방향으로 회전하고, 링크 바(221)가 좌측 방향으로 움직임으로써 리테이너(223)가 우측 방향으로 회전한다. 리테이너(223)에는, 도 4에 도시된 바와 같이, 개구부(223a)가 형성되어 있다. 개구부(223a)는, 리테이너(223)의 상면측으로부터 하면측을 향해서 개구 직경이 단계적으로 작아지도록 단차부(223b)가 둘레 방향에 걸쳐 형성되어 있다. 단차부(223b)의 상면에는, 돌기부(223c)가 형성되어 있고, 인젝터(110)의 하단부에 형성된 도시하지 않은 오목부가 돌기부(223c)와 감합 가능하게 되어 있다. 이에 의해, 리테이너(223)는, 인젝터(110)가 리테이너(223)에 대하여 둘레 방향으로 회전하지 않도록 인젝터(110)를 유지한다. 그리고, 리테이너(223)가 회전 운동하면, 리테이너(223)와 일체가 되어 인젝터(110)가 회전 운동한다. 또한, 리테이너(223)는, 와셔(225)를 통해서 유지 볼트(226)에 의해 회전 가능하게 유지되어 있다.
이어서, 가스 도입 기구의 다른 예에 대해, 도 5에 기초하여 설명한다. 도 5는, 도 1의 처리 장치의 가스 도입 기구를 예시하는 도면이다.
도 5에 도시되는 가스 도입 기구는, 모터(310)와, 웜기어 기구(320)를 갖는 회전 기구(300)에 의해 인젝터(110)를 회전시키는 점에서, 도 4에 도시되는 가스 도입 기구와 상이하다. 또한, 다른 구성에 대해서는, 도 4에 도시되는 가스 도입 기구와 마찬가지의 구성이다. 이하에서는, 도 4에 도시되는 가스 도입 기구와 마찬가지의 구성에 대해서는, 설명을 생략하는 경우가 있다.
도 5에 도시되는 바와 같이, 회전 기구(300)는, 인젝터(110)의 하단부에 접속되어, 인젝터(110)를 그 길이 방향을 중심축으로 해서 회전시킨다. 구체적으로는, 회전 기구(300)는, 모터(310)와, 웜기어 기구(320)를 갖고, 모터(310)로 발생시킨 회전 운동을 웜기어 기구(320)에 의해 회전 방향 및 회전 속도를 변환하여, 인젝터(110)에 전달한다.
모터(310)는, 예를 들어 직류(DC) 모터이다.
웜기어 기구(320)는, 회전축(321)과, 자성 유체 시일부(322)와, 웜(323)과, 웜 휠(324)과, 와셔(325)와, 유지 볼트(326)를 갖는다.
회전축(321)은, 막대 형상을 갖고, 자성 유체 시일부(322)에 의해 기밀성을 유지한 상태에서 매니폴드(90) 내에 삽입되어 있다. 회전축(321)의 일단은, 모터(310)와 접속되어 있다. 이에 의해, 회전축(321)은, 모터(310)가 동작함으로써 회전한다. 또한, 자성 유체 시일부(322) 대신에, 벨로우즈를 사용해도 된다.
웜(323)은, 회전축(321)의 선단에 고정되어 있다. 이에 의해, 회전축(321)이 회전하면, 웜(323)은 회전축(321)과 일체가 되어서 회전한다.
웜 휠(324)은, 웜(323)과 맞물리면서 또한 정역 회전 가능하게 되어 있다. 이에 의해, 웜(323)이 회전하면, 웜 휠(324)이 웜(323)의 회전 방향과 대응해서 좌측 방향 또는 우측 방향(도 5의 (b)에서의 화살표로 나타내는 방향)으로 회전한다. 웜 휠(324)은, 인젝터(110)가 웜 휠(324)에 대하여 둘레 방향으로 회전하지 않도록 인젝터(110)를 유지한다. 이에 의해, 웜 휠(324)이 회전 운동하면, 웜 휠(324)과 일체가 되어 인젝터(110)가 회전 운동한다. 또한, 웜 휠(324)은, 와셔(325)를 통해서 유지 볼트(326)에 의해 회전 가능하게 유지되어 있다.
이어서, 가스 도입 기구의 다른 예에 대해, 도 6에 기초하여 설명한다. 도 6은, 도 1의 처리 장치의 가스 도입 기구를 예시하는 도면이다.
도 6에 도시하는 가스 도입 기구는, 에어 실린더(410)와, 랙 앤드 피니언 기구(420)를 갖는 회전 기구(400)에 의해 인젝터(110)를 회전시키는 점에서, 도 4에 도시되는 가스 도입 기구와 상이하다. 또한, 다른 구성에 대해서는, 도 4에 도시되는 가스 도입 기구와 마찬가지의 구성이다. 이하에서는, 도 4에 도시되는 가스 도입 기구와 마찬가지의 구성에 대해서는, 설명을 생략하는 경우가 있다.
도 6에 도시된 바와 같이, 회전 기구(400)는, 인젝터(110)의 하단부에 접속되어, 인젝터(110)를 그 길이 방향을 중심축으로 해서 회전시킨다. 구체적으로는, 회전 기구(400)는, 에어 실린더(410)와, 랙 앤드 피니언 기구(420)를 갖고, 에어 실린더(410)로 발생시킨 직선 운동을 랙 앤드 피니언 기구(420)에 의해 회전 운동으로 변환하여, 인젝터(110)에 전달한다.
에어 실린더(410)는, 실린더부(411)와, 로드부(412)와, 전자기 밸브(413)를 갖는다. 로드부(412)의 일부는, 실린더부(411)에 수용되어 있다. 로드부(412)는, 전자기 밸브(413)로 제어된 에어가 실린더부(411)에 공급됨으로써, 실린더부(411) 및 로드부(412)의 축방향(도 6에서의 좌우 방향)으로 왕복 운동한다. 또한, 에어 실린더(410) 대신에, 유압 실린더를 사용해도 된다.
랙 앤드 피니언 기구(420)는, 구동축(421)과, 벨로우즈(422)와, 랙(423)과, 피니언(424)과, 와셔(425)와, 유지 볼트(426)를 갖는다.
구동축(421)은, 막대 형상을 갖고, 벨로우즈(422)에 의해 기밀성을 유지한 상태에서 매니폴드(90) 내에 삽입되어 있다. 구동축(421)의 일단은, 에어 실린더(410)의 로드부(412)와 접속되어 있다. 이에 의해, 구동축(421)은, 로드부(412)가 실린더부(411) 및 로드부(412)의 축방향으로 왕복 운동함으로써, 로드부(412)와 함께 실린더부(411) 및 로드부(412)의 축방향(구동축(421)의 축방향)으로 왕복 운동한다. 또한, 벨로우즈(422) 대신에, 자성 유체 시일을 사용해도 된다.
랙(423)은, 구동축(421)의 선단에 고정되어 있다. 이에 의해, 구동축(421)이 왕복 운동하면, 랙(423)은 구동축(421)과 일체가 되어서 왕복 운동한다. 또한, 랙(423)은, 구동축(421)과 일체로서 형성되어 있어도 된다.
피니언(424)은, 랙(423)과 맞물리면서 또한 정역 회전 가능하게 되어 있다. 이에 의해, 랙(423)이 왕복 운동하면, 피니언(424)이 랙(423)의 왕복 운동과 대응해서 좌측 방향 또는 우측 방향(도 6의 (b)에서의 화살표로 나타내는 방향)으로 회전한다. 피니언(424)은, 인젝터(110)가 피니언(424)에 대하여 둘레 방향으로 회전하지 않도록 인젝터(110)를 유지한다. 이에 의해, 피니언(424)이 회전 운동하면, 피니언(424)과 일체가 되어서 인젝터(110)가 회전 운동한다. 또한, 피니언(424)은, 와셔(425)를 통해서 유지 볼트(426)에 의해 회전 가능하게 유지되어 있다.
이어서, 가스 도입 기구의 다른 예에 대해, 도 7에 기초하여 설명한다. 도 7은, 도 1의 처리 장치의 가스 도입 기구를 예시하는 도면이다.
도 7에 도시하는 가스 도입 기구는, 모터(510)와, 회전축(520)을 갖는 회전 기구(500)에 의해 인젝터(110)를 회전시키는 점에서, 도 4에 도시되는 가스 도입 기구와 상이하다. 또한, 다른 구성에 대해서는, 도 4에 도시되는 가스 도입 기구와 마찬가지의 구성이다. 이하에서는, 도 4에 도시되는 가스 도입 기구와 마찬가지의 구성에 대해서는, 설명을 생략하는 경우가 있다.
도 7에 도시된 바와 같이, 회전 기구(500)는, 인젝터(110)의 하단부에 접속되어, 인젝터(110)를 그 길이 방향을 중심축으로 해서 회전시킨다. 구체적으로는, 회전 기구(500)는, 모터(510)와, 회전축(520)을 갖고, 모터(510)로 발생시킨 회전 운동을 회전축(520)에 의해 인젝터(110)에 전달한다.
모터(510)는, 예를 들어 DC 모터이다.
회전축(520)은, 막대 형상을 갖고, 자성 유체 시일부(521)에 의해 기밀성을 유지한 상태에서 덮개(60)의 하방으로부터 덮개(60)를 관통하고, 접속 부재(522)를 개재해서 인젝터(110)의 하단부에 접속되어 있다. 이에 의해, 회전축(520)은, 모터(510)가 동작함으로써 회전한다. 또한, 자성 유체 시일부(521) 대신에, 벨로우즈를 사용해도 된다. 또한, 접속 부재(522)는, 와셔(523)를 통해서 유지 볼트(524)에 의해 회전 가능하게 유지되어 있다.
(실시예)
이어서, 인젝터(110)의 가스 구멍(111)으로부터 토출되는 가스의 방향(토출 각도)을 변화시켰을 때의, 웨이퍼(W)의 표면에 형성되는 막의 막 두께의 면내 분포에 대해서 설명한다.
도 8은, 인젝터의 가스 구멍으로부터 토출되는 가스의 방향을 설명하기 위한 도면이다. 도 9는, 웨이퍼에 형성된 막의 막 두께의 면내 분포를 설명하기 위한 도면이다. 도 9에서, 횡축은 웨이퍼(W)의 중심을 통과하는 직경 방향의 위치(mm)를 나타내고, 종축은 웨이퍼(W)의 직경 방향에서의 최소 막 두께와의 차(이하, 「막 두께 차분」이라고 함)(Å)를 나타내고 있다. 또한, 원 표시는 토출 각도가 0°인 경우를 나타내고, 사각 표시는 토출 각도가 15°인 경우를 나타내고, 삼각 표시는 토출 각도가 30°인 경우를 나타내고 있다.
도 9에 도시된 바와 같이, 제2 인젝터(110b)에 형성된 가스 구멍(111b)의 각도를 변화시킴으로써, 웨이퍼(W)에 형성되는 막의 막 두께의 분포가 변화하는 것을 알 수 있다. 구체적으로는, 토출 각도가 0° 및 15°인 경우, 웨이퍼(W)의 중심 위치(0mm)에서의 막 두께 차분은 3Å 내지 3.5Å인 것에 반해, 토출 각도가 30°인 경우, 웨이퍼(W)의 중심 위치에서의 막 두께 차분은 2Å 정도이다. 즉, 토출 각도가 30°인 경우, 토출 각도가 0° 및 15°인 경우와 비교하여, 웨이퍼(W)의 면내에서의 막 두께 분포가 작게 되어 있는 것을 알 수 있다.
또한, 「토출 각도가 0°」란, 도 8의 (a)에 도시된 바와 같이, 제1 인젝터(110a)의 가스 구멍(111a)으로부터 토출되는 가스의 토출 각도를 웨이퍼(W)의 회전 중심(C)을 향하는 각도로 한 상태에서 디클로로실란(DCS) 가스를 토출하는 조건이다. 이때, 제2 인젝터(110b)의 가스 구멍(111b)으로부터 가스를 공급하지 않는다.
또한, 「토출 각도가 15°」란, 도 8의 (b)에 도시된 바와 같이, 제1 인젝터(110a)의 가스 구멍(111a)으로부터 토출되는 가스의 토출 각도를 웨이퍼(W)의 회전 중심(C)을 향하는 각도로 한 상태에서 DCS 가스를 토출하고, 제2 인젝터(110b)의 가스 구멍(111b)으로부터 토출되는 가스의 토출 각도를 웨이퍼(W)의 회전 중심(C)을 향하는 각도로부터 우측 방향으로 15° 회전시킨 상태에서 DCS 가스를 토출하는 조건이다.
또한, 「토출 각도가 30°」란, 도 8의 (c)에 도시된 바와 같이, 제1 인젝터(110a)의 가스 구멍(111a)으로부터 토출되는 가스의 토출 각도를 웨이퍼(W)의 회전 중심(C)을 향하는 각도로 한 상태에서 DCS 가스를 토출하고, 제2 인젝터(110b)의 가스 구멍(111b)으로부터 토출되는 가스의 토출 각도를 웨이퍼(W)의 회전 중심(C)을 향하는 각도로부터 우측 방향으로 30° 회전시킨 상태에서 DCS 가스를 토출하는 조건이다.
이와 같이, 가스의 토출 각도를 변화시킴으로써, 웨이퍼(W)의 표면에 형성되는 막의 막 두께의 면내 분포를 제어할 수 있다.
이상, 본 발명을 실시하기 위한 형태에 대해서 설명했지만, 상기 내용은, 발명의 내용을 한정하는 것이 아니며, 본 발명의 범위 내에서 다양한 변형 및 개량이 가능하다.
상기 실시 형태에서는, 인젝터(110)가 1개 또는 2개인 경우를 예로 들어 설명했지만, 이것에 한정되는 것은 아니며, 3개 이상의 인젝터(110)가 설치되어 있어도 된다. 또한, 인젝터(110)가 복수인 경우, 적어도 복수의 인젝터(110) 중 1개가 회전 가능하게 설치되어 있으면 되고, 다른 인젝터(110)는 매니폴드에 고정되어 있어도 된다. 또한, 복수의 인젝터(110) 모두가 회전 가능하게 설치되어 있어도 된다. 또한, 웨이퍼(W)의 적재 방향에 대한 인젝터(110)의 토출 범위를 한정하는 것이 아니라, 복수의 인젝터(110)에서 존마다 가스의 토출 각도를 바꾸어도 된다.
10 : 처리 용기 80 : 웨이퍼 보트
90 : 매니폴드 91 : 인젝터 지지부
95 : 가스 도입부 96 : 가스 유로
110 : 인젝터 111 : 가스 구멍
112 : 개구 121 : 가스 배관
200 : 회전 기구 210 : 에어 실린더
220 : 링크 기구 300 : 회전 기구
310 : 모터 320 : 웜기어 기구
400 : 회전 기구 410 : 에어 실린더
420 : 랙 앤드 피니언 기구 500 : 회전 기구
510 : 모터 520 : 회전축

Claims (9)

  1. 처리 용기 내에서 미리 정해진 가스를 사용해서 기판에 대해 미리 정해진 처리를 실시하기 위해서 상기 처리 용기에 설치된 가스 도입 기구이며,
    상기 처리 용기의 하단부에 배치된 매니폴드로서, 상기 처리 용기의 내벽면을 따라 상하로 연장됨과 함께, 삽입 구멍을 포함하는 인젝터 지지부와, 상기 인젝터 지지부로부터 외측으로 돌출되고, 상기 삽입 구멍과 상기 처리 용기의 외부를 연통해서 가스가 통류 가능한 가스 유로를 내부에 포함하는 가스 도입부를 포함하는 매니폴드와,
    상기 삽입 구멍에 삽입되고 밖에서 끼움 지지되어, 상기 내벽면을 따라 전체가 직선 형상으로 연장됨과 함께, 상기 삽입 구멍에 삽입된 개소에 상기 가스 유로와 연통하는 개구를 포함하는 인젝터와,
    상기 인젝터의 하단부에 접속되어, 상기 인젝터를 회전시키는 회전 기구,
    를 포함하는 가스 도입 기구.
  2. 제1항에 있어서,
    상기 회전 기구는,
    상기 인젝터의 하단부에 접속되는 링크 기구와,
    상기 링크 기구에 접속되어, 상기 링크 기구를 구동하는 실린더,
    를 포함하는, 가스 도입 기구.
  3. 제1항에 있어서,
    상기 회전 기구는,
    상기 인젝터의 하단부에 접속되는 웜기어 기구와,
    상기 웜기어 기구에 접속되어, 상기 웜기어 기구를 구동하는 모터,
    를 포함하는, 가스 도입 기구.
  4. 제1항에 있어서,
    상기 회전 기구는,
    상기 인젝터의 하단부에 접속되는 랙 앤드 피니언과,
    상기 랙 앤드 피니언에 접속되어, 상기 랙 앤드 피니언을 구동하는 실린더,
    를 포함하는, 가스 도입 기구.
  5. 제1항에 있어서,
    상기 회전 기구는,
    상기 인젝터의 하단부에 접속되는 회전축과,
    상기 회전축에 접속되어, 상기 회전축을 회전시키는 모터,
    를 포함하는, 가스 도입 기구.
  6. 제1항에 있어서,
    상기 인젝터에는, 길이 방향을 따라서 복수의 가스 구멍이 형성되어 있는, 가스 도입 기구.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서,
    상기 처리 용기 및 상기 인젝터는, 석영에 의해 형성되어 있고,
    상기 매니폴드는, 금속에 의해 형성되어 있는, 가스 도입 기구.
  8. 처리 용기와,
    상기 처리 용기의 하단부에 배치된 매니폴드로서, 상기 처리 용기의 내벽면을 따라 상하로 연장됨과 함께, 삽입 구멍을 포함하는 인젝터 지지부와, 상기 인젝터 지지부로부터 외측으로 돌출되고, 상기 삽입 구멍과 상기 처리 용기의 외부를 연통해서 가스가 통류 가능한 가스 유로를 내부에 포함하는 가스 도입부를 포함하는 매니폴드와,
    상기 삽입 구멍에 삽입되고 밖에서 끼움 지지되어, 상기 내벽면을 따라 전체가 직선 형상으로 연장됨과 함께, 상기 삽입 구멍에 삽입된 개소에 상기 가스 유로와 연통하는 개구를 포함하는 인젝터와,
    상기 인젝터의 하단부에 접속되어, 상기 인젝터를 회전시키는 회전 기구,
    를 포함하는, 처리 장치.
  9. 제8항에 있어서,
    상기 처리 용기는, 연직 방향으로 복수의 기판을 이격한 상태에서 유지 가능한 기판 유지구를 수용 가능한 대략 원통체 형상을 포함하는, 처리 장치.
KR1020170121617A 2016-09-27 2017-09-21 가스 도입 기구 및 처리 장치 KR102228321B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2016-188311 2016-09-27
JP2016188311A JP6710134B2 (ja) 2016-09-27 2016-09-27 ガス導入機構及び処理装置

Publications (2)

Publication Number Publication Date
KR20180034253A true KR20180034253A (ko) 2018-04-04
KR102228321B1 KR102228321B1 (ko) 2021-03-15

Family

ID=61687190

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170121617A KR102228321B1 (ko) 2016-09-27 2017-09-21 가스 도입 기구 및 처리 장치

Country Status (5)

Country Link
US (1) US20180087156A1 (ko)
JP (1) JP6710134B2 (ko)
KR (1) KR102228321B1 (ko)
CN (1) CN107868946B (ko)
TW (1) TWI697956B (ko)

Families Citing this family (306)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP6706901B2 (ja) * 2015-11-13 2020-06-10 東京エレクトロン株式会社 処理装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP1624352S (ko) * 2018-07-19 2019-02-12
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP7109331B2 (ja) * 2018-10-02 2022-07-29 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP1648531S (ko) * 2019-01-28 2019-12-23
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP6902060B2 (ja) * 2019-02-13 2021-07-14 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、およびプログラム
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
JP7170598B2 (ja) * 2019-07-17 2022-11-14 東京エレクトロン株式会社 基板処理装置及び基板処理方法
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
JP7209598B2 (ja) 2019-07-26 2023-01-20 東京エレクトロン株式会社 基板処理装置及び基板処理方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048062A (ko) * 2019-10-23 2021-05-03 삼성전자주식회사 웨이퍼 처리 장치 및 웨이퍼 처리 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR102432915B1 (ko) * 2020-05-22 2022-08-17 내일테크놀로지 주식회사 붕소 전구체의 열처리를 통한 질화붕소나노튜브의 제조방법 및 장치
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009224765A (ja) * 2008-02-20 2009-10-01 Hitachi Kokusai Electric Inc 基板処理装置
JP2012238911A (ja) * 2008-04-07 2012-12-06 Tokyo Electron Ltd 基板処理装置
JP2013089818A (ja) * 2011-10-19 2013-05-13 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP5284182B2 (ja) 2008-07-23 2013-09-11 株式会社日立国際電気 基板処理装置および半導体装置の製造方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04280420A (ja) * 1991-03-07 1992-10-06 Toshiba Corp 熱処理装置
JP3072664B2 (ja) * 1991-10-31 2000-07-31 日本電気株式会社 縦型減圧気相成長装置
JPH05304093A (ja) * 1992-04-02 1993-11-16 Nec Corp 縦型減圧cvd装置
US20040224504A1 (en) * 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
KR101070353B1 (ko) * 2003-06-25 2011-10-05 주성엔지니어링(주) 반도체 소자 제조장치의 가스 인젝터
US7556718B2 (en) * 2004-06-22 2009-07-07 Tokyo Electron Limited Highly ionized PVD with moving magnetic field envelope for uniform coverage of feature structure and wafer
JP5350747B2 (ja) * 2008-10-23 2013-11-27 東京エレクトロン株式会社 熱処理装置
JP5062143B2 (ja) * 2008-11-10 2012-10-31 東京エレクトロン株式会社 成膜装置
JP2011029441A (ja) * 2009-07-27 2011-02-10 Hitachi Kokusai Electric Inc 基板処理装置及び基板処理方法
JP5742185B2 (ja) * 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
JP2012175077A (ja) * 2011-02-24 2012-09-10 Hitachi Kokusai Electric Inc 基板処理装置、基板の製造方法、及び、半導体デバイスの製造方法
JP6208591B2 (ja) * 2014-02-13 2017-10-04 東京エレクトロン株式会社 インジェクタ保持構造及びこれを用いた基板処理装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009224765A (ja) * 2008-02-20 2009-10-01 Hitachi Kokusai Electric Inc 基板処理装置
JP2012238911A (ja) * 2008-04-07 2012-12-06 Tokyo Electron Ltd 基板処理装置
JP5284182B2 (ja) 2008-07-23 2013-09-11 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP2013089818A (ja) * 2011-10-19 2013-05-13 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法

Also Published As

Publication number Publication date
CN107868946B (zh) 2021-06-29
TW201818469A (zh) 2018-05-16
TWI697956B (zh) 2020-07-01
US20180087156A1 (en) 2018-03-29
CN107868946A (zh) 2018-04-03
JP6710134B2 (ja) 2020-06-17
JP2018056232A (ja) 2018-04-05
KR102228321B1 (ko) 2021-03-15

Similar Documents

Publication Publication Date Title
KR20180034253A (ko) 가스 도입 기구 및 처리 장치
US20230119730A1 (en) Substrate Processing Method and Substrate Processing Apparatus
US9435026B2 (en) Film deposition apparatus
KR20180029915A (ko) 기판 처리 장치
KR101686030B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR20180057537A (ko) 기판 처리 장치
KR102518787B1 (ko) 인젝터 및 이것을 사용한 기판 처리 장치, 그리고 기판 처리 방법
US11913115B2 (en) Substrate processing apparatus and substrate processing method
JP3056241B2 (ja) 熱処理装置
US20210023677A1 (en) Substrate processing apparatus and substrate processing method
CN111058015B (zh) 基板处理装置、基板的输入方法以及基板处理方法
KR20210089578A (ko) 가스 공급 구조 및 기판 처리 장치
JP2001230212A (ja) 縦型熱処理装置
JP2003051497A (ja) 熱処理方法および熱処理装置
US20220230896A1 (en) Substrate processing apparatus
WO2024024544A1 (ja) 基板処理装置及び基板処理方法
JP2023116294A (ja) 基板載置台、基板処理装置及びサセプタの加熱方法
JPWO2022269659A5 (ko)
JP2011060812A (ja) 基板処理装置
JP2022112466A (ja) 基板処理装置
JP2020176296A (ja) 基板処理装置、基板処理システム及び基板処理方法
JP2002175988A (ja) 弁装置および熱処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant