CN104781262B - 稳定的金属化合物、它们的组合物以及它们的使用方法 - Google Patents

稳定的金属化合物、它们的组合物以及它们的使用方法 Download PDF

Info

Publication number
CN104781262B
CN104781262B CN201380059422.XA CN201380059422A CN104781262B CN 104781262 B CN104781262 B CN 104781262B CN 201380059422 A CN201380059422 A CN 201380059422A CN 104781262 B CN104781262 B CN 104781262B
Authority
CN
China
Prior art keywords
ethyl acetoacetate
titanium
composition
grams
ethyl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201380059422.XA
Other languages
English (en)
Other versions
CN104781262A (zh
Inventor
姚晖蓉
M·D·拉曼
S·K·马伦
赵俊衍
C·安亚戴格伍
M·帕德马纳班
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Wisdom Buy
Merck Patent GmbH
AZ Electronic Materials Japan Co Ltd
Original Assignee
AZ ELECTRONICS Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by AZ ELECTRONICS Ltd filed Critical AZ ELECTRONICS Ltd
Priority to CN201811239514.7A priority Critical patent/CN109180722B/zh
Publication of CN104781262A publication Critical patent/CN104781262A/zh
Application granted granted Critical
Publication of CN104781262B publication Critical patent/CN104781262B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/28Titanium compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F3/00Compounds containing elements of Groups 2 or 12 of the Periodic System
    • C07F3/02Magnesium compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic System
    • C07F5/06Aluminium compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/24Lead compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic System
    • C07F9/90Antimony compounds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G79/00Macromolecular compounds obtained by reactions forming a linkage containing atoms other than silicon, sulfur, nitrogen, oxygen, and carbon with or without the latter elements in the main chain of the macromolecule
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L85/00Compositions of macromolecular compounds obtained by reactions forming a linkage in the main chain of the macromolecule containing atoms other than silicon, sulfur, nitrogen, oxygen and carbon; Compositions of derivatives of such polymers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Polymers & Plastics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
  • Polymers With Sulfur, Phosphorus Or Metals In The Main Chain (AREA)

Abstract

本公开涉及具有改善的稳定性的可溶性多配体取代金属化合物,以及由其制得的组合物和它们的使用方法。

Description

稳定的金属化合物、它们的组合物以及它们的使用方法
发明领域
本发明涉及具有改善的稳定性的可溶性多配体取代金属化合物以及由它们制得的组合物和它们的使用方法。
发明背景
金属氧化物膜可用于半导体行业中的多种应用,如光刻硬掩膜、抗反射涂层的衬层和电-光器件。
作为实例,光致抗蚀剂组合物用于显微光刻法,以便制造微型电子元件,如在制造计算机芯片和集成电路中。通常,将光致抗蚀剂组合物的薄涂层施加至基底上,如用于制造集成电路的硅基晶片上。随后烘烤该涂布基底以便从该光致抗蚀剂中除去所需量的溶剂。烘烤过的基底涂布表面随后成像式曝光于光化辐射,如可见光、紫外线、远紫外线、电子束、粒子束和X射线辐射。
该辐射在光致抗蚀剂的曝光区域中引起了化学转变。曝光的涂层用显影剂溶液处理以溶解和去除该光致抗蚀剂的辐射曝光区域或未曝光区域。
半导体器件小型化的趋势已经导致使用对越来越短的辐射波长敏感的新型光致抗蚀剂,并已经导致使用精密的多级系统以克服与此类小型化相关的困难。
光刻法中的吸收性抗反射涂层和衬层用于减少从通常高反射性的基底上反射的辐射所造成的问题。反射辐射导致薄膜干涉效应和反射刻痕。薄膜干涉或驻波导致了当光致抗蚀剂厚度变化时由光致抗蚀剂膜中总光强度变化所造成的临界线宽度尺寸的改变。反射和入射的曝光辐射的干涉可以导致驻波效应,这会扭曲在整个厚度上该辐射的均匀性。当光致抗蚀剂在包含形貌特征的反射基底上图案化时,反射刻痕变得严重,所述形貌特征会散射光穿过该光致抗蚀剂膜,导致线宽变化,且在极端情况下形成完全失去所需尺寸的区域。涂布在光致抗蚀剂下方和反射基底上方的抗反射涂料膜在该光致抗蚀剂的光刻性能方面提供了显著的改善。通常,在基底上施加底部抗反射涂层并固化,随后施加光致抗蚀剂层。将该光致抗蚀剂成像式曝光并显影。曝光区域中的抗反射涂层随后通常使用各种蚀刻气体干蚀刻,并由此将光致抗蚀剂图案转移到基底上。
含有大量难熔元素的衬层可以用作硬掩膜以及抗反射涂层。当上覆的光致抗蚀剂不能提供对用于将图像转移至下方半导体基底的干蚀刻的足够高的耐受性时,硬掩膜是有用的。在此类情况下,称为硬掩膜的材料,其耐蚀刻性高到足以将在其上产生的任何图案转移到下方半导体基底中。这是有可能的,因为该有机光致抗蚀剂不同于下方的硬掩膜,并且有可能发现能够将光致抗蚀剂中的图案转移至下方硬掩膜中的蚀刻气体混合物。这种图案化的硬掩膜可以以合适的蚀刻条件和气体混合物使用,以便将图案由硬掩膜转移到半导体基底,光致抗蚀剂本身采用单一蚀刻过程的任务尚未实现。
在新的光刻技术中使用多个抗反射层和衬层。在光致抗蚀剂不能提供足够的耐干蚀刻性的情况下,充当硬掩膜并在基底蚀刻过程中高度耐蚀刻的用于光致抗蚀剂的衬层和/或抗反射涂层是优选的。一种方法是向有机光致抗蚀剂层下方的层中掺入硅、钛或其它金属材料。此外,可以在含金属抗反射层的下方放置另一高碳含量抗反射层或掩膜层,如高碳膜/硬掩膜/光致抗蚀剂的三层,用于改善成像过程的光刻性能。常规硬掩膜可以通过化学气相沉积如溅射来施加。但是,与前述常规方法相比旋涂法的相对简单性使得开发在膜中具有高浓度金属材料的新型旋涂硬掩膜或抗反射涂层变得非常理想。
含有金属氧化物的用于半导体应用的衬层组合物已经显示提供耐干蚀刻性以及抗反射性质。但是,常规形成金属氧化物膜的可溶性金属化合物,如金属烷氧化物,已经发现对空气中的水分非常不稳定,产生许多问题,包括货架期稳定性、涂布问题和性能缺陷。金属氧化物在半导体行业内通常使用和接受的溶剂中具有溶解度问题。由此,存在突出的需要以制备含有可溶于有机溶剂、稳定的(即使在暴露于空气后也如此)金属化合物的旋涂硬掩膜、抗反射涂层和其它衬层,并且其在固化该膜以形成金属氧化物后还可以在化学溶液中剥离(strippable)。
附图简述
图1A-1I是本公开的可溶性多配体取代金属化合物的实例。
图2显示了可溶性多配体取代金属络合物的实例。
图3显示了用于该制剂的有机聚合物的实例。
发明概述
本发明涉及具有改进的稳定性的用于形成金属氧化物膜的新型可溶性多配体取代金属氧化物化合物,以及由它们制得的组合物和它们的使用方法。
在第一实施方案中,本文中公开和要求保护的优选是具有以下结构的可溶性多配体取代金属化合物:
其中n为大约1至大约20,R1独立地为C1-C6取代或未取代的、支链或无支链的烷基基团或R2,各R2相同或不同,并且是取代或未取代的芳族或杂芳族基团、C6-C20取代或未取代的、支链或无支链的烷基或烯基、-COR3基团、-N(R3)2基团、-SO2R3基团、-SOR3基团或-SR3基团的至少一种,其中各R3相同或不同,并且是取代或未取代的芳族或杂芳族基团,或取代或未取代的、支链或无支链的C1-C20烷基、烯基或具有-亚烷基-COOR3’或-亚烯基-COOR3’结构的官能化基团,其中R3’是C1-C6取代或未取代的、支链或无支链的烷基;其中配体的至少一个赋予金属化合物以稳定性。这些新型金属化合物即使在暴露于空气之后也是稳定的,并可以在化学溶液中剥离。
在另一实施方案中,本文中公开和要求保护的是上述实施方案的可溶性多配体取代金属化合物,其中该金属是钛、锆、钽、铅、锑、铊、铟、镱、镓、铪、铝、镁、钼、锗、锡、铁、钴、镍、铜、锌、金、银、镉、钨或铂。
在另一实施方案中,本文中公开和要求保护的是上述实施方案的可溶性多配体取代金属化合物,其中当n为大约2至大约20时,该金属是相同的,或者是超过一种金属。
在另一实施方案中,本文中公开和要求保护的是上述实施方案的可溶性多配体取代金属化合物,其中R2之一是部分或完全氟化的烷基和/或其中R3之一是部分或完全氟化的烷基、烯基、芳族或杂芳族基团。
在又一实施方案中,本文中公开和要求保护的是含有上述实施方案的金属化合物、至少一种溶剂和任选有机或硅基可交联聚合物的组合物,当n为大约1-20时。
在又一实施方案中,本文中公开和要求保护的是含有上述实施方案的金属化合物、至少一种溶剂和任选有机或硅基可交联聚合物的组合物,当n为1时。
在又一实施方案中,本文中公开和要求保护的是进一步含有热生酸剂、热生碱剂或热活化过氧化物的至少一种的上述实施方案的组合物。
在再一实施方案中,本文中公开和要求保护的是制造电子器件的方法,包括将任一上述实施方案的组合物施加到基底上,在大约120℃至大约350℃下烘烤大约30至大约120秒并任选用剥离剂(stripper)除去该组合物。
发明详述
如本文中所用,连接词“和”意在为包括性的,且连接词“或”并非意在为排它性的,除非另行说明。例如短语“或替代地”意在为排它性的。
本文所用的术语“和/或”指的是前述元素的任意组合,包括采用单一元素。
本文中所用的术语“烷基”指的是直链或环状链烷基取代基以及其任意支链异构体。
本文中所用的术语“烯基”指的是含有不饱和的碳-碳键的直链或环状链烯基取代基以及其任意支链异构体。
本文中所用的术语“亚烷基”指的是直链或环状链亚烷基取代基以及其任意支链异构体。
本文中所用的术语“亚烯基”指的是含有不饱和碳-碳键的直链或环状链亚烯基取代基以及其任意支链异构体。
本文中所用的术语“硅基聚合物”指的是硅聚合物以及有机硅聚合物,并包括低聚物材料如二聚物、三聚物等等。
本文中所用的术语“组合物”和“制剂”互换使用并具有相同含义。
本文中公开和要求保护的是具有以下结构的可溶性多配体取代金属化合物:
该金属M可以选自适当金属的列表,包括例如钛、锆、钽、铅、锑、铊、铟、镱、镓、铪、铝、镁、钼、锗、锡、铁、钴、镍、铜、锌、金、银、镉、钨或铂以及其它过渡金属。该金属化合物可以是单原子的,n为大约1,优选1。该金属化合物还可以是多原子的,n为大约2至大约20,优选2至20,生成交替的金属-氧链段的链。该多原子化合物可以仅含有一种类型的金属原子,如钛,或它们可以具有分散到金属-氧骨架中的其它金属,如硅和锆。混合金属多原子金属化合物中各金属的量可以为0.001%至99.999%,取决于最终固化的金属氧化物层的所需特性。这些新型金属化合物即使在暴露于空气后也是稳定的,并还可以在化学溶液中剥离。
R1可以是C1-C6烷基基团,以及其任意支链和/或环状的异构体,并且可以是未取代的或被例如,卤素(如氟、氯、溴等)取代,或R1可以是R2。此外,两个R1基团可以是同一配体的一部分,如乙酰丙酮、乙酰乙酸酯/盐和其它二酮。
各R2可以相同或不同。它们可以是取代或未取代的芳族基团,如苯基、萘基、蒽基等等。R2还可以是杂芳族基团,如氮杂环例如吡啶、吡咯、吡嗪,或硫杂环例如噻吩。R2可以是C6-C20取代或未取代的烷基或烯基,或它们的支链和/或环状异构体。这些还可以例如被卤素如氟取代。R2还可以是-COR3基团、-N(R3)2基团、-SO2R3基团、-SOR3基团或-SR3基团,其中R3相同或不同,并且是取代或未取代的芳族或杂芳族基团,或取代或未取代的、支链、无支链和/或环状的C1-C20烷基、烯基或具有-亚烷基-COOR3’或-亚烯基-COOR3’结构的官能化亚烷基,其中R3’是C1-C6取代或未取代的、支链或无支链的烷基,或环状基团。
R2的摩尔当量为上述结构中配位配体总摩尔数的>10%,取决于R2配体的尺寸、挥发性和疏水性。通常,R2的量可以高达全部配体(R1+R2)的100摩尔%,或40-80摩尔%。其它配体如螯合剂也可用于稳定该金属化合物。螯合配体的实例是乙酰丙酮、苯甲酰基丙酮、4,4,4-三氟-1-苯基-1,3-丁烷二酮酸盐/酯和乙酰乙酸酯/盐。
由此各R2可以相同或不同,并可以是不饱和酯,如-CO-CH=CH-COOR3’或-CO-CH=C(CH3)-COOR3’,或芳族酯如-CO-C6H4-COOR3’、烷基酯如-CO-CH2CH2-COOR3’或-CO-CH2CH2CH2-COOR3’,或脂环族酯如-CO-C6H10-COOR3’,其中C6H10是环己基。
令人惊讶地发现,如表3中所示,如上所述的多配体取代金属氧化物与常规金属氧化物如Ti(O-丁基)4相比对水分和空气非常稳定。此外,通过向金属氧化物添加各种类别的配体,它们溶解于用于旋涂和当使用复合组合物时用于溶解其它成分的多种溶剂中。当这些金属化合物取代衬层涂布中使用的常规金属氧化物时,涂布质量也得到了改善。
如下所述,本公开的多配体取代金属化合物的制备由其烷氧化物或乙酰丙酮化物(acac)制备。该烷氧基或acac金属化合物与酸酐、环酐、羧酸、磺酸、酰胺、羟胺、磺酸盐/酯、亚磺酸盐/酯、次磺酸盐/酯、丙烯酸和/或甲基丙烯酸反应以替代一部分烷氧基或acac基团。其它高分子量烷氧基金属化合物或在配体上具有特定官能团的那些可以由高分子量或官能化醇获得。该反应中配体取代的目标量为大于总配位位点的10摩尔%,取决于进行该取代的配体的尺寸、挥发性、溶解性和疏水性。
要注意的是,超过一个配体可用于按需与该烷氧基金属反应。
本公开的可溶性多配体取代金属化合物的实例显示在图1-2中。
本公开的多配体取代金属化合物用于制备用于半导体行业及相关行业的含金属氧化物的层。当以上的化合物式中n为大约2至大约20,例如,大约4至大约20时,该化合物可以溶解在合适的溶剂中并且在没有任何其它添加剂的情况下使用。当n为1时,使用额外的成膜有机或硅基聚合物,例如,聚(甲基)丙烯酸、聚(甲基)丙烯酸酯和缩聚物如聚酯、酚醛清漆树脂、硅氧烷树脂或有机倍半硅氧烷。这些聚合物可以单独使用或彼此组合使用,取决于固化后最终膜的所需性质。这些聚合物通常是交联聚合物,含有任意数量的相同或不同的交联取代基,如,环氧基、羟基、巯基、胺、酰胺、酰亚胺、酯、醚、脲、羧酸、酸酐等等。交联基团的其它实例包括缩水甘油醚基团、缩水甘油酯基团、缩水甘油基氨基、甲氧基甲基、乙氧基甲基、苄氧基甲基、二甲基氨基甲基、二乙基氨基甲基、二羟甲基氨基甲基、二羟乙基氨基甲基、吗啉代甲基、乙酰氧基甲基、苄氧基甲基、甲酰基、乙酰基、乙烯基和异丙烯基。
当n=1时,有机或硅基可交联聚合物与金属组分混合。当n为大约2至大约20时,有机或硅基可交联聚合物可任选与金属组分混合。有机聚合物含有可交联基团,如羟基、环氧基、酰胺、脲或羧酸。可交联聚合物的实例描述在图3中。硅基聚合物诸如可交联聚硅氧烷。有机聚合物可以是聚乙烯、聚丙烯酸、聚酯等等。
该新型组合物的有机聚合物可以包含结构(1)的具有氟代醇的单元,和结构(2)的具有环氧基的单元,
其中,R4至R9独立地选自氢和C1-C4烷基,W是二价连接基(如亚芳基、羰氧基(-C(=O)-O-)和羰氧基亚烷基(-C(=O)-O-W3-),其中W3选自C1至C20亚烷基部分、完全氟化的(C1-C20)亚烷基和部分氟化的(C1-C20)亚烷基),Rf1和Rf2独立地选自完全或部分氟化的(C1-C6)烷基;W1选自亚芳基连接基团、羰基(-(C=O))连接基团和羰氧基亚烷基连接基团(-C(=O)-O-W4-),其中W4选自C1至C6亚烷基部分、氟化的(C1-C6)亚烷基和部分氟化的(C1-C6)亚烷基;和W2是(C1-C6)亚烷基部分。该聚合物可以仅含有具有结构1和结构2的单体单元,或可以任选含有其它单体单元。W3和W4的具体实例独立地为亚甲基、亚乙基、亚丙基、亚异丙基和1-己基亚乙基、五氟亚丙基、2,2,2-三氟亚乙基和七氟亚丁基。Rf1和Rf2的具体实例是三氟甲基、五氟丙基和2,2,2-三氟乙基、七氟丁基。W2的具体实例是亚甲基、亚乙基、亚丙基、亚异丙基等等。
该有机聚合物可以含有结构(1)和(2)的单元,此外可以含有任选的重复单元(3),其中R10至R12独立地选自氢和C1-C4烷基:
其中W5选自直接价键、亚芳基、酯(C(=O)-O-R13)基团、C1-C20亚烷基及其混合物,其中R13选自C1-C20亚烷基、C1-C20亚烷基亚芳基、亚芳基和取代亚芳基。用于获得单元(3)的单体的具体实例是甲基丙烯酸2-羟基乙酯、甲基丙烯酸2-羟基丙酯、甲基丙烯酸2-羟基丁酯和羟基苯乙烯。
衬层有机聚合物可以含有至少结构1和结构2之一或结构3之一的单元,此外可以含有任选的重复单元(4),其中R14至R16独立地选自氢、腈(CN)和C1-C4烷基,R17是芳基或酯(-C(=O)-O-R18)基团,其中R18选自C1-C20烷基、C1-C20亚烷基芳基、芳基、取代芳基、氟代烷基、部分氟代烷基或其混合物。其它单体单元也可以存在于有机聚合物中。用于获得单元(4)的单体的具体实例是苯乙烯、甲基丙烯酸苄酯、甲基丙烯酸丁酯、甲基丙烯酸2-乙基己酯、丙烯酸苄酯和丙烯酸丁酯。
在新型衬层组合物中,聚合物包含10至90摩尔%的氟代醇基团和10至90摩尔%的环氧基团。
在其中仅存在结构2与结构3之一和结构1的单元的聚合物的实施方案中,单元(1)可以为大约10-80摩尔%或20-60摩尔%;而重复单元(2)或(3)可以为大约20-90摩尔%或大约30-70摩尔%。在其中存在具有结构1、2和3或4的至少三种类型的重复单元的聚合物的实施方案中,单元1可以为大约10-70摩尔%或大约20-50摩尔%,重复单元2可以为大约10-70摩尔%或大约20-50%,且重复单元3或4可以为大约1-50摩尔%或大约5-40摩尔%。在其中存在具有结构1、2、3和4的至少四种类型的重复单元的聚合物的实施方案中,单元1为10-70摩尔%或20-50摩尔%,重复单元2可以为10-70摩尔%或大约20-50摩尔%,重复单元3可以为大约1-40摩尔%或大约5-30摩尔%,且重复单元4可以为大约1-30摩尔%或大约5-25摩尔%。
用于衬层涂料组合物的合适溶剂可以包括例如低级醇(C1-C6)如异丙醇、正丁醇、叔丁醇、1-戊醇和4-甲基-2-戊醇,二醇如乙二醇和丙二醇,二醇醚衍生物如乙二醇乙醚、乙二醇甲醚、丙二醇单甲醚、二乙二醇单甲醚、二乙二醇单乙醚、二丙二醇二甲醚、丙二醇正丙醚或二乙二醇二甲醚;二醇醚酯衍生物如乙二醇乙醚乙酸酯、乙二醇甲醚乙酸酯或丙二醇单甲醚乙酸酯;羧酸酯如乙酸乙酯、乙酸正丁酯和乙酸戊酯;二元酸的羧酸酯如二乙基草酸酯(diethyloxylate)和二乙基丙二酸酯;二醇的二羧酸酯如乙二醇二乙酸酯和丙二醇二乙酸酯;和羟基羧酸酯如乳酸甲酯、乳酸乙酯、羟乙酸乙酯和3-羟基丙酸乙酯;酮酯如丙酮酸甲酯或丙酮酸乙酯;烷氧基醇如2-甲氧基乙醇、乙氧基乙醇,烷氧基羧酸酯如3-甲氧基丙酸甲酯、3-乙氧基丙酸乙酯、2-羟基-2-甲基丙酸乙酯或乙氧基丙酸甲酯;酮衍生物如甲乙酮、乙酰丙酮、环戊酮、环己酮或2-庚酮;酮醚衍生物如二丙酮醇甲醚;酮醇衍生物如丙酮醇或二丙酮醇;内酯如丁内酯和γ-戊内酯;酰胺衍生物如二甲基乙酰胺或二甲基甲酰胺,苯甲醚及其混合物。
包含金属化合物的新型组合物可以包括增强涂料性能的其它组分,例如低级醇(C1-C6醇)、烷氧基醇、内酯、C1-C20烷基羧酸、表面流平剂(<总固体的5重量%)、二烷氧基双(β-二酮酯)(总固体的1-20重量%或5-10重量%)、二烷氧基双(β-二酮)(总固体的1-20重量%或5-10重量%)、热生酸剂、光生酸剂等等。二烷氧基双(β-二酮酯)和二烷氧基双(β-二酮)可以是乙酰丙酮、苯甲酰基丙酮、4,4,4-三氟-1-苯基-1,3-丁二酮和乙酰乙酸乙酯。表面流平剂或表面活性剂可以是聚乙二醇十二烷基醚、聚氧乙烯油基醚、聚乙二醇十八烷基醚、聚乙二醇叔辛基苯基醚、氟基表面活性剂和硅基表面活性剂。可以使用具有以下商品名的表面活性剂:Brij30、Brij52、Triton X-100、FC430等。
为了进一步交联组合物,可以加入交联添加剂,包括例如双酚A-基环氧化合物、双酚F-基环氧化合物,双酚S-基环氧化合物、酚醛清漆树脂-基环氧化合物、聚(羟基苯乙烯)-基环氧化合物、三聚氰胺化合物、苯并胍胺化合物和脲化合物。
热活化催化剂,如热生酸剂,也可以添加到组合物中以便在涂覆的组合物的热固化过程中辅助交联。该热生酸剂可以在高于90℃,例如高于120℃和高于150℃下被活化。热生酸剂的实例包括不含金属的鋶盐和錪盐,如强非亲核酸的三芳基鋶、二烷基芳基鋶和二芳基烷基鋶盐;和强非亲核酸的铵、烷基铵、二烷基铵、三烷基铵、四烷基铵盐。烷基或芳基磺酸的2-硝基苄基酯以及热分解以提供游离磺酸的其它磺酸酯/盐。其它实例包括二芳基錪全氟烷基磺酸盐、二芳基錪三(氟烷基磺酰基)甲基化物、二芳基錪双(氟烷基磺酰基)甲基化物、二芳基錪双(氟烷基磺酰基)酰亚胺、二芳基錪或季铵全氟烷基磺酸盐。不稳定酯的实例:甲苯磺酸2-硝基苄酯、甲苯磺酸2,4-二硝基苄酯、甲苯磺酸2,6-二硝基苄酯、甲苯磺酸4-硝基苄酯;苯磺酸酯如4-氯苯磺酸2-三氟甲基-6-硝基苄酯、4-硝基苯磺酸2-三氟甲基-6-硝基苄酯;酚磺酸酯如4-甲氧基苯磺酸苯酯;季铵三(氟烷基磺酰基)甲基化物和季烷基铵双(氟烷基磺酰基)酰亚胺,有机酸的烷基铵盐,如10-樟脑磺酸的三乙基铵盐。各种芳族(蒽、萘或苯衍生物)磺酸胺盐可以用作TAG,包括美国专利号3,474,054、4,200,729、4,251,665和5,187,019中公开的那些。该TAG在170-220℃的温度下可以具有非常低的挥发性。
热活化过氧化物也可以用在本组合物中,如过氧化苯甲酰、3,5-二氯过氧化苯甲酰等等。
本公开的组合物按固体计含有超过20重量%的多配体取代金属化合物,如按固体计超过50重量%或超过90重量%。两种或更多种具有相同或不同金属的金属化合物可以用于制剂中。聚合物当使用时为按固体计小于大约80重量%,如按固体计小于50重量%、小于10重量%。交联添加剂按固体计占2-30重量%。通常用作涂覆添加剂的其它添加剂可以加入,如润湿剂、表面活性剂、消泡剂、触变剂等等。
所选溶剂或溶剂混合物中总百分比固体为大约1至大约40重量%,如大约2至大约30重量%。
新型化合物和包含新型化合物的制得的组合物当暴露于空气并随后储存时是稳定的。材料可以暴露于空气最多24小时,并随后储存至少一周而不会发生光刻性质的任何劣化,如涂覆缺陷。此外,新型材料可以通过湿剥离剂除去,如去除固化膜的化学溶液。
本公开的组合物可以涂覆到基底的表面上,所述基底诸如低介电常数材料、硅、用金属表面涂覆的硅基底、涂有铜的硅晶片、铜、铝、聚合树脂、二氧化硅、金属、掺杂二氧化硅、氮化硅、钽、多晶硅、陶瓷、铝/铜混合物、任一种金属氮化物如AlN;砷化镓和其它此类第III/V族化合物。该基底还可以是其它抗反射涂层或衬层,如涂覆在上述基底上的高碳衬层。该基底可以包含任意数量的由上述材料制成的层。
本公开的组合物使用本领域技术人员公知的技术涂覆在基底上,如浸涂法、旋涂法或喷涂法。衬层涂层的膜厚度为大约3纳米至大约500纳米,如大约5纳米至大约250纳米。涂层可以在加热板上或对流烘箱中进一步加热足够长的时间以去除大部分溶剂并诱发固化。固化温度可以为大约90℃至大约350℃下大约30秒至大约10分钟,如大约120℃至大约250℃下大约1至大约2分钟。组合物可以涂覆在抗反射涂层的其它层上,如高碳(大于80%或85%或90%)含量抗反射涂层。
可以调节材料的交联性和光学参数以提供所需特性。组成与工艺条件可以优化,以使该膜通过在不含附加交联剂与催化剂的浇铸溶剂与光致抗蚀剂显影剂中的浸泡测试。在正常烘烤条件下,固化膜的组成包含大约5至大约90重量%的金属氧化物或大约10至大约70重量%的金属氧化物。
固化金属氧化物膜可以有利地使用化学剥离剂去除,如酸、碱、过氧化物及其混合物。例如,85%的磷酸、稀硫酸、3%的HF、10%的TMAH、10%的过氧化氢、碱性过氧化物水溶液及其混合物。剥离时间在大约室温至大约70℃的温度下为大约5秒至大约120秒,取决于膜固化条件。其它剥离方法可以结合金属氧化物膜的处理条件使用。例如,当膜以较低的温度或较短的时间固化时,剥离剂可以稀释,时间可以缩短和/或剥离温度可以降低。结果显示在表4中。
本公开的可溶性多配体取代金属化合物、其组合物及使用方法可用于制备抗反射层。存在足够的发色团,衬层的折射率n(折射率)可以为大约1.5至大约2.2,且k(消光系数)(复折射率的一部分nc=n-jk)在193nm曝光波长下可以为大约0.1至大约0.8。新型涂层可用作硬掩膜。该n和k值可以使用椭圆计来计算,如J.A.Woollam WVASE VU-32TM椭圆计。k和n的最佳范围的确切值取决于采用的曝光波长和涂施类型。通常,对于193nm而言,k的优选范围为大约0.1至大约0.8,对于248nm而言,k的优选范围为大约0.15至大约0.8,但是,可以使用其它曝光波长如DUV和DUV之外,并调节组合物至与它们结合工作。
通过诸如旋涂法等等的方法将该光致抗蚀剂组合物涂施在新型涂层上。在涂覆后,将溶剂去除至其中该涂层可以适当暴露的水平。在一些情况下,在涂层中可能保留剩余的5%溶剂,而在其他情况下要求小于1%。可以通过加热板加热、对流加热、红外加热等等来实现干燥。穿过包含所需图案的掩膜用光化辐射成像式曝光涂层。在衬层涂层上方涂覆光致抗蚀剂的膜并烘烤以基本去除光致抗蚀剂溶剂。采用本领域公知的方法在涂覆步骤后施加边胶去除剂以清洗该基底的边缘。
光致抗蚀剂可以是半导体行业中使用的任何类型,只要光致抗蚀剂与抗反射涂层中的光活性化合物在用于成像过程的曝光波长下基本上吸收。可用于浸没式光刻法的光致抗蚀剂是优选的。通常,可以使用适于采用浸没式光刻法成像的光致抗蚀剂,其中此类光致抗蚀剂具有高于1.85的折射率,并且也是疏水性的,具有75°至95°的水接触角。
迄今为止,存在几种在微型化方面提供显著进步并具有250纳米至10纳米,如248纳米、193纳米、157和13.5纳米的光化辐射的主要的深紫外(uv)曝光技术。通常使用化学增强的光致抗蚀剂。用于248纳米的光致抗蚀剂通常基于取代的聚羟基苯乙烯及其共聚物/鎓盐,如US 4,491,628和US 5,350,660中描述的那些。另一方面,用于在193纳米和157纳米下曝光的光致抗蚀剂需要非芳族聚合物,因为芳族化合物在该波长下不透明。US 5,843,624和US 6,866,984公开了可用于193纳米曝光的光致抗蚀剂。通常,含有脂环族烃的聚合物用于在小于200纳米下曝光的光致抗蚀剂。出于很多原因,向该聚合物中掺入脂环族烃,主要是由于脂环族烃具有相对高的碳/氢比,这改善了抗蚀刻性,它们还在低波长下提供透明度,并且它们具有相对高的玻璃化转变温度。US 5,843,624公开了通过马来酸酐与不饱和环状单体的自由基聚合获得的用于光致抗蚀剂的聚合物。可以使用任何已知类型的193nm光致抗蚀剂,如US 6,447,980和US 6,723,488中描述的那些,其内容经此引用并入本文。两种基本类别的在157纳米下敏感并基于具有氟代醇侧基的氟化聚合物的光致抗蚀剂已知在该波长下基本透明。一类157纳米氟代醇光致抗蚀剂衍生自含有诸如氟化降冰片烯的基团的聚合物,并采用金属催化或自由基聚合法均聚合或与其它透明单体如四氟乙烯共聚合(US 6,790,587和US 6,849,377)。通常,这些材料提供更高的吸光度,但是由于它们的高脂环族含量而具有良好的耐等离子体蚀刻性。近来,描述了一类157纳米氟代醇聚合物,其中聚合物骨架衍生自不对称二烯如1,1,2,3,3-五氟-4-三氟甲基-4-羟基-1,6-庚二烯(US 6,818,258)的环化聚合或氟代二烯与烯烃的共聚合(US 6,916,590)。这些材料提供在157纳米下可接受的吸光度,但是由于它们与氟-降冰片烯聚合物相比较低的脂环族含量,这些材料具有较低的耐等离子体蚀刻性。这两类聚合物通常可以混合以提供第一聚合物类型的高抗蚀刻性与第二聚合物类型在157纳米处的高透明度的平衡。吸收13.5纳米的极紫外辐射(EUV)的光致抗蚀剂也可使用并在本领域中已知。由此,在大约12纳米至大约250纳米的范围内吸收的光致抗蚀剂是有用的。新型涂层还可用于采用纳米压印和电子束光刻胶的方法。
在涂布过程后,将光致抗蚀剂成像式曝光。可以使用通常的曝光设备来完成曝光。曝光的光致抗蚀剂随后在水性显影剂中显影以除去处理过的光致抗蚀剂。该显影剂优选是包含例如四甲基氢氧化铵(TMAH)的碱性水溶液,通常包含2.38重量%的TMAH。该显影剂可以进一步包含表面活性剂。可以在显影之前和曝光之后向该方法中并入任选的加热步骤。
涂覆与成像光致抗蚀剂的方法是本领域技术人员公知的,并可以针对所用的特定类型的光致抗蚀剂进行优化。该光致抗蚀剂图案化基底随后可以在适当的蚀刻室中用蚀刻气体或气体混合物干蚀刻以去除衬层和任选其它抗反射涂层的暴露部分。各种蚀刻气体在蚀刻衬层涂层的领域中是已知的,如包含O2、CF4、CHF3、Cl2、HBr、SO2、CO等等的那些。在一个实施方案中,制品包含具有高碳抗反射膜的半导体基底,在其上涂覆新型金属层。光致抗蚀剂层涂覆于其上。如上文公开的那样将光致抗蚀剂成像,并使用包含氟碳化合物的气体干蚀刻该金属衬层。在蚀刻金属衬层后,高碳膜可以用氧或氧混合物干蚀刻。有利地,该新型金属层可以使用本文中所述的剥离剂去除,该剥离剂是一种化学溶液。
出于所有目的,上文提及的各篇文献经此引用全文并入本文。下面的具体实施例将提供制造和使用本发明的组合物的方法的详细描述。但这些实施例并非意在以任何方式限制或约束本发明的范围,并且不应解释为提供为实施本发明而唯一采用的条件、参数或值。
实施例
下面实施例中的抗反射涂层的折射率(n)和消光系数(k)值在J.A.WoollamVASE32椭圆计上测得。
聚合物的分子量在凝胶渗透色谱仪上测得。
合成实施例1
将40克四丁氧基Ti(IV)聚合物(Ti(IV)BTP)、10克邻苯二甲酸酐和50克苯通过搅拌混合并在氮气下在烧瓶中加热。该反应保持在60℃下大约一小时。在冷却至室温后,通过在真空下蒸馏和干燥除去大部分溶剂。质子NMR显示在产物中不存在酸酐。
合成实施例2
将40克Ti(IV)BTP聚合物、10.4克1,2-环己烷二甲酸酐和50.4克环己烷通过搅拌混合并在氮气下在烧瓶中加热。该反应保持在60℃下大约一小时。在冷却至室温后,通过在真空下蒸馏和干燥除去大部分溶剂。质子NMR显示在产物中不存在酸酐。
合成实施例3
将40克Ti(IV)BTP聚合物、10克邻苯二甲酸酐和50克丙二醇单甲醚乙酸酯(PGMEA)/丙二醇单甲醚(PGME)70:30通过搅拌混合并在氮气下在烧瓶中加热。该反应保持在50℃下大约两小时。在冷却至室温后,将具有50%固含量的产物储存在棕色瓶中。质子NMR显示在产物中不存在酸酐,并与合成实施例1类似,除了PGMEA/PGME溶剂造成的信号。
合成实施例4
将40克Ti(IV)BTP聚合物、10.4克1,2-环己烷二甲酸酐和50.4克PGMEA/PGME 70:30通过搅拌混合并在氮气下在烧瓶中加热。该反应保持在50℃下大约两小时。在冷却至室温后,将具有50%固含量的产物储存在棕色瓶中。质子NMR显示在产物中不存在酸酐,并与合成实施例2类似,除了PGMEA/PGME溶剂造成的信号。
合成实施例5
将40克Ti(IV)BTP聚合物、19.8克马来酸酐和59.8克环己烷通过搅拌混合并在氮气下在烧瓶中加热。该反应保持在60℃下大约2小时。在冷却至室温后,将具有50%固含量的产物储存在棕色瓶中。质子NMR显示在产物中不存在酸酐。在环己烷中的FT-IR显示了Ti-OBu共振造成的在~1100cm-1处的峰的减弱和Ti-OC(=O)R共振造成的在1570cm-1处的新的宽峰。
合成实施例6
将40克Ti(IV)BTP聚合物、19.8克马来酸酐和59.8克PGMEA/PGME 70:30通过搅拌混合并在氮气下在烧瓶中加热。该反应保持在50℃下大约3小时。在冷却至室温后,将具有50%固含量的产物储存在棕色瓶中。质子NMR显示在产物中不存在酸酐。将样品溶解在环己烷中并在环己烷中进行FT-IR。光谱显示了Ti-OBu共振造成的在~1100cm-1处的峰的减弱和Ti-OC(=O)R共振造成的在~1600cm-1处的新的宽峰。
合成实施例7
将40克Ti(IV)BTP聚合物、30克柠康酸酐和70克PGMEA/PGME 70:30通过搅拌混合并在氮气下在烧瓶中加热。该反应保持在50℃下大约4小时。在冷却至室温后,将具有50%固含量的产物储存在棕色瓶中。
合成实施例8
将40克Ti(IV)BTP聚合物、22.5克柠康酸酐和62.5克PGMEA/PGME 70:30通过搅拌混合并在氮气下在烧瓶中加热。该反应保持在50℃下大约3小时。在冷却至室温后,将具有50%固含量的产物储存在棕色瓶中。
合成实施例9
将40克Ti(IV)BTP聚合物、10.3克顺式-1,2,3,6-四氢邻苯二甲酸酐和50.3克PGMEA/PGME 70:30通过搅拌混合并在氮气下在烧瓶中加热。该反应保持在50℃下大约两小时。在冷却至室温后,将具有50%固含量的产物储存在棕色瓶中。质子NMR显示在产物中不存在酸酐。
合成实施例10
将40克Ti(IV)BTP聚合物、10克邻苯二甲酸酐和50克PGMEA/PGME 70:30通过搅拌混合并在氮气下在烧瓶中加热。该反应保持在50℃下大约2小时。将15克PGMEA/PGME 70:30中的15克柠康酸酐缓慢添加到反应容器中。令该反应在50℃下再持续3小时。在冷却至室温后,将具有50%固含量的产物储存在棕色瓶中。质子NMR显示在产物中不存在酸酐。
合成实施例11
将40克Ti(IV)BTP聚合物、10克邻苯二甲酸酐和50克PGMEA/PGME 70:30通过搅拌混合并在氮气下在烧瓶中加热。该反应保持在50℃下大约2小时。将13.2克PGMEA/PGME 70:30中的13.2克马来酸酐缓慢添加到反应容器中。令该反应在50℃下再持续3小时。在冷却至室温后,将具有50%固含量的产物储存在棕色瓶中。质子NMR显示在产物中不存在酸酐。
合成实施例12
将40克Ti(IV)BTP聚合物、19.8克马来酸酐和59.8克PGMEA/PGME 70:30通过搅拌混合并在氮气下在烧瓶中加热。该反应保持在50℃下大约2小时。在该反应容器中缓慢添加在6.1克PGMEA/PGME 70:30中的6.1克全氟庚酸。令该反应在50℃下再持续2小时。在冷却至室温后,将具有50%固含量的产物储存在棕色瓶中。质子NMR显示在产物中不存在酸酐。
合成实施例13
将40克Ti(IV)BTP聚合物、13.2克马来酸酐和53.2克环己烷通过搅拌混合并在氮气下在烧瓶中加热。该反应保持在60℃下大约1小时。在该反应容器中缓慢添加在11.1克环己烷中的11.1克五氟丙酸。令该反应在60℃下再持续1小时。在冷却至室温后,将具有50%固含量的产物储存在棕色瓶中。在环己烷中的FT-IR显示了Ti-OBu共振造成的在~1100cm-1处的峰的减弱、Ti-OC(=O)R共振造成的在1570cm-1处的新的宽峰和Ti-OC(=O)Rf共振造成的在1650cm-1处的新的宽峰。
合成实施例14
将40克Ti(IV)BTP聚合物、22.5克柠康酸酐和50克PGMEA/PGME 70:30通过搅拌混合并在氮气下在烧瓶中加热。该反应保持在50℃下大约2小时。在该反应容器中缓慢添加在15克PGMEA/PGME 70:30中的2.5克九氟丁烷-1-磺酸。令该反应在50℃下再持续2小时。在冷却至室温后,将具有50%固含量的产物储存在棕色瓶中。质子NMR显示在产物中不存在酸酐。
合成实施例15
将42.6克异丙氧基钛(IV)和33.6克柠康酸酐混合并在氮气下在55℃下加热。该混合物保持在55℃下1小时。在真空下蒸发二酯副产物后获得粘性聚合物产物。
聚合物合成实施例16
将9.7克异丙基六氟异丙醇甲基丙烯酸酯(MA-BTHB-OH)、5.9克甲基丙烯酸2-乙基己酯、3.5克甲基丙烯酸2-羟基乙酯和8.5克甲基丙烯酸缩水甘油酯在120克THF溶剂中混合。聚合反应在氮气下在75℃下在1.0克AIBN的存在下进行20小时。在冷却至室温后,反应混合物在去离子水中沉淀。将白色聚合物固体洗涤并在真空下在45℃下干燥,产量为27.2克(99%)。通过GPC测得的聚合物的Mw为19,100。
聚合物合成实施例17
将9.7克MA-BTHB-OH、5.8克甲基丙烯酸苄酯、5.1克甲基丙烯酸2-羟基乙酯和6.4克甲基丙烯酸缩水甘油酯在120克四氢呋喃(THF)溶剂中混合。该聚合反应在氮气下在75℃下在1.0克AIBN的存在下进行20小时。在冷却至室温后,反应混合物在去离子水中沉淀。将白色聚合物固体洗涤并在真空下在45℃下干燥,产量为26.5克(99%)。通过GPC测得的该聚合物的Mw为19,000。
聚合物合成实施例18
将15.0克MA-BTHB-OH、6.0克苯乙烯、10.0克甲基丙烯酸2-羟丙酯和19.0克甲基丙烯酸缩水甘油酯在200克丙二醇单甲醚乙酸酯(PGMEA)溶剂中混合。该聚合反应在氮气下在75℃下在0.97克AIBN的存在下进行24小时。在冷却至室温后,该反应混合物在去离子水中沉淀。将白色聚合物固体洗涤并在真空下在45℃下干燥,产量为50.0克(>99%)。通过GPC测得的该聚合物的Mw为18,500。
聚合物合成实施例19
将18.5克MA-BTHB-OH和12.3克甲基丙烯酸缩水甘油酯在120克THF溶剂中混合。该聚合反应在氮气下在75℃下在1.0克AIBN的存在下进行20小时。在冷却至室温后,该反应混合物在去离子水中沉淀。将白色聚合物固体洗涤并在真空下在45℃下干燥,产量为30.2克(99%)。
聚合物合成实施例20
将18.5克MA-BTHB-OH、3.5克甲基丙烯酸2-羟基乙酯和8.5克甲基丙烯酸缩水甘油酯在120克THF溶剂中混合。令该聚合反应在氮气下在75℃下在1.0克AIBN的存在下进行20小时。在冷却至室温后,该反应混合物在去离子水中沉淀。将白色聚合物固体洗涤并在真空下在45℃下干燥,产量为29.8克(99%)。
聚合物合成实施例21
将10克丁烷四甲酸二酸酐、7克苯乙二醇、0.5克苄基三丁基氯化铵和35克丙二醇单甲醚乙酸酯(PGMEA)装入具有冷凝器、热控制器和机械搅拌器的烧瓶中。在氮气和搅拌下,将该混合物加热至110℃。在~1-2小时后获得澄清溶液。温度保持在110℃下3小时。在冷却时,将60克PGMEA和36克环氧丙烷与上述溶液混合。该反应保持在50℃下48小时。将反应溶液冷却至室温,并缓慢倾入高速混合机中的大量水中。收集该聚合物并用水充分洗涤。最后在真空烘箱中干燥聚合物。获得16克聚合物,通过GPC测得的Mw为20,000。
合成实施例22
将20.0克异丙醇铪、80.0克乙酸和10.0克去离子水在烧瓶中在氮气下通过搅拌混合10分钟。令该混合物升温至120℃并保持大约2小时。随后加入10.0克去离子水。在加热18小时后,令反应冷却。将反应混合物蒸发以获得白色干燥物质(乙酸氧化铪(Hafnium oxideacetic acid),9.93g)。
将一克乙酸氧化铪、一克甲基丙烯酸和一克PGMEA加入到小瓶中,超声处理5分钟并随后加热至130℃。
向2克该溶液中添加在PGMEA/PGME 70:30中的5克10%由合成实施例17制得的聚合物溶液,并用5克PGMEA/PGME 70:30稀释。该混合物经0.2微米过滤器过滤。
组合物实施例
根据膜厚度要求,在PGMEA/PGME中制备来自合成实施例1-15的金属化合物的1-10重量%溶液。一些制剂含有0.1-1%的γ-戊内酯。在混合物后,在硅晶片上旋涂该溶液并在200-250℃下烘烤大约60至大约120秒。在J.A.Woollam VASE32椭圆计上测量下面的实施例中的抗反射涂层的折射率(n)和消光系数(k)的值。制剂实施例1-15和相应的可溶性多配体取代金属化合物列举在表1中。该制剂通常在全部组合物中含有5.0%的固体。
表1.仅使用来自上文合成实施例的金属化合物的制剂实施例
含有附加聚合物的制剂实施例列举在表2中。
表2.含有附加聚合物与金属化合物的制剂实施例
*Pxane是Pxane-1120,来自SJPC的聚硅氧烷产品。
**In(AA)3获自Aldrich。
测定固化膜中的M%
通过元素分析和TGA失重法(煅烧)来测量金属氧化物膜中的金属重量%。来自两种方法的结果一致。在200-250℃下大约60秒的膜烘烤条件下测得的金属%为大约10至大约70%,取决于制剂中的组成。
评价背衬抗反射膜对溶剂和显影剂的耐受性
在表1和表2所示温度下将PGMEA/PGME 70:30溶剂分散在涂有来自制剂实施例1-22的材料的硅晶片上。在60秒后使用氮气吹扫以便除去该溶剂。未观察到显著的膜损失。在大多数情况下,20℃至40℃的低烘烤温度也能通过(无膜厚度损失)在PGMEA/PGME 70:30溶剂中的浸泡测试。用显影剂2.38重量%的TMAH进行类似的试验。来自表1和2的膜显示出对显影剂的良好耐受性(无膜厚度损失)。如果需要的话,通过降低烘烤温度可以实现在显影剂中的部分膜损失。
制剂水分敏感性测试
制剂实施例6、7、8、10、11的溶液暴露于空气(瓶子敞开)0.5h、1h、5h、8h、10h、14h、16h、20h和24h(h=小时)。在关闭瓶子后,通过周期性涂覆该溶液(例如1周、1个月等)并检查涂层品质/浸泡测试性能对样品施以长期稳定性测试。该制剂旋涂在硅晶片上并如上所述在200-250℃下烘烤60秒。该实施例的膜即使在暴露于空气8小时至24小时后显示了良好涂层品质(无粒子/孔隙)达至少一周。参比材料(Ti(IV)BTP,Aldrich)在大约1小时空气暴露后不稳定达1周,在大约1小时空气暴露后在涂层上观察到粒子。
对LPC粒度测量和缺陷评估的货架期研究
如表3中所示,对25℃下初始、25℃下2周、25℃下3周接着-20℃下1周、25℃下3周接着40℃下1周的制剂实施例10进行液体粒子计数(LPC)和涂层缺陷老化货架期研究。
将制剂实施例10旋涂到Si晶片上。该晶片通过来自Applied Materials的SEMVision CX检查显微镜或通过Zeiss Axiotion II检查显微镜(共焦扫描)检查。在KLA2360晶片检查系统或Surfscan 6220晶片表面分析系统上进行缺陷检查/分析。
初始和制剂老化后均未观察到显著改变,由此该材料可接受地稳定。
表3.制剂和涂层实施例10的LPC和缺陷数据
湿蚀刻速率测试
使用表4中列举的剥离方法测试制剂实施例8。该膜的初始厚度为35纳米。目视或通过测量浸泡前后的膜厚度来测定洗除该膜后记录的剥离时间。在剥离测试后成功除去所有膜。
表4.不同条件下金属氧化物膜的剥离测试结果
剥离溶液 剥离条件
85%磷酸 2分钟@70℃
3%氢氟酸 1分钟@25℃
10%TMAH 1分钟@60℃
10%过氧化氢 1分钟@50℃
NH4OH/H2O2/H2O 1/4/40 30秒@28℃
光刻法评估实施例1
使用2110P光致抗蚀剂(AZ Electronic Materials USA Corp.的产品,Somerville,NJ)评估Ti金属抗反射涂层制剂的性能。用制剂实施例8涂覆硅晶片并在225℃下烘烤60秒以形成43纳米厚的膜。随后使用针对190纳米的EXP AX2110P光致抗蚀剂膜在顶部涂覆并在100℃烘烤60秒。该晶片随后用具有Y-偶极照明的数值孔径(NA)为0.85的193纳米Nikon 306D曝光工具成像,所述Y-偶极照明具有0.85σouter和0.55σinner。曝光的晶片随后在110℃下烘烤60秒并用300MIF显影剂(TMAH)显影30秒。当在扫描电子显微镜下观察时,自上而下和横截面图案在工艺窗口中并未显示出显著的坍塌。采用26mJ/cm2的感光速度(photospeed)在Line/Space 80nm 1:1处观察到良好的图形轮廓。
光刻法评估实施例2
使用2110P光致抗蚀剂(AZ Electronic Materials USA Corp.的产品,Somerville,NJ)评估Ti金属抗反射涂层制剂的性能。用制剂和涂层实施例18涂覆硅晶片并在225℃下烘烤60秒以形成41纳米厚的膜。随后使用针对190纳米的EXP AX2110P光致抗蚀剂膜在顶部涂覆并在100℃烘烤60秒。该晶片随后用具有Y-偶极照明的数值孔径(NA)为0.85的193纳米Nikon 306D曝光工具成像,所述Y-偶极照明具有0.85σouter和0.55σinner。曝光的晶片随后在110℃下烘烤60秒并用300MIF显影剂(TMAH)显影30秒。当在扫描电子显微镜下观察时,自上而下的图案并未显示出显著的坍塌。采用26mJ/cm2的感光速度在L/S 80nm 1:1处观察到良好的图形轮廓(经由横截面扫描电子显微镜)。
光刻法评估实施例3
在浸没式光刻法中对三层方案评估了Ti金属抗反射涂层制剂的性能。硅晶片涂有ArFU98-150(可获自AZ Electronic Materials,70,Meister Ave.Somerville NJ)碳衬层,膜厚度为150纳米,在250℃下烘烤60秒。涂覆制剂和涂层实施例9并在250℃下烘烤60秒以便在碳衬层上形成25纳米厚的膜。随后使用针对120纳米的浸没式光致抗蚀剂膜在顶部涂覆并在120℃下烘烤60秒。该晶片随后使用具有0.979/0.824X极化和1.2的数值孔径(NA)的偶极40Y的193纳米浸没式曝光工具成像。曝光的晶片随后在110℃下烘烤60秒,并使用300MIF显影剂(TMAH)显影30秒。在扫描电子显微镜下观察时,自上而下的图案并未显示出显著的坍塌。采用33mJ/cm2的感光速度在L/S 45nm 1:1处观察到良好的图形轮廓(经由横截面扫描电子显微镜)。
光刻法评估实施例4
使用在University of Albany,在SEMATECH的受激子显微曝光工具(eMET)或在Lawrence Berkeley National Laboratory(LBNL)的显微曝光工具(MET)进行EUV曝光。EUV光致抗蚀剂涂覆在上述衬层的顶部。将其烘烤并用四极或环形照明以0.3的数值孔径(NA)曝光。该MET工具在晶片平面上提供5×缩小,200×600微米的区域。在显影后,用CDSEM自上而下测量和在SEM仪器上摄取的横截面照片评估光刻性能。硅晶片涂有制剂和涂层实施例12并在225℃下烘烤60秒以形成25纳米厚的膜。然后涂覆EUV光致抗蚀剂以获得190纳米的膜。该光致抗蚀剂随后通过EUV辐射曝光。随后将曝光的晶片烘烤和显影。在扫描电子显微镜下观察时,自上而下的图案并未显示出显著的坍塌。采用12.6mJ/cm2的感光速度在L/S30nm 1:1处观察到良好的图形轮廓(经由横截面扫描电子显微镜)。

Claims (11)

1.包含具有以下结构的可溶性多配体取代金属化合物和溶剂的组合物:
其中每个M是金属,附加条件是至少一个M是钛,n为4至20,R1独立地选自C1-C6未取代直链或支链烷基、C1-C6取代直链或支链烷基和R2,R2独立地选自-COR3基团和-SO2R3基团,其中各R3独立地选自C1-C20未取代烷基、C1-C20取代烷基和具有-亚烷基-COOR3’结构的官能化亚烷基,其中R3’独立地选自C1-C6未取代烷基和C1-C6取代烷基,
附加条件是不包括下列化合物:
四(2-乙基己氧基)钛、乳酸钛、二乙氧基二(乙酰乙酸乙酯)钛、二正丙氧基二(乙酰乙酸乙酯)钛、二异丙氧基二(乙酰乙酸乙酯)钛、二正丁氧基二(乙酰乙酸乙酯)钛、二仲丁氧基二(乙酰乙酸乙酯)钛、二叔丁氧基二(乙酰乙酸乙酯)钛、单乙氧基三(乙酰乙酸乙酯)钛、单正丙氧基三(乙酰乙酸乙酯)钛、单异丙氧基三(乙酰乙酸乙酯)钛、单正丁氧基三(乙酰乙酸乙酯)钛、单仲丁氧基三(乙酰乙酸乙酯)钛、单叔丁氧基三(乙酰乙酸乙酯)钛、四(乙酰乙酸乙酯)钛、单(乙酰丙酮根)三(乙酰乙酸乙酯)钛、二(乙酰丙酮根)二(乙酰乙酸乙酯)钛、二乙氧基二(乙酰乙酸乙酯)锆、二正丙氧基二(乙酰乙酸乙酯)锆、二异丙氧基二(乙酰乙酸乙酯)锆、二正丁氧基二(乙酰乙酸乙酯)锆、二仲丁氧基二(乙酰乙酸乙酯)锆、二叔丁氧基二(乙酰乙酸乙酯)锆、单乙氧基三(乙酰乙酸乙酯)锆、单正丙氧基三(乙酰乙酸乙酯)锆、单异丙氧基三(乙酰乙酸乙酯)锆、单正丁氧基三(乙酰乙酸乙酯)锆、单仲丁氧基三(乙酰乙酸乙酯)锆、单叔丁氧基三(乙酰乙酸乙酯)锆、四(乙酰乙酸乙酯)锆、单(乙酰丙酮根)三(乙酰乙酸乙酯)锆、二(乙酰丙酮根)二(乙酰乙酸乙酯)锆、二丁氧基钛二(乙酰乙酸乙酯)、二异丙氧基钛二(乙酰乙酸乙酯)、二辛氧基钛二(甘醇酸亚辛基酯)、二异丙氧基钛二(乙酰乙酸乙酯)、丙二氧基钛二(乙酰乙酸乙酯)、三异硬脂酰基钛酸异丙酯、Ge(OCOCF3)4、Sn(OCOCF3)4、Pb(OCOCF3)4、Zr(OCOCF3)4、Hf(OCOCF3)4、Th(OCOCF3)4和Mo(O2CCF3)4
2.权利要求1的组合物,其中一个或多个M是钛、锆、钽、铅、铪、钼、锗、锡、铁、钴、镍、钨或铂。
3.权利要求1或2的组合物,其中R1、R3或R3’的至少一个是部分或完全氟化的烷基。
4.权利要求1或2的组合物,其中该金属是超过一种金属。
5.权利要求1或2的组合物,其中所述组合物进一步包含有机或硅基可交联聚合物。
6.权利要求1或2的组合物,进一步包含热生酸剂、热生碱剂或热活化过氧化物的至少一种。
7.权利要求1或2的组合物,进一步包含交联添加剂。
8.制造电子器件的方法,包括:
a.将权利要求1至7任一项的组合物施加到基底以形成膜;和
b.烘烤该膜。
9.权利要求8的方法,进一步包括使用剥离剂除去该组合物。
10.权利要求9的方法,其中所述剥离剂选自酸、碱、过氧化物及其混合物。
11.权利要求1的组合物,其中R2是-COR3基团。
CN201380059422.XA 2012-12-07 2013-12-06 稳定的金属化合物、它们的组合物以及它们的使用方法 Active CN104781262B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201811239514.7A CN109180722B (zh) 2012-12-07 2013-12-06 稳定的金属化合物、它们的组合物以及它们的使用方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/707,993 2012-12-07
US13/707,993 US9315636B2 (en) 2012-12-07 2012-12-07 Stable metal compounds, their compositions and methods
PCT/EP2013/075815 WO2014086982A2 (en) 2012-12-07 2013-12-06 Stable metal compounds, their compositions and methods of their use

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201811239514.7A Division CN109180722B (zh) 2012-12-07 2013-12-06 稳定的金属化合物、它们的组合物以及它们的使用方法

Publications (2)

Publication Number Publication Date
CN104781262A CN104781262A (zh) 2015-07-15
CN104781262B true CN104781262B (zh) 2018-11-13

Family

ID=50679986

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201380059422.XA Active CN104781262B (zh) 2012-12-07 2013-12-06 稳定的金属化合物、它们的组合物以及它们的使用方法
CN201811239514.7A Active CN109180722B (zh) 2012-12-07 2013-12-06 稳定的金属化合物、它们的组合物以及它们的使用方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201811239514.7A Active CN109180722B (zh) 2012-12-07 2013-12-06 稳定的金属化合物、它们的组合物以及它们的使用方法

Country Status (8)

Country Link
US (1) US9315636B2 (zh)
EP (1) EP2928899B1 (zh)
JP (1) JP6430954B2 (zh)
KR (2) KR102177184B1 (zh)
CN (2) CN104781262B (zh)
SG (1) SG11201502264SA (zh)
TW (1) TWI627231B (zh)
WO (1) WO2014086982A2 (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5889568B2 (ja) 2011-08-11 2016-03-22 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH 酸化タングステン膜形成用組成物およびそれを用いた酸化タングステン膜の製造法
KR101674989B1 (ko) * 2013-05-21 2016-11-22 제일모직 주식회사 레지스트 하층막용 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
US9201305B2 (en) 2013-06-28 2015-12-01 Az Electronic Materials (Luxembourg) S.A.R.L. Spin-on compositions of soluble metal oxide carboxylates and methods of their use
US9296922B2 (en) * 2013-08-30 2016-03-29 Az Electronic Materials (Luxembourg) S.A.R.L. Stable metal compounds as hardmasks and filling materials, their compositions and methods of use
US9409793B2 (en) 2014-01-14 2016-08-09 Az Electronic Materials (Luxembourg) S.A.R.L. Spin coatable metallic hard mask compositions and processes thereof
US9499698B2 (en) * 2015-02-11 2016-11-22 Az Electronic Materials (Luxembourg)S.A.R.L. Metal hardmask composition and processes for forming fine patterns on semiconductor substrates
US10241409B2 (en) * 2015-12-22 2019-03-26 AZ Electronic Materials (Luxembourg) S.à.r.l. Materials containing metal oxides, processes for making same, and processes for using same
WO2017198418A1 (en) * 2016-05-19 2017-11-23 Asml Netherlands B.V. Resist compositions
JPWO2018168221A1 (ja) * 2017-03-13 2020-01-16 Jsr株式会社 感放射線性組成物及びパターン形成方法
KR102456279B1 (ko) 2017-03-16 2022-10-18 메르크 파텐트 게엠베하 리소그래피 조성물 및 이의 사용 방법
WO2019048393A1 (en) * 2017-09-06 2019-03-14 AZ Electronic Materials (Luxembourg) S.à.r.l. AN INORGANIC OXIDE-CONTAINING VINYL DEPOSITION COMPOSITION USEFUL AS HARD MASKS AND FILLING MATERIALS HAVING ENHANCED THERMAL STABILITY
US11276572B2 (en) * 2017-12-08 2022-03-15 Tokyo Electron Limited Technique for multi-patterning substrates
WO2020169702A1 (en) * 2019-02-22 2020-08-27 Merck Patent Gmbh Spin-on compositions comprising an inorganic oxide component and an alkynyloxy substituted spin-on carbon component useful as hard masks and filling materials with improved shelf life
US20220308455A1 (en) 2019-07-08 2022-09-29 Merck Patent Gmbh Rinse and method of use thereof for removing edge protection layers and residual metal hardmask components

Family Cites Families (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3474054A (en) 1966-09-13 1969-10-21 Permalac Corp The Surface coating compositions containing pyridine salts or aromatic sulfonic acids
US3960954A (en) * 1968-05-27 1976-06-01 Halcon International, Inc. Process for preparing oximes and hydroxylamines
JPS4934735B1 (zh) * 1970-12-26 1974-09-17
JPS497001A (zh) * 1972-05-08 1974-01-22
US4094853A (en) * 1975-05-15 1978-06-13 Kenrich Petrochemicals, Inc. Alkoxy titanate salts useful as coupling agents
CA1103266A (en) * 1975-05-15 1981-06-16 Salvatore J. Monte Alkoxy titanate salts useful as coupling agents
US4251665A (en) 1978-05-22 1981-02-17 King Industries, Inc. Aromatic sulfonic acid oxa-azacyclopentane adducts
US4200729A (en) 1978-05-22 1980-04-29 King Industries, Inc Curing amino resins with aromatic sulfonic acid oxa-azacyclopentane adducts
JPS58110414A (ja) * 1981-12-23 1983-07-01 Tokuyama Soda Co Ltd 無機酸化物及びその製造方法
US4491628A (en) 1982-08-23 1985-01-01 International Business Machines Corporation Positive- and negative-working resist compositions with acid generating photoinitiator and polymer with acid labile groups pendant from polymer backbone
GB8414705D0 (en) * 1984-06-08 1984-07-11 Wiggins Teape Group Ltd Coating substrates
US4861846A (en) * 1985-03-22 1989-08-29 Union Carbidae Corporation Process for simultaneously dimerizing ethylene and copolymerizing ethylene with the dimerized product
JPS6356529A (ja) 1986-08-27 1988-03-11 Nissan Chem Ind Ltd 新規チタノシロキサン重合体の製造法
JPH01113475A (ja) * 1987-07-06 1989-05-02 Nippon Soda Co Ltd 塗装面の処理剤
JPH02105874A (ja) * 1988-10-13 1990-04-18 Mitsui Mining & Smelting Co Ltd 導電塗料用銅粉の製造方法
US5178989A (en) 1989-07-21 1993-01-12 Board Of Regents, The University Of Texas System Pattern forming and transferring processes
US5026155A (en) * 1989-09-06 1991-06-25 Air Products And Chemicals, Inc. Process for sizing particles using condensation nucleus counting
JPH03138922A (ja) 1989-10-24 1991-06-13 Matsushita Electric Ind Co Ltd 微細パターン形成方法
DE69125634T2 (de) 1990-01-30 1998-01-02 Wako Pure Chem Ind Ltd Chemisch verstärktes Photolack-Material
US5187019A (en) 1991-09-06 1993-02-16 King Industries, Inc. Latent catalysts
FR2693455B1 (fr) * 1992-07-09 1994-09-30 Inst Francais Du Petrole Procédé de fabrication d'oléfines alpha légères par oligomérisation de l'éthylène.
JPH0632756A (ja) 1992-07-14 1994-02-08 Ube Ind Ltd ビス(ヒドロキシフェニル)メタン類の製造方法
JPH0931385A (ja) * 1995-07-19 1997-02-04 Sakata Corp 印刷インキ組成物
US5843624A (en) 1996-03-08 1998-12-01 Lucent Technologies Inc. Energy-sensitive resist material and a process for device fabrication using an energy-sensitive resist material
US5772978A (en) 1996-04-24 1998-06-30 Minnesota Mining And Manufacturing Company Process for producing tungsten oxide
US6808859B1 (en) 1996-12-31 2004-10-26 Hyundai Electronics Industries Co., Ltd. ArF photoresist copolymers
US5879859A (en) 1997-07-16 1999-03-09 International Business Machines Corporation Strippable photoimageable compositions
JP2000010293A (ja) 1998-06-17 2000-01-14 Jsr Corp 反射防止膜形成用組成物および反射防止膜
US6849377B2 (en) 1998-09-23 2005-02-01 E. I. Du Pont De Nemours And Company Photoresists, polymers and processes for microlithography
US6790587B1 (en) 1999-05-04 2004-09-14 E. I. Du Pont De Nemours And Company Fluorinated polymers, photoresists and processes for microlithography
US6348299B1 (en) 1999-07-12 2002-02-19 International Business Machines Corporation RIE etch resistant nonchemically amplified resist composition and use thereof
AU2001274579A1 (en) 2000-06-21 2002-01-02 Asahi Glass Company, Limited Resist composition
US6242156B1 (en) 2000-06-28 2001-06-05 Gary Ganghui Teng Lithographic plate having a conformal radiation-sensitive layer on a rough substrate
US6447980B1 (en) 2000-07-19 2002-09-10 Clariant Finance (Bvi) Limited Photoresist composition for deep UV and process thereof
US20020155389A1 (en) 2000-10-24 2002-10-24 Bharath Rangarajan Inverse resist coating process
KR100776551B1 (ko) 2001-02-09 2007-11-16 아사히 가라스 가부시키가이샤 레지스트 조성물
US6723488B2 (en) 2001-11-07 2004-04-20 Clariant Finance (Bvi) Ltd Photoresist composition for deep UV radiation containing an additive
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6872506B2 (en) 2002-06-25 2005-03-29 Brewer Science Inc. Wet-developable anti-reflective compositions
US6740469B2 (en) 2002-06-25 2004-05-25 Brewer Science Inc. Developer-soluble metal alkoxide coatings for microelectronic applications
JP2004179254A (ja) 2002-11-25 2004-06-24 Renesas Technology Corp 半導体装置の製造方法
US20040171743A1 (en) 2003-01-21 2004-09-02 Terry Brewer, Ph.D. Hybrid organic-inorganic polymer coatings with high refractive indices
US7378453B2 (en) 2003-03-14 2008-05-27 Nippon Shokubai Co., Ltd. Surface crosslinking treatment method of water-absorbing resin powder
CN100548576C (zh) 2003-04-25 2009-10-14 Jsr株式会社 抛光垫和化学机械抛光方法
JP4131864B2 (ja) 2003-11-25 2008-08-13 東京応化工業株式会社 化学増幅型ポジ型感光性熱硬化性樹脂組成物、硬化物の形成方法、及び機能素子の製造方法
CN1902550B (zh) 2003-12-26 2012-07-18 日产化学工业株式会社 形成硬掩模用涂布型氮化膜的组合物
JP4620967B2 (ja) 2004-04-26 2011-01-26 太陽ホールディングス株式会社 永久穴埋め用熱硬化性樹脂組成物
ITPG20040013A1 (it) 2004-04-30 2004-07-30 Fuma Tech Gmbh Soluzioni organiche di precursori di fosfati e pirofosfati di metalli tetravalenti e loro impiego per la modificazione di elettrodi e per la preparazione di membrane composite per celle a combustibile operanti a temperature >900 centigradi e/o a bass
JP4461901B2 (ja) 2004-05-11 2010-05-12 Tdk株式会社 ホログラム記録材料及びホログラム記録媒体
JP4811757B2 (ja) 2004-09-30 2011-11-09 独立行政法人産業技術総合研究所 メソポーラス金属酸化物複合光導波路センサー、その製造方法及びそれを用いたガスセンサー
JP2006312600A (ja) * 2005-05-09 2006-11-16 Adeka Corp 金属化合物、薄膜形成用原料、薄膜の製造方法及び薄膜
US7563549B2 (en) 2005-05-20 2009-07-21 Xerox Corporation Imaging member
WO2006129619A1 (ja) 2005-06-03 2006-12-07 Kuraray Co., Ltd. ガスバリア性積層体およびその製造方法ならびにそれを用いた包装体
KR100666477B1 (ko) 2005-06-16 2007-01-11 한국과학기술연구원 산화티타늄 나노로드 및 그의 제조방법
US7326442B2 (en) 2005-07-14 2008-02-05 International Business Machines Corporation Antireflective composition and process of making a lithographic structure
JP4437226B2 (ja) 2005-08-30 2010-03-24 国立大学法人 新潟大学 光触媒膜の製造方法
CN101263177B (zh) 2005-09-13 2011-04-13 新日铁化学株式会社 热固性树脂组合物
JP4553835B2 (ja) 2005-12-14 2010-09-29 信越化学工業株式会社 反射防止膜材料、及びこれを用いたパターン形成方法、基板
US20090239080A1 (en) 2006-08-29 2009-09-24 Jsr Corporation Photosensitive insulation resin composition and cured product thereof
JP4204611B2 (ja) 2006-09-25 2009-01-07 信越化学工業株式会社 フォトマスクブランクの製造方法
US8168372B2 (en) 2006-09-25 2012-05-01 Brewer Science Inc. Method of creating photolithographic structures with developer-trimmed hard mask
US7416834B2 (en) 2006-09-27 2008-08-26 Az Electronic Materials Usa Corp. Antireflective coating compositions
FR2916199B1 (fr) * 2007-05-14 2012-10-19 Inst Francais Du Petrole Procede d'oligomerisation des olefines utilisant une composition catalytique comprenant un complexe organometallique contenant un ligand phenoxy fonctionnalise par un hetero-atome
BRPI0818584B1 (pt) * 2007-10-17 2019-05-28 Basf Se Uso de um composto catalisador latente, composição polimerizável, processo para polimerização de compostos, uso da composição polimerizável, substrato revestido, composição polimerizada ou reticulada, e, composto catalisador latente
US8039201B2 (en) 2007-11-21 2011-10-18 Az Electronic Materials Usa Corp. Antireflective coating composition and process thereof
JP5101541B2 (ja) 2008-05-15 2012-12-19 信越化学工業株式会社 パターン形成方法
JP5503916B2 (ja) 2008-08-04 2014-05-28 富士フイルム株式会社 レジスト組成物及びそれを用いたパターン形成方法
WO2010021030A1 (ja) 2008-08-20 2010-02-25 富士通株式会社 レジスト増感膜形成用材料、半導体装置の製造方法、半導体装置、及び磁気ヘッド
JP5336306B2 (ja) 2008-10-20 2013-11-06 信越化学工業株式会社 レジスト下層膜形成方法、これを用いたパターン形成方法、及びレジスト下層膜材料
WO2010047109A1 (ja) 2008-10-23 2010-04-29 国立大学法人三重大学 ポリオルガノシロキサン組成物およびその硬化体
ES2720595T3 (es) * 2009-09-15 2019-07-23 Basf Se Catalizadores quelantes de titanio fotolatentes
EP2479615B1 (en) 2009-09-16 2014-04-23 Nissan Chemical Industries, Ltd. Silicon-containing composition having sulfonamide group for forming resist underlayer film
JP5721992B2 (ja) 2009-10-14 2015-05-20 富士フイルム株式会社 着色硬化性組成物、レジスト液、インクジェット用インク、カラーフィルタ、カラーフィルタの製造方法、固体撮像素子、液晶ディスプレイ、有機elディスプレイ、画像表示デバイス、及び色素化合物
JP2011083820A (ja) * 2009-10-16 2011-04-28 Toshikatsu Kizaki ベルトプレス式脱水機の丸線形スクレーパ装置
JP2013519805A (ja) 2010-02-15 2013-05-30 コーネル ユニバーシティ 静電紡糸装置及びそれにより製造されるナノファイバー
EP2400304A1 (en) 2010-06-22 2011-12-28 Centro de Investigación Cooperativa En Biomateriales ( CIC biomaGUNE) Method for the characterization of intermolecular interactions
JP5266294B2 (ja) 2010-11-01 2013-08-21 信越化学工業株式会社 レジスト下層膜材料及びこれを用いたパターン形成方法
WO2012099134A1 (ja) 2011-01-18 2012-07-26 日立化成工業株式会社 樹脂組成物、これを用いたプリプレグ、積層板及びプリント配線板
WO2012118847A2 (en) 2011-02-28 2012-09-07 Inpria Corportion Solution processible hardmarks for high resolusion lithography
JP2012237823A (ja) 2011-05-10 2012-12-06 Konica Minolta Business Technologies Inc 電子写真感光体、それを含むプロセスカートリッジおよび画像形成装置
US8568958B2 (en) 2011-06-21 2013-10-29 Az Electronic Materials Usa Corp. Underlayer composition and process thereof
JP5889568B2 (ja) 2011-08-11 2016-03-22 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH 酸化タングステン膜形成用組成物およびそれを用いた酸化タングステン膜の製造法
US9201305B2 (en) 2013-06-28 2015-12-01 Az Electronic Materials (Luxembourg) S.A.R.L. Spin-on compositions of soluble metal oxide carboxylates and methods of their use
US9296922B2 (en) 2013-08-30 2016-03-29 Az Electronic Materials (Luxembourg) S.A.R.L. Stable metal compounds as hardmasks and filling materials, their compositions and methods of use
CN104567030B (zh) * 2014-12-24 2016-09-21 锦州东大太阳能工程技术有限公司 太阳能聚光传输装置及其太阳能锅炉光热发电系统

Also Published As

Publication number Publication date
CN104781262A (zh) 2015-07-15
JP2016508963A (ja) 2016-03-24
CN109180722A (zh) 2019-01-11
TWI627231B (zh) 2018-06-21
KR102177184B1 (ko) 2020-11-11
US20140159278A1 (en) 2014-06-12
TW201443159A (zh) 2014-11-16
EP2928899A2 (en) 2015-10-14
US9315636B2 (en) 2016-04-19
KR20200094232A (ko) 2020-08-06
KR20150092737A (ko) 2015-08-13
SG11201502264SA (en) 2015-04-29
WO2014086982A3 (en) 2014-08-28
JP6430954B2 (ja) 2018-11-28
KR102302645B1 (ko) 2021-09-15
CN109180722B (zh) 2021-01-01
EP2928899B1 (en) 2020-09-09
WO2014086982A2 (en) 2014-06-12

Similar Documents

Publication Publication Date Title
CN104781262B (zh) 稳定的金属化合物、它们的组合物以及它们的使用方法
JP7050137B2 (ja) ハードマスクおよび充填材料として安定な金属化合物、その組成物、およびその使用方法
CN105209973B (zh) 可溶性金属氧化物羧酸盐的旋涂组合物及其使用方法
CN106170737B (zh) 抗反射涂料组合物及其方法
JP6122426B2 (ja) 下層組成物及びそれの方法
TWI541265B (zh) 抗反射塗料組合物及其方法
TW200819919A (en) Antireflective coating compositions comprising siloxane polymer
TWI477529B (zh) 近紅外光吸收層形成性組成物及多層膜
TW201011078A (en) An antireflective coating composition
TW200933302A (en) An antireflective coating composition and process thereof
TW200836012A (en) Positive type photosensitive resin composition
TW201137525A (en) Antireflective compositions and methods of using same
TW201140250A (en) Antireflective coating composition and process thereof
TW201133137A (en) Positive-type radiation-sensitive composition, cured film and method for forming same
TWI689555B (zh) 包含金屬氧化物之材料、其製造方法及其使用方法
TW202307120A (zh) 抗蝕劑底層膜形成用組成物、半導體基板的製造方法及抗蝕劑底層膜的形成方法
JP2022100618A (ja) レジスト下層膜形成用組成物及半導体基板の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
CB02 Change of applicant information
CB02 Change of applicant information

Address after: Luxemburg L-1648 II 46 square,

Applicant after: AZ ELECTRONIC MATERIALS (LUXEMBOURG) S.A.R.L.

Address before: Luxemburg Luxemburg

Applicant before: AZ ELECTRONIC MATERIALS (LUXEMBOURG) S.A.R.L.

GR01 Patent grant
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20201215

Address after: Darmstadt

Patentee after: AZ Electronic Materials Co.,Ltd.

Address before: Lu Senbaolusenbao

Patentee before: AZ Electronic Materials Co.,Ltd.

Effective date of registration: 20201215

Address after: Lu Senbaolusenbao

Patentee after: AZ Electronic Materials Co.,Ltd.

Address before: Lu Senbaolusenbao

Patentee before: Wisdom Buy

Effective date of registration: 20201215

Address after: Lu Senbaolusenbao

Patentee after: Wisdom Buy

Address before: Luxemburg L-1648 II 46 square,

Patentee before: AZ ELECTRONIC MATERIALS (LUXEMBOURG) S.A.R.L.

Effective date of registration: 20201215

Address after: Darmstadt

Patentee after: MERCK PATENT GmbH

Address before: Darmstadt

Patentee before: AZ Electronic Materials Co.,Ltd.