JP6430954B2 - 安定な金属化合物、その組成物、およびその使用方法 - Google Patents

安定な金属化合物、その組成物、およびその使用方法 Download PDF

Info

Publication number
JP6430954B2
JP6430954B2 JP2015546033A JP2015546033A JP6430954B2 JP 6430954 B2 JP6430954 B2 JP 6430954B2 JP 2015546033 A JP2015546033 A JP 2015546033A JP 2015546033 A JP2015546033 A JP 2015546033A JP 6430954 B2 JP6430954 B2 JP 6430954B2
Authority
JP
Japan
Prior art keywords
group
composition
coor
metal
substituted
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2015546033A
Other languages
English (en)
Other versions
JP2016508963A (ja
Inventor
ヤオ・フェイロン
ラーマン・エム・ダリル
ミューレン・セイレム・ケイ
チョ・ジュンヨン
アニャディグヴ・クレメント
パドマナバン・ミュニラスナ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
AZ Electronic Materials Luxembourg SARL
Original Assignee
AZ Electronic Materials Luxembourg SARL
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by AZ Electronic Materials Luxembourg SARL filed Critical AZ Electronic Materials Luxembourg SARL
Publication of JP2016508963A publication Critical patent/JP2016508963A/ja
Application granted granted Critical
Publication of JP6430954B2 publication Critical patent/JP6430954B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/28Titanium compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F3/00Compounds containing elements of Groups 2 or 12 of the Periodic Table
    • C07F3/02Magnesium compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic Table
    • C07F5/06Aluminium compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/24Lead compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/90Antimony compounds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G79/00Macromolecular compounds obtained by reactions forming a linkage containing atoms other than silicon, sulfur, nitrogen, oxygen, and carbon with or without the latter elements in the main chain of the macromolecule
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L85/00Compositions of macromolecular compounds obtained by reactions forming a linkage in the main chain of the macromolecule containing atoms other than silicon, sulfur, nitrogen, oxygen and carbon; Compositions of derivatives of such polymers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
  • Polymers With Sulfur, Phosphorus Or Metals In The Main Chain (AREA)

Description

本発明は、安定性が改善された可溶性のマルチ−リガンド−置換された金属化合物、およびそれらから製造された組成物、およびそれらの使用方法に関する。
金属酸化物フィルムは、リソグラフィハードマスク、反射防止コーティング(反射防止膜)の下層、および電気光学装置などの半導体工業における多くの用途において有用である。
フォトレジスト組成物は、コンピュータチップ及び集積回路の製造などにおいて、微細化された電子部品の製造のためのマイクロリソグラフィプロセスに使用されている。一般的に、フォトレジスト組成物の薄膜コーティングを、集積回路を製造するために使用されるシリコンベースウェハなどの基板に塗布する。次いで、この被膜された基材をベーク処理して、フォトレジストから所望の溶剤を除去する。その後、可視光線、紫外線(UV)、電子ビーム、粒子ビーム及びX線放射エネルギーなどの化学線による像様露光に付す。
この放射は、フォトレジストの露光された領域において化学的な変化を引き起こす。露光されたコーティングが現像剤で処理され、フォトレジストの放射線露光された領域または露光されていない領域のいずれかを溶解し、除去する。
半導体デバイスは微細化される傾向にあり、このような微細化に伴う問題を克服するために、より一層短い波長の放射線に感度を示す新しいフォトレジストや、精巧なマルチレベルシステムが使用されている。
フォトリソグラフィにおける吸光性反射防止コーティング及び下層が、しばしば高反射である基材からの光の反射する放射により生ずる問題を軽減するために使用されている。反射された放射は薄膜干渉作用および反射ノッチングを生じる。薄膜干渉または定在波は、フォトレジストの厚さが変化する際のフォトレジスト被膜中の光度全体の変動により生じる臨界線幅寸法(critical line width dimensions)の変化をもたらす。反射および入射露光放射線の干渉は、厚みを介して放射線の均一性をひずませる定在波作用の原因となり得る。反射ノッチングは、フォトレジスト被膜に光を散乱させるトポグラフィ形態(topographical features)を含有する反射基板上でフォトレジストをパターニングする場合に、深刻になり、線幅の変動をもたらし、極端な場合には、所望の範囲を完全に失われた領域さえ生じる。フォトレジストの下かつ反射性基板の上にコーティングされた反射防止コーティングフィルムは、フォトレジストのリソグラフィ性能に著しい改善をもたらす。典型的には、底部反射防止コーティングを基板上に施与し、そして硬化し、フォトレジストの層に適用する。フォトレジストを像様露光して現像する。次いで、露光領域の反射防止コーティングを典型的には、様々なエッチング・ガスを使用してドライ・エッチングして、フォトレジスト・パターンを基板に転写する。
難揮発性元素を高い量で含む下層は、反射防止膜としてだけでなくハードマスクとしても使用することができる。上にあるフォトレジストが下にある半導体基板に像を転写するためのドライ・エッチングに十分に高い耐性を与えることができない場合、ハードマスクが有効である。そのような状況においては、あらゆるパターンを転写するのに十分な高い耐エッチング性を有するハードマスクと呼ばれる材料が、下にある半導体基板のその上に作成される。有機フォトレジストがしたいにあるハードマスクと異なるためこれが作成可能となり、そして、フォトレジスト中の像を死体にあるハードマスクに転写させるであろうエッチング・ガス混合物を見出すことができる。次いで、パターン化されたハードマスクは、像をハードマスクから半導体基板に転写するために、適切なエッチング条件およびガス混合物と共に使用することができ、フォトレジストそれ自体では単一エッチング工程によっては達成できない課題である。
複数の反射防止層および下層が、新たなリソグラフィ技術では使用されている。フォトレジストが十分なドライ・エッチング耐性をもたらさない場合には、ハードマスクとして機能し、基板エッチングの間に高度なエッチング耐性を示すフォトレジスト用の下層または反射防止コーティングが好ましい。1つの手法は、有機フォトレジスト層の下の層にシリコン、チタンまたは他の金属材料を組み込むことであった。加えて、別の高炭素含分反射防止またはマスク層を、シリコン反射防止層の下に置き、例えば、高炭素フィルム/ハードマスクフィルム/フォトレジストの3層を使用し、イメージング・プロセスのリソグラフィ性能を改善する。従来のハードマスクは、化学気相蒸着、例えば、スッパタリングにより堆積することができる。しかしながら、前記の従来の方法に対して比較的簡便なスピンコーティングにより、非常に好ましいことに、フィルム中の高い濃度の金属材料を含む、新しいスピンオンハードマスクまたは反射防止膜を形成させることができる。
米国特許第4,491,628号 米国特許第5,350,660号 米国特許第5,843,624号 米国特許第6,866,984号
半導体用途のための金属酸化物を含む下層組成物は、反射防止特性だけでなくドライ・エッチング耐性を与えることが示されている。しかしながら、金属アルコキシドなどの金属酸化物フィルムを形成するための従来の可溶性金属化合物は、空気中の湿気に対して非常に不安定であることが見出されており、貯蔵寿命の安定性、コーティング問題およびコーティング性能の欠点など多くの問題を引き起こす。金属酸化物は、半導体産業において典型的に使用され適用される溶剤への可溶性の問題を有している。したがって、有機溶剤に可溶であり、空気に露出した後においてさえ安定な金属化合物を含み、さらに、金属酸化物を形成するためのフィルムの硬化の後に化学溶液中で剥離可能である、スピンオンハードマスク、反射防止膜および他の下層に対する特別な需要が存在する。
本発明は、改善された安定性を有する金属酸化物フィルムを形成できる新規の可溶性マルチ−リガンド−置換された(複数の配位子で置換された)酸化金属化合物、およびそれらから製造された組成物、およびそれらの使用方法に関する。
第1の実施形態例において、好ましくはここで開示され請求されるのは、以下の構造の可溶性マルチ−リガンド−置換された金属化合物であり:
Figure 0006430954
ここで、nは約1〜約20であり、Rは、独立に、C−Cの置換または非置換の分岐鎖または直鎖のアルキル基、またはR,であり、各Rは、同一または異なっており、そして、少なくとも一つの置換または非置換の芳香族基またはヘテロ芳香族基、C−C20の置換または非置換の分岐鎖または直鎖のアルキルまたはアルケニル基、−COR基、−N(R基、−SO基、−SOR基、又は、−SR基であり、ここで、各Rは、同一または異なっており、そして、置換または非置換の芳香族基またはヘテロ芳香族基または、置換または非置換の分岐鎖または直鎖のC−C20アルキル基、アルケニル基、または−アルキレン−COOR’もしくは-アルケニレン−COOR’により官能化された基であり、ここで、R’は、C−C置換または非置換、分岐鎖または直鎖のアルキル基であり;ここで少なくとも一つの配位子(リガンド)が、金属化合物の安定化に寄与する。これらの新規の金属化合物は、空気中に露出されたときでさえ安定であり、また、化学溶液中において剥離可能である。
さらなる実施形態例において、ここで開示され請求されるのは、上記の実施形態例の可溶性マルチ−リガンド−置換された金属化合物であり、ここで、金属は、チタン、ジルコニウム、タンタル、鉛、アンチモン、タリウム、インジウム、イッテルビウム、ガリウム、ハフニウム、アルミニウム、マグネシウム、モリブデン、ゲルマニウム、スズ、鉄、コバルト、ニッケル、銅、亜鉛、金、銀、カドミウム、タングステンまたは白金である。
さらなる実施形態例において、ここで開示され請求されるのは、上記の実施形態例の可溶性マルチ−リガンド−置換された金属化合物であり、ここで、金属は、同一であるか、nが約2〜約20である場合、一つより多い金属である。
さらなる実施形態例において、ここで開示され請求されるのは、上記の実施形態例の可溶性マルチ−リガンド−置換された金属化合物であり、ここで、Rの一つは、部分的もしくは完全にフッ素化されたアルキル基であり、および/または、ここで、Rの一つは、部分的もしくは完全にフッ素化されたアルキル、アルケニル、芳香族基またはヘテロ芳香族基である。
さらにさらなる実施形態例において、ここで開示され請求されるのは、上記の実施形態例の金属化合物、少なくとも一つの溶剤、およびnが約1〜約20である場合、有機ベースもしくはケイ素ベースの架橋可能なポリマーを任意に含有する組成物である。
さらにさらなる実施形態例において、ここで開示され請求されるのは、上記の実施形態例の金属化合物、少なくとも一つの溶剤、およびnが1である場合、有機ベースもしくはケイ素ベースの架橋可能なポリマーを任意に含有する組成物である。
さらにさらなる実施形態例において、ここで開示され請求されるのは、少なくとも一つの熱酸発生剤、熱ベースの発生剤、または熱活性過酸化物をさらに含む上記実施形態例の組成物である。
さらにさらなる実施形態例において、ここで開示され請求されるのは、上記の実施形態例のいずれかの組成物を基板上に適用し、約120℃〜約350℃で約30〜約120秒間ベーク処理し、任意に剥離液を使用して組成物を除去するステップを含む電子装置の製造方法である。
図1A〜1lは、ここで開示される可溶性マルチ−リガンド−置換された金属化合物の例である。 図2は、可溶性マルチ−リガンド−置換された金属錯体の例を示す。 図3は、製剤中で使用される有機ポリマーの例を示す。
ここで使用される接続詞「および(ならびに)」は包括することを意図し、そして、「または(もしくは)」は特に示されない限り排他的であることを意図しない。例えば、「または、それに代わって」という語句は、排他的であることを意図する。
ここで使用される用語「および/または」は、単一の要素を使用することも含み、先に示される要素のあらゆる組合せを示す。
ここで使用される用語「アルキル」は、直鎖または環状アルキル置換基およびそれらの分岐異性体を示す。
ここで使用される用語「アルケニル」は、不飽和の炭素−炭素結合を含む直鎖または環状アルケニル置換基およびそれらの分岐異性体を示す。
ここで使用される用語「アルキレン」は、直鎖または環状アルキレン置換基およびそれらの分岐異性体を示す。
ここで使用される用語「アルケニレン」は、不飽和の炭素−炭素結合を含む直鎖または環状アルケニレン置換基およびそれらの分岐異性体を示す。
ここで使用される用語「シリコンベースポリマー」は、シリコンポリマーおよびオルガノシリコンポリマーを示し、ダイマー、トリマーなどの低ポリマー材料を含む。
ここで使用される用語「組成物」および「製剤」は交換可能に使用され同じ事項を意味する。
ここで開示され請求されるのは、以下の構造の可溶性マルチ−リガンド−置換された金属化合物であり:
Figure 0006430954
金属Mは、例えば、チタン、ジルコニウム、タンタル、鉛、アンチモン、タリウム、インジウム、イッテルビウム、ガリウム、ハフニウム、アルミニウム、マグネシウム、モリブデン、ゲルマニウム、スズ、鉄、コバルト、ニッケル、銅、亜鉛、金、銀、カドミウム、タングステンおよび白金、ならびに他の遷移金属を含む適切な金属のリストから選択できる。金属化合物は、nが約1であり、好ましくは1である単原子から成るとよい。金属化合物は、nが約2〜約20、好ましくは2〜20であり、2以上の金属−酸素単位の鎖が形成される、多原子であることもできる。多原子化合物は、例えば、チタンなどの唯一つの金属原子のみを含むことができ、または、例えばケイ素およびジルコニウムのように、金属−オキソ骨格中に分散された他の金属を含むことができる。混合された金属多原子の金属化合物の各金属の量は、最終の硬化された金属酸化層の所望の特性に応じて0.001%〜99.999%の範囲とすることができる。これらの新規の金属化合物は、空気に露出した後においてさえ安定であり、また、化学溶液中で剥離可能である
は、C−Cアルキル基、ならびに、それらの分岐および/または環状異性体、または、非置換であってもよく、例えば、ハロゲン(フッ素、塩素、臭素など)で置換されていてもよく、Rは、Rであってもよい。さらに、二つのR基は、例えば、アセチルアセトン、アセチルアセタート、および他のジケトンなどの同じリガンド(配位子)の部分であってもよい。
各Rは、同一でも異なっていてもよい。これらは、置換または非置換の芳香族基とすることができ、例えば、フェニル基、ナフチル基、アントラセニル基、およびそれの類似基などである。また、Rは、複素芳香族基、例えば、窒素複素環、例えば、ピリジン、ピロール、ピラジンとすることができ、または、硫黄複素環、例えば、チオフェンとすることができる。Rは、C−C20置換または非置換のアルキルまたはアルケニル基、または、それらの分岐および/または環状異性体とすることができる。また、これらは、例えば、フッ素などのハロゲンで置換されていてもよい。さらに、Rは、−COR基、−N(R基、−SO基、−SOR基または、−SR基とすることができ、ここで、Rは、同一または異なっており、置換または非置換の芳香族基またはヘテロ芳香族基、または、置換または非置換の分岐、非分岐、および/または環状C−C20アルキル基、アルケニル基、または−アルキレン−COOR’若しくは−アルケニレン−COOR’の構造により官能化されたアルキレンであり、ここでR’は、C−Cの、置換または非置換の、分岐鎖または直鎖のアルキル若しくは環状基である。
のモル当量は、Rリガンドのサイズ、揮発度、および疎水性に応じて、上記構造中において配位されたリガンドの全モルに対して>10%である。通常、Rの量は、全リガンド(R+R)の最大100モル%まで、もしくは40〜80モル%とすることができる。キレート剤などの他のリガンドを、金属化合物を安定化させるために使用することもできる。キレート剤の例としては、アセチルアセトン、ベンゾイルアセトン、4,4,4−トリフルオロ−1−フェニル−1,3−ブタンジオネート、および、エチルアセトアセテートである。
このように、各Rは、同一でも異なっていてもよく、さらに、非置換のエステル、例えば、−CO−CH=CH−COOR’もしくは−CO−CH=C(CH)−COOR’、または、芳香族エステル、例えば、−CO−C−COOR’、アルキルエステル、例えば、−CO−CHCH−COOR’もしくは−CO−CHCHCH−COOR’、または、環状脂肪族エステル、例えば、−CO−C10−COOR’、ここで、C10はシクロヘキシル基である。
驚くべきことに、上記のマルチ−リガンド−置換された金属酸化物は、下記表3に示すように、従来の金属酸化物、例えば、Ti(O−ブチル)と比較して、湿気および空気に対して非常に安定であったことを見出した。さらに、金属酸化物に様々な種類のリガンドを添加することにより、複合組成物を使用する場合うにおいて、スピン−オンコーティングまたは他の成分を溶解させるのに使用する様々な溶剤に対して、それらが可溶になる。さらに、下層のコーティングに従来の金属酸化物の代わりにこれらの金属化合物を使用した場合、被覆(コーティング)性能が改善した。
ここで開示されるマルチ−リガンド−置換された金属酸化物の製造は、下記に示すよう、それらのアルコキシド、またはアセチルアセトネート(acac)から製造される。ここで、アルコキシまたはacac金属化合物を、無水物、環状無水物、カルボン酸、スルホン酸、アミド、ヒドロキシルアミン、スルホン酸塩、スルフィン酸塩、スルフェン酸塩、アクリル酸および/またはメタクリル酸と反応させアルコキシ基またはacac基の部分を置換する。他の高分子量アルコキシ金属化合物、またはリガンド上に特定の官能基を有するそれらは、高分子量の若しくは官能化されたアルコールから得ることができる。反応におけるリガンド置換の標的量としては、置換するリガンドのサイズ、揮発度、溶解度および疎水性に応じて、全配意サイトの10モル%より多い。
留意すべきことは、一つより多いリガンドを、所望のアルコキシ金属と反応させるのに使用できることである。
ここで開示される可溶性マルチ−リガンド−置換された金属酸化物は、図1−2中に示した。
ここで開示される可溶性マルチ−リガンド−置換された金属酸化物は、半導体産業とその関連産業に使用される酸化金属含有層の製造に使用される。上記化合物式中nが約2〜約20、例えば、約4〜約20の場合、適切な溶剤に溶解でき、他の添加物なしに使用することができる。nが1である場合、付加的フィルムが形成した有機もしくはシリコンベースのポリマー、例えば、ポリ(メタ)アクリル、ポリ(メタ)アクリレートおよび、縮合ポリマー、例えば、ポリエステル、ノボラック樹脂、シロキサン樹脂もしくはオルガノシルセスキオキサンが使用される。硬化後の最終フィルムの所望の特性に応じて、これらのポリマーは単独で、もしくは、他のものと組み合わせて使用することができる。これらのポリマーは、一般に、多くの同一または異なっている架橋置換基、例えば、エポキシ、ヒドロキシ、チオール、アミン、アミド、イミド、エステル、エーテル、尿素、カルボン酸、無水物およびその同等物、のいずれかを含む架橋ポリマーである。架橋基の他の例としては、グリシジルエーテル基、グリシジルエステル基、グリシジルアミノ基、メトキシメチル基、エトキシメチル基、ベンジルオキシメチル基、ジメチルアミノメチル基、ジエチルアミノメチル基、ジメチロールアミノメチル基、ジエチロールアミノメチル基、モルホリノメチル基、アセトキシメチル基、ベンジルオキシメチル基、ホルミル基、アセチル基、ビニル基およびイソプロペニル基を含む。
n=1の場合、有機もしくはシリコンベースの架橋可能なポリマーを金属成分と混合する。nが約2〜約20の場合、任意に有機もしくはシリコンベースの架橋可能なポリマーを金属成分と混合することができる。有機ポリマーは、例えば、ヒドロキシ、エポキシ、アミド、尿素またはカルボンサンなどの架橋可能な官能基を含む。架橋可能なポリマーの例を図3中に示す。シリコンベースのポリマーはとしては、例えば、架橋可能なポリシロキサンである。有機ポリマーとしては、ポリビニル、ポリアクリル、ポリエステルなどとすることができる。
新規の組成物の有機ポリマーは、構造(1)のフルオロアルコール基を有する単位、および構造(2)のエポキシ基を含む単位を含むことができ、
Figure 0006430954
ここで、R〜Rは、独立して、水素およびC−Cアルキルから選択され、Wは、二価結合基(例えば、アリーレン、カルボニルオキシ(−C(=O)−O−)およびカルボニルオキシアルキレン(−C(=O)−O−W−)、ここで、Wは、C〜C20アルキレン部分、完全にフッ素化された(C−C20)アルキレン基、および部分的にフッ素化された(C−C20)アルキレン基)であり、RfおよびRfは、独立して、完全にもしくは部分的にフッ素化された(C−C)アルキル基であり;Wは、アリーレン結合基、カルボニル(−(C=O))結合基、およびカルボニルオキシアルキレン結合基(−C(=O)−O−W−)であり、ここで、Wは、C〜Cアルキレン部分、フッ素化(C−C)アルキレン基および部分的にフッ素化された(C−C)アルキレン基からなる群から選択され;ならびに、Wは、(C−C)アルキレン部分である。当該ポリマーは、構造1および構造2を有する単量体単位のみを含むことができ、または、任意に、他の単量体単位を含むことができる。WおよびWの特定の例としては、独立して、メチレン、エチレン、プロピレン、イソプロピレン、および1−ヘキシルエチレン、ペンタフルオロプロピレン、2,2、2−トリフルオロエチレおよびヘプタフルオロブチレンである。RfおよびRfの特定の例としては、トリフルオロメチル、ペンタフルオロプロピルおよび2,2,2−トリフルオロエチル、ヘプタフルオロブチルである。Wの特定の例としては、メチレン、エチレン、プロピレン、イソプロピレンなどである。
有機ポリマーは、構造(1)および構造(2)を含むことができ、そして、さらに、任意の繰り返し単位(3)を含むことができ、ここで、R10〜R12は、独立して、水素およびC−Cアルキルから選択され、
Figure 0006430954
ここで、Wは、直接原子価結合、アリーレン基、エステル(C(=O)−O−R13)基、C−C20アルキレン基およびそれらの混合物である、ここで、R13は、C−C20アルキレン、C−C20アルキレンアリーレン、アリーレンおよび置換されたアリーレンから選択される。単位(3)を得るために使用されるモノマーの特定の例としては、2−ヒドロキシエチルメタクリレート、2−ヒドロキシプロピルメタクリレート、2−ヒドロキシブチルメタクリレートおよびヒドロキシスチレンである。
下層有機ポリマーは少なくとも構造1、一つの構造2、または一つの構造3の単位を含むことができ、さらに、任意の繰り返し単位(4)を含むことができ、ここで、R14〜R16は、独立して、水素、ニトリル(CN)およびC−Cアルキルから選択され、R17は、アリール基またはエステル(−C(=O)−O−R18)基であり、ここで、R18は、C−C20アルキル、C−C20アルキレンアリール、アリール、置換されたアリール、フッ素化アルキル基、部分的にフッ素化されたアルキル基、またはそれらの混合物から成る群から選択される。さらに、有機ポリマー中に他のモノマー単位が存在してもよい。単位(4)を得るために使用されるモノマーの特定の例としては、
スチレン、ベンジルメタクリレート、ブチルメタクリレート、2−エチルヘキシルメタクリレート、ベンジルアクリレートおよびブチルアクリレートである。
Figure 0006430954
新規の下層組成物において、ポリマーは10〜90モル%の範囲のフルオロアルコール基および10〜90モル%の範囲のエポキシ基を含む。
構造1および一つの構造2および構造3の単位のみが存在するポリマーの実施形態例において、単位(1)は、約10〜80モル%、または20〜60モル%の範囲とすることができ;一方、繰り返し単位(2)もしくは(3)は、約20〜90モル%または約30〜70モル%の範囲とすることができる。構造1、2、および3または4を有する少なくとも3つのタイプの繰り返し単位が存在するポリマーの実施形態例において、単位1は、約10〜70モル%または約20〜50モル%の範囲とすることができ、繰り返し単位2は、約10〜70モル%または約20〜50%の範囲とすることができ、さらに、繰り返し単位3もしくは4は、約1〜50モル%または約5〜40モル%の範囲とすることができる。構造1、2、3および4を有する少なくとも4つのタイプの繰り返し単位が存在するポリマーの実施形態例において、単位1は、10〜70モル%または20〜50%の範囲とすることができ、さらに、繰り返し単位2は、10〜70モル%または約20〜50モル%の範囲とすることができ、さらに、繰り返し単位3は、約1−40モル%または約5−30モル%の範囲とすることができ、さらに、繰り返し単位4は、約1−30モル%または約5−25モル%の範囲とすることができる。
下層コーティング組成物の適した溶剤としては、例えば、低級アルコール(C−C)、例えば、イソプロパノール、n−ブタノール、t−ブタノール、1−ペンタノールおよび4−メチル−2−ペンタノール、グリコール、例えば、エチレングリコールおよびプロピレングリコール、グリコールエーテル誘導体、例えば、エチルセロソルブ、メチルセロソルブ、プロピレングリコールモノメチルエーテル、ジエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、ジプロピレングリコールジメチルエーテル,プロピレングリコールn−プロピルエーテル、またはジエチレングリコールジメチルエーテル;グリコールエーテルエステル誘導体、例えば、エチルセロソルブアセテート、メチルセロソルブアセテート、または、プロピレングリコールモノメチルエーテルアセテート;カルボン酸塩、例えば、エチルアセテート、n−ブチルアセテートおよびアミルアセテート;ジ塩基性−酸のカルボン酸塩、例えば、ジエチルオキシレート、およびジエチルマロネート;グリコールのカルボン酸塩、例えば、エチレングリコールジアセテートおよびプロピレングリコールジアセテート;ならびに、ヒドロキシカルボン酸塩、例えば、乳酸メチル、乳酸エチル、エチルグリコレート、およびエチル−3−ヒドロキシプロピオネート;ケトンエステル、例えば、ピルビン酸メチルまたはピルビン酸エチル;アルコキシアルコール、例えば、2−メトキシエタノール、エトキシエタノール、アルコキシカルボン酸エステル、例えば、メチル3−メトキシプロピオネート、エチル3−エトキシプロピオネート、エチル2−ヒドロキシ−2−メチルプロピオネート、またはメチルエトキシプロピオネート;ケトン誘導体、例えば、メチルエチルケトン、アセチルアセトン、シクロペンタノン、シクロヘキサノンまたは2−ヘプタノン;ケトンエーテル誘導体、例えば、ジアセトンアルコールメチルエーテル;ケトンアルコール誘導体、例えば、アセトールまたはジアセトンアルコール;ラクトン、例えば、ブチロラクトンおよびγ−バレロラクトン;アミド誘導体、例えば、ジメチルアセトアミドまたはジメチルホルムアミド、アニソール、および、それらの混合物を含む。
金属化合物を含む新規の組成物は、コーティングの性能を改善する他の成分を含むことができ、例えば、低級アルコール(C−Cアルコール)、アルコキシアルコール、ラクトン、C−C20アルキルカルボン酸、表面平滑化剤(総固体の<5質量%)、ジアルコキシビス(ベータジケトエステル)(総固体の1−20質量%または5−10質量%)、ジアルコキシビス(ベータジケトン)(総固体の1−20質量%または5−10質量%)、熱酸発生剤、光酸発生剤などである。
ジアルコキシビス(ベータジケトエステル)およびジアルコキシビス(ベータジケトン)アセチルアセトン、ベンゾイルアセトン、4,4,4−トリフルオロ−1−フェニル−1,3−ブタンジオン、およびエチルアセトアセテートとすることができる。表面平滑化剤または界面活性剤は、ポリエチレングリコールドデシルエーテル、ポリオキシエチレンオレイルエーテル、ポリエチレングリコールオクタデシルエーテル、ポリエチレングリコール tert−オクチルフェニルエーテル、フッ素ベースの界面活性剤、およびシリコンベースの界面活性剤、Brij30、Brij52、Triton X−100、FC430の商品名を有する界面活性剤などとすることができる。
さらに、組成物を架橋させるために、架橋添加剤を添加することができ、例えば、ビスフェノールA−ベースのエポキシ化合物、ビスフェノールF−ベースのエポキシ化合物、ビスフェノールS−ベースのエポキシ化合物、ノボラック樹脂−ベースのエポキシ,ポリ(ヒドロキシスチレン)−ベースのエポキシ化合物、メラミン化合物、ベンゾグアナミン化合物、および尿素化合物である。
また、被膜された組成物の熱硬化の間の架橋を補助するために、熱酸発生剤などの熱活性化触媒を組成物に添加することもできる。90℃より高い温度で、例えば、120℃より高い、および150℃より高い温度において、熱酸発生剤を活性化させることができる。熱酸発生剤としては、金属不含のスルホニウム塩およびヨードニウム塩であり、例えば、トリアリールスルホニウム、ジアルキルアリールスルホニウム、および非求核の強酸のジアリールアルキルスルホニウム塩、アルキルアリールヨードニウム、非求核の強酸のジアリールヨードニウム;ならびに、アンモニウム、アルキルアンモニウム、ジアルキルアンモニウム、トリアルキルアンモニウム、非求核の強酸のテトラアルキルアンモニウム塩である。さらに、熱により分解され遊離スルホン酸を生じるアルキルの2−ニトロベンジルエステル、または、アリールスルホン酸、および他のスルホン酸のエステルである。他の例としては、ジアリールヨードニウムパーフルオロアルキルスルホン酸塩、ジアリールヨードニウムトリス(フルオロアルキルスルホニル)メチド、ジアリールヨードニウムビス(フルオロアルキルスルホニル)メチド、ジアリルヨードニウムビス(フルオロアルキルスルホニル)イミド、ジアリールヨードニウム、または4級アンモニウムパーフルオロアルキルスルホネートである。不安定なエステルの例としては、2−ニトロベンジルトシレート、2,4−ジニトロベンジルトシレート、2,6−ジニトロベンジルトシレート、4−ニトロベンジルトシレート;ベンゼンスルホン酸塩、例えば、2−トリフルオロメチル−6−ニトロベンジル、4−クロロベンゼンスルホネート、2−トリフルオロメチル−6−ニトロベンジル 4−ニトロベンゼンスルホネート;フェノール性スルホネートエステル、例えば、フェニル、4−メトキシベンゼンスルホネート;4級アンモニウムトリス(フルオロアルキルスルホニル)メチド、および4級アルキルアンモニウム ビス(フルオロアルキルスルホニル)イミド、有機酸のアルキルアンモニウム、例えば、10−カンファースルホン酸のトリエチルアンモニウムである。多種の芳香族(アントラセン、ナフタレン、またはベンゼン誘導体)スルホン酸アミン塩をTAGとして使用することができ、米国特許第3,474,054号、第4,200,729号、第4,251,665号、および第5,187,019号に開示されているものを含む。TAGは170〜220℃の温度で非常に低い揮発性を有する。
熱活性化過酸化物も、また、本組成物中において使用でき、例えば、ベンゾイルパーオキサイド、3,5−ジクロロベンゾパーオキサイドなどである。
ここで開示される組成物は、固体をベースとして20質量%より大きい、例えば、固体をベースとして50質量%より大きい、90質量%より大きい、マルチ−リガンド−置換金属化合物を含む。製剤中において、同じ金属または異なる金属の二つか三つ以上の化合物を使用することができる。使用する場合、ポリマーは、固体をベースとして80質量%未満であり、例えば、固体をベースとして50質量%未満、10質量%未満である。架橋添加物は、固体をベースとして、2〜30質量%存在する。典型的なコーティング添加剤として他の添加剤を加えることができ、例えば、湿潤剤、界面活性剤、消泡剤、チキソトロープ剤などである。
選択された溶剤また溶剤ブレンド中での総固体割合は、約1〜約40%(w/w)であり、例えば、約2〜約30%(w/w)である。
新規の化合物および新規の化合物を含んで作成される組成物は、空気に露出し、その後貯蔵しても安定である。リソグラフィー特性の劣化、例えば、コーティング欠陥をなしに、材料は24時間まで空気に露出することができ、さらに、少なくとも1週間貯蔵できる。さらに、新規の材料は、湿潤剥離剤、例えば、硬化したフィルムを除去する化学溶液により除去することができる。
ここで開示される組成物は、基材、例えば、低誘電率材料、シリコン、金属表見により被膜されたシリコン、銅被膜シリコンウエハ、銅、アルミニウム、ポリマー樹脂、二酸化ケイ素、金属、ドープされた二酸化ケイ素、窒化ケイ素、タンタル、ポリケイ素、セラミック、アルミニウム/銅混合物、金属窒化物、例えば、AlN;ガリウムヒ素、および、他のIII/V族の化合物などの基板表面上に被膜することができる。基板は、他の反射防止コーティングまたは下層であることもでき、例えば、高炭素下層で被膜された下層である。基板は上記材料から作製された幾つかの層を含むことができる。
ここで開示される組成物は、当業者において周知の技術、例えば、ディッピング法、スピンコート法、噴霧により基板上に被膜できる、下層コーティングのフィルム厚は、約3nm〜約500nm、例えば、約5nm〜約250nmの範囲でよい。さらに、コーティングは、溶剤の大部分を除去し硬化を誘導するために、ホットプレートまたは対流オーブン上で加熱するとよい。硬化温度は約90℃〜約350℃で約30秒〜約10分でよく、例えば、約120℃〜約250℃で約1分〜約2分でよい。組成物は、他の反射防止膜の層、例えば、高炭素(80%もしくは85%もしくは90%よりも高い)含有の反射防止膜で被膜されてもよい。
材料の架橋性他の光学パラメータは、所望の特性を得るために調整することができる。組成物とプロセスの条件は、追加的な架橋と触媒なしでフィルムが、キャスト溶剤およびフォトレジスト現像液中の両方で浸水試験に通過するように、調整することができる。硬化されたフィルムの組成物は、通常のベーキング条件において、金属酸化物の約5〜約90%(w/w)または金属酸化物の約10〜約70%(w/w)含む。
硬化された金属酸化物フィルムは、有利には、化学剥離剤、例えば、酸、塩基、過酸化物、およびこれらの混合物などを使用することで除去することができる。例えば、85%リン酸、希釈スルホン酸、3%HF、10%TMAH、10%過酸化水素、水性アルカリ性過酸化水素、および、それらの混合物である。剥離時間は、おおよそ室温〜約70℃において約5秒〜約120秒であり、フィルム硬化条件による。他の剥離工程は、金属酸化物フィルムの他の工程と合わせて採用することができる。例えば、フィルムが低温度、または短時間で硬化された場合、剥離剤を希釈することができ、時間を短くすることができ、かつ/または剥離温度を低下させることができる。結果を表4に示す。
ここで開示される可溶のマルチ−リガンド−置換された金属化合物、その組成物、および使用方法は、反射防止層を製造するために使用することができる。十分な発色団基が存在し、そして、下層の屈折率n (屈折率)は、約1.5〜約2.2の範囲であり、k(減衰係数)(複素屈折率の一部、nc=n−jk)は、193nm露光波長において約0.1〜約0.8の範囲である。新規のコーティングは、ハードマスクとして使用可能である。nおよびkの値は、偏光解析機で計算することができ、例えば、J. A. Woollam WVASE VU−32(商標)偏光解析機である。kおよびnの光学的に正確な値の範囲は、使用する露光波長および装置のタイプに依存する。典型的には、193nmに対して、好ましいkの範囲は、約0.1〜約0.8であり、248nmに対して、好ましいkの範囲は、約0.15〜約0.8であり、しかしながら、他の露光波長、例えば、DUV、DUVを超える波長、を使用することもでき、そして、それらと関連して作用する。
フォトレジスト組成物は、スピンコーティングなどのこの様な工程による新規のコーティングに適用される。被膜の後、コーティングが適切に露出するようなレベルに溶剤を除去する。ある場合においては、コーティング中に5%の残留溶剤が残っていてもよく、一方、他のケースでは1%未満にする必要がある。乾燥は、ホットプレート加熱、対流加熱、赤外線加熱などで行うことができる。コーティングを化学線で、所望のパターンを含むマークに像様露光する。下層の頂部にフォトレジストのフィルムが被膜され、ベーク処理し実質的にフォトレジスト溶剤が除去される。エッジビード・リムーバを、コーティング・ステップ後に施与して、当分野でよく知られているプロセスを使用して基板の縁部を清浄にすることができる。
フォトレジストは、半導体産業で使用される任意の種類であってよいが、但し、フォトレジスト中の光活性化合物および反射防止コーティングが、イメージング・プロセスで使用される露光波長で実質的に吸収することを条件とする。液浸リソグラフィに有用なフォトレジストが好ましい。典型的には、液浸リソグラフィを用いた画像形成に適したフォトレジストを使用してよく、この際、このようなフォトレジストは、1.85を超える屈折率を有し、かつ75°〜95°の範囲の水接触角を持ち疎水性でもある。
今日までに、微細化に著しい進歩をもたらしたいくつかの主な深紫外線(uv)露光技術が存在し、250nm〜10nmの化学線、たとえな、248nm、193nm、157および13.5nmの化学線である。化学的に増幅されたフォトレジストがしばしば使用される。248nmのためのフォトレジストは典型的には、米国特許第4,491,628号および米国特許第5,350,660号に記載されているものなど、置換ポリヒドロキシスチレンおよびそのコポリマー/オニウム塩をベースとしている。他方で、193nmおよび157nmで露光するためのフォトレジストは、芳香族がこの波長では不透明であるので、非芳香族ポリマーを必要とする。米国特許第5,843,624号および米国特許第6,866,984号は、193nm露光に有用なフォトレジストを開示している。一般に、脂環式炭化水素を含有するポリマーは、200nm未満で露光するためのフォトレジストに使用される。脂環式炭化水素は、多くの理由でポリマーに組み込まれるが、それというのも主に、これらはエッチング耐性を改善する比較的高い炭素と水素との比を有し、低波長でも透明性をもたらし、比較的高いガラス転移温度を有するためである。米国特許第5,843,624号は、無水マレイン酸と不飽和環式モノマーとのフリーラジカル重合により得られるフォトレジストのためのポリマーを開示している。米国特許第6,447,980号および米国特許第6,723,488号に記載されていて、参照により本明細書に組み込まれるものなどの、任意の知られている種類の193nmフォトレジストを使用することができる。157nmに感光性があり、懸垂フルオロアルコール基を有するフッ素化ポリマーをベースとするフォトレジストの2つの基本的なクラスは、その波長で実質的に透明であることが知られている。一方のクラスの157nmフルオロアルコール・フォトレジストは、フッ素化ノルボルネンなどの基を含有するポリマーに由来し、金属触媒またはラジカル重合を使用してテトラフルオロエチレンなどの他の透明なモノマーとホモ重合または共重合される(米国特許第6,790,587号および米国特許第6,849,377号)。一般に、これらの材料はより高い吸光度をもたらすが、それらの高い脂環式含分により、良好なプラズマ・エッチング耐性を有する。さらに最近では、ポリマー主鎖が、1,1,2,3,3−ペンタフルオロ−4−トリフルオロメチル−4−ヒドロキシ−1,6−ヘプタジエンなどの不斉ジエンの環化重合(米国特許第6,818,258号)またはフルオロジエンとオレフィンとの共重合(米国特許第6,916,590号)に由来する157nmフルオロアルコール・ポリマーの群が記載された。これらの材料は、157nmで許容可能な吸光度をもたらすが、フルオロ−ノルボルネン・ポリマーに比べてその脂環式含分が低いので、より低いプラズマ・エッチング耐性を有する。これら2つの群のポリマーは、第1の種類のポリマーの高いエッチング耐性と第2の種類のポリマーの157nmでの高い透明性とのバランスをもたらすために、ブレンドし得ることが多い。13.5nmの極紫外線(EUV)を吸収するフォトレジストが特に有用であり、当分野で知られている。したがって、約12nm〜約250nmの範囲を吸収するフォトレジストが有用である。新規なコーティングはまた、ナノインプリンティングおよび電子線レジストを伴う工程においても使用することができる。
コーティングプロセスの後、フォトレジストは像様露光される。露光は、典型的な露光装置を用いて行うことができる。露光されたフォトレジストは、次いで、水性現像剤中で現像して、処理されたフォトレジストを除去する。現像剤は、好ましくは、水性アルカリ性溶液、例えばテトラメチルアンモニウムヒドロキシド(TMAH)を含む水性アルカリ性溶液である。典型的には、2.38質量%のTMAHが使用される。現像剤は、更に、一種またはそれ以上の界面活性剤を含んでもよい。現像の前及び露光の後に任意選択に加熱ステップをプロセスに組み入れることができる。
フォトレジストをコーティングおよびイメージングするプロセスは、当業者によく知られており、使用されるフォトレジストの特定の種類のために最適化される。次いで、フォトレジストパターニングされた基板を、適当なエッチング・チャンバ内でエッチング・ガスまたはガスの混合物でドライ・エッチングして、下層および他の層の反射防止コーティングの露光部分を除去することができるが、この際、残存しているフォトレジストがエッチング・マスクとして機能する。O、CF、CHF、Cl、HBr、SO、COなどを含むものなど、様々なエッチング・ガスが、下層をエッチングするために当分野では知られている。ある実施形態例においては、物品は、新規の金属層が被膜された高炭素反射防止フィルムを有する半導体基板を含む。フォトレジスト層はこの上に被膜される。フォトレジストは上記のように画像形成され、そして金属下層が過フッ化炭化水素を含むガスを使用してドライエッチングされる。金属下層がトライエッチングされた後、高炭素フィルムが酸素または酸素混合物を使用してドライエッチングすることができる。有利なことには、ここで示されるように化学溶液である剥離剤を使用することで新規の金属層を除去することができる。
上記で引用した文献はそれぞれ、全ての目的に関してその内容の全てが本明細書に掲載されたものとする。以下の具体例は、本発明の組成物を製造及び使用する方法の詳細な例示を与えるものである。しかし、これらの例は、本発明の範囲を如何様にも限定または減縮することを意図したものではなく、本発明を実施するために排他的に使用しなければならない条件、パラメータまたは値を教示するものとは解釈するべきではない。
以下の例において反射防止コーティングの屈折率値(n)及び減衰係数(k)は、J.A.Woollam VASE32エリプソメータで測定した。
ポリマーの分子量は、ゲルパーミエーションクロマトグラフィで測定した。
合成例1
40gのTi(IV)テトラブトキシドポリマー(Ti(IV)BTP)、10gの無水フタル酸、および50gのベンゼンを攪拌し混合し、そして窒素下フラスコを加熱した。反応を60℃で約1時間保持した。室温まで冷却した後、蒸留により溶剤の大部分を除去し、真空下で観応した。プロトンNMRは生成物中に水和物が存在しないことを示した。
合成例2
40gのTi(IV)BTPポリマー、10.4gの1,2−シクロヘキサンジカルボン酸無水物および50.4gのシクロヘキサンを攪拌し混合し、そして窒素下フラスコを加熱した。反応を60℃で約1時間保持した。室温まで冷却した後、蒸留により溶剤の大部分を除去し、真空下で観応した。プロトンNMRは生成物中に水和物が存在しないことを示した。
合成例3
40gのTi(IV)BTPポリマー、10gの無水フタル酸および50gのプロピレングリコールモノメチルエーテルアセテート(PGMEA)/プロピレングリコールモノメチルエーテル(PGME)70:30を攪拌し混合し、そして窒素下フラスコを加熱した。反応を50℃で約2時間保持した。室温まで冷却した後、50%の固体含量の生成物を茶色瓶に貯蔵した。プロトンNMRは生成物中に水和物が存在しないことを示し、PGMEA/PGME溶剤によるシグナルを除き、合成例1と同様であった。
合成例4
40gのTi(IV)BTPポリマー、10.4gの1,2−シクロヘキサンジカルボン酸無水物および50.4gのPGMEA/PGME70:30を攪拌し混合し、そして窒素下フラスコを加熱した。反応を50℃で約2時間保持した。室温まで冷却した後、50%の固体含量の生成物を茶色瓶に貯蔵した。プロトンNMRは生成物中に水和物が存在しないことを示し、PGMEA/PGME溶剤によるシグナルを除き、合成例2と同様であった。
合成例5
40gのTi(IV)BTPポリマー、19.8gの無水マレイン酸および59.8gのシクロヘキサンを攪拌し混合し、そして窒素下フラスコを加熱した。反応を60℃で約2時間保持した。室温まで冷却した後、50%の固体含量の生成物を茶色瓶に貯蔵した。プロトンNMRは生成物中に水和物が存在しないことを示した。シクロヘキサン中のFT−IRは、Ti−OBu共鳴による〜1100cm−1のピークの減衰、および、Ti−OC(=O)R共鳴による1570cm−1における新規の広域ピークを示した。
合成例6
40gのTi(IV)BTPポリマー、19.8gの無水マレイン酸および59.8gのPGMEA/PGME70:30を攪拌し混合し、そして窒素下フラスコを加熱した。反応を50℃で約3時間保持した。室温まで冷却した後、50%の固体含量の生成物を茶色瓶に貯蔵した。プロトンNMRは生成物中に水和物が存在しないことを示した。試料をシクロヘキサンに溶解し、シクロヘキサン中においてFT−IRを測定した。スペクトルは、Ti−OBu共鳴による〜1100cm−1のピークの減衰、および、Ti−OC(=O)R共鳴による1600cm−1における新規の広域ピークを示した。
合成例7
40gのTi(IV)BTPポリマー、30gの無水シトラコン酸および70gのPGMEA/PGME 70:30を攪拌し混合し、そして窒素下フラスコを加熱した。反応を50℃で約4時間保持した。室温まで冷却した後、50%の固体含量の生成物を茶色瓶に貯蔵した。
合成例8
40gのTi(IV)BTPポリマー、22.5gの無水シトラコン酸および62.5gのPGMEA/PGME70:30を攪拌し混合し、そして窒素下フラスコを加熱した。反応を50℃で約3時間保持した。室温まで冷却した後、50%の固体含量の生成物を茶色瓶に貯蔵した。
合成例9
40gのTi(IV)BTPポリマー、10.3gのシス−1,2,3,6−テトラヒドロ無水フタル酸および50.3gのPGMEA/PGME70:30を攪拌し混合し、そして窒素下フラスコを加熱した。反応を50℃で約2時間保持した。室温まで冷却した後、50%の固体含量の生成物を茶色瓶に貯蔵した。プロトンNMRは生成物中に水和物が存在しないことを示した。
合成例10
40gのTi(IV)BTPポリマー、10gの無水フタル酸および50gのPGMEA/PGME70:30を攪拌し混合し、そして窒素下フラスコを加熱した。反応を50℃で約2時間保持した。15gのPGMEA/PGME70:30中の15gの無水シトラコン酸を反応装置にゆっくり添加した。反応をさらに50℃で3時間保持した。室温まで冷却した後、50%の固体含量の生成物を茶色瓶に貯蔵した。プロトンNMRは生成物中に水和物が存在しないことを示した。
合成例11
40gのTi(IV)BTPポリマー、10gの無水フタル酸および50gのPGMEA/PGME70:30を攪拌し混合し、そして窒素下フラスコを加熱した。反応を50℃で約2時間保持した。13.2gのPGMEA/PGME70:30中の13.2gの無水シトラコン酸を反応装置にゆっくり添加した。反応をさらに50℃で3時間保持した。室温まで冷却した後、50%の固体含量の生成物を茶色瓶に貯蔵した。プロトンNMRは生成物中に水和物が存在しないことを示した。
合成例12
40gのTi(IV)BTPポリマー、19.8gの無水マレイン酸および59.8gのPGMEA/PGME70:30を攪拌し混合し、そして窒素下フラスコを加熱した。反応を50℃で約2時間保持した。6.1gのPGMEA/PGME70:30中の6.1gのパーフルオロヘプタン酸を反応装置にゆっくり添加した。反応をさらに50℃で2時間保持した。室温まで冷却した後、50%の固体含量の生成物を茶色瓶に貯蔵した。プロトンNMRは生成物中に水和物が存在しないことを示した。
合成例13
40gのTi(IV)BTPポリマー、13.2gの無水マレイン酸および53.2gのシクロヘキサンを攪拌し混合し、そして窒素下フラスコを加熱した。反応を60℃で約1時間保持した。11.1gのシクロヘキサン中の11.1gのペンタフルオロプロパン酸を反応装置にゆっくり添加した。反応をさらに60℃で1時間保持した。室温まで冷却した後、50%の固体含量の生成物を茶色瓶に貯蔵した。シクロヘキサン中のFT−IRは、Ti−OBu共鳴による〜1100cm−1のピークの減衰、Ti−OC(=O)R共鳴による1570cm−1における新規の広域ピーク、およびTi−OC(=O)Rf共鳴による1650cm−1における新規の広域ピークを示した。
合成例14
40gのTi(IV)BTPポリマー、22.5gの無水シトラコン酸および50gのPGMEA/PGME70:30を攪拌し混合し、そして窒素下フラスコを加熱した。反応を50℃で約2時間保持した。15gのPGMEA/PGME70:30中の2.5gのノナフルオロブタン−1−スルホン酸を反応装置にゆっくり添加した。反応をさらに50℃で2時間保持した。室温まで冷却した後、50%の固体含量の生成物を茶色瓶に貯蔵した。プロトンNMRは生成物中に水和物が存在しないことを示した。
合成例15
42.6gのチタン(IV)イソプロキシドおよび33.6gの無水シトラコン酸を混合し、そして窒素下フラスコを加熱した。反応を55℃で約1時間保持した。真空下でジエステル副生成物の蒸発ののち、粘性ポリマー生成物を得た。
ポリマー合成例16
9.7gのイソプロピルヘキサフルオロイソプロパノールメタクリレート(MA−BTHB−OH)、5.9gの2−エチルヘキシルメタクリレート、3.5gの2−ヒドロキシエチルメタクリレートおよび8.5gのグリシジルメタクリレートを120gのTHF溶媒中で混合した。1.0gのAIBN存在下75℃で20時間、重合反応を行った。室温まで冷却した後、反応混合物をDI水で沈殿された。白色ポリマー固体を洗浄し、45℃、真空下で乾燥させ収量27.2g(99%)で得られた。GPC測定によるポリマーのMwは19100である。
ポリマー合成例17
9.7gのMA−BTHB−OH、5.8gのベンジルメタクリレート、5.1gの2−ヒドロキシエチルメタクリレートおよび6.4gのグリシジルメタクリレートを120gのテトラヒドロフラン(THF)溶媒中で混合した。1.0gのAIBN存在下75℃で20時間、重合反応を行った。室温まで冷却した後、反応混合物をDI水で沈殿された。白色ポリマー固体を洗浄し、45℃、真空下で乾燥させ収量26.5g(99%)で得られた。GPC測定によるポリマーのMwは19000である。
ポリマー合成例18
15.0gのMA−BTHB−OH、6.0gのスチレン、10.0gの2−ヒドロキシプロピルメタクリレートおよび19.0gのグリシジルメタクリレートを200gのプロピレン グリコールモノメチルエーテルアセテート(PGMEA)溶剤中で混合した。0.97gのAIBN存在下75℃で24時間、重合反応を行った。室温まで冷却した後、反応混合物をDI水で沈殿された。白色ポリマー固体を洗浄し、45℃、真空下で乾燥させ収量50.0g(>99%)で得られた。GPC測定によるポリマーのMwは18500である。
ポリマー合成例19
18.5gのMA−BTHB−OHおよび12.3gのグリシジルメタクリレートを120gのTHF溶媒中で混合した。1.0gのAIBN存在下75℃で20時間、重合反応を行った。室温まで冷却した後、反応混合物をDI水で沈殿された。白色ポリマー固体を洗浄し、45℃、真空下で乾燥させ収量30.2g(99%)で得られた。
ポリマー合成例20
18.5gのMA−BTHB−OH、3.5gの2−ヒドロキシエチルメタクリレートおよび8.5gのグリシジルメタクリレートを120gのTHF溶媒中で混合した。1.0gのAIBN存在下75℃で20時間、重合反応を行った。室温まで冷却した後、反応混合物をDI水で沈殿された。白色ポリマー固体を洗浄し、45℃、真空下で乾燥させ収量29.8g(99%)で得られた。
ポリマー合成例21
10gのブタンテトラカルボン酸二無水物、7gのスチレングリコール、0.5gのベンジルトリブチルアンモニウムクロライド、および35gのプロピレングリコールモノメチルエーテルアセテート(PGMEA)を濃縮器、熱制御器、および機械攪拌器を有するフラスコに装入した。窒素下で攪拌しながら、混合物を110℃に加熱した。1〜2時間後に透明な溶液を得た。3時間、温度を110℃で保持した。冷却しつつ、60gのPGMEAおよび36gのプロピレンオキシドを上記溶液に添加した。反応を50℃で48時間持続した。反応溶液を室温まで冷却し、高速ミキサー中の大量の水にゆっくり注いだ。ポリマーを単離し、水で全体を洗浄した。最終的に、ポリマーを真空オーブンで乾燥させた。GPC測定によるMwが20000である16gのポリマーが得られた。
ポリマー合成例22
20.0gのハフニウムイソプロポキシド、80.0gの酢酸および10.0gのDI水を、窒素下で10分間攪拌し混合した。混合物を120℃とし、約2時間維持した。その後、10.0gのDI水を添加した。18時間の加熱後、反応物を冷却した。反応混合物を濃縮し、白色乾燥体を得た(酢酸酸化ハフニウム、9.93g)。
1gの酢酸酸化ハフニウム、1gのメタクリル酸および1gのPGMEAをバイアルに装入し、5分間超音波分解し、その後、130℃に熱した。
この溶液2gに、PGMEA/PGME70:30中の合成例17からのポリマー溶液5gを添加し、5gのPGMEA/PGME70:30で希釈した。混合物を0.2ミクロンのフィルタで濾過した。
組成物例
必要とされるフィルム厚に応じて、合成例1〜15からの金属化合物の1〜10%(w/w)溶液を準備した。いくつかの製剤は、0.1〜1%のγ−バレロラクトンを含む。混合後、シリコンウエハ上に溶液をスピンコートし、約60秒〜約120秒、200〜250℃でベーク処理した。以下の例の反射防止コーティングの屈折率(n)および減衰係数(k)の値は、J.A.Woollam VASE32 ellipsometerで測定した。製剤例1〜15および対応する可溶性のマルチ−リガンド−置換された金属化合物を表1に示した。製剤は、一般に、総組成物中5.0%の固体を含む。
Figure 0006430954
さらなるポリマーを含む製剤例を表2に示す。
Figure 0006430954
硬化フィルム中におけるM%の測定
金属酸化物フィルム中の金属%w/wを、元素分析およびTGA質量欠損測定(焼成)により測定した。2つの方法の結果は整合した。製剤中の組成により、測定金属%は、200〜250℃、約60秒のフィルムベーク上経緯において、約10〜約70%の範囲である。
バック反射防止フィルム用の溶剤および現像液への耐性評価
PGMEA/PGME70:30溶剤を例1〜12の製剤からなる材料で被膜されたシリコンウエハ上に、表1および表2で示された温度で分注した。60秒後、窒素吹き出しを使用し、溶剤を除去した。有意なフィルム欠損は観察されなかった。多くの場合、20℃〜40℃の低いバーキング温度でさえ、PGMEA/PGME70:30中の浸水試験を通過した(フィルム厚の欠損がない)。同様の現像液2.38w/wTMAHで行った。表1および表2のフィルムは、現像液に良好な耐性を示した(フィルム厚の欠損がない)。必要な場合、ベーキング温度を低くすることで、現像液中の部分液なフィルム欠損が生じえる。
製剤の感湿試験
製剤例6、7、8、10、11の溶液を、0.5h、1h、5h、8h、10h、14h、16h、20hおよび24h(h=時間)空気に露出した(瓶を開けた)。瓶を閉めた後、試料を、定期的、例えば1週間、1か月、など溶液を被膜し、そしてコーティング性能/浸水試験性能を調べることで長期安定性試験を行った。製剤をシリコンウエハ上にスピンコートし、上記のように、200〜250℃/60秒でベーク処理した。例のフィルムは、8時間〜24時間の空気への露出後でさえおよび少なくとも1週間、良好なコーティング性能を示した(小片/空隙がない)。対照材料((Ti(IV)BTP、Aldrich)は1時間空気露出後1週間、安定ではなく、この後のコーティング上において小片が見られた。
LPC粒子径測定および欠損評価における貯蔵寿命測定
液中粒子カウンタ(LPC)およびコーティング欠損老化貯蔵寿命測定を、製剤例10で、表23に示すように、25℃/当初、25℃で2週間、25℃で3週間、その後、−20℃で1週間、25℃で3週間、その後、40℃で1週間にて、行った。
製剤例10、Siウエハ上にスピンコートした。ウエハは、Zeiss Axiotion II検査顕微鏡(Confocal Scan)または、Applied Materials製のSEMVision CX検査顕微鏡により調べた。欠損検査/分析は、KLA 2360 ウエハ検査システム、またはSurfscan 6220 ウエハ表面分析システムにより行った。
当初および製剤の老化後において有意な変化は見られず、材料は容認できる程度に安定であった。
Figure 0006430954
湿潤エッチング速度試験
製剤例8を表4に記載の剥離処方を使用して試験した。フィルムの当初の厚さは35mmであった。フィルムを洗浄した後に記録された剥離時間は、視覚的に、または浸水前後のフィルム厚を粗億艇することで決定した。全てのフィルムは剥離試験の後、問題なく除去された。
Figure 0006430954
リソグラフ評価例1
Ti金属性反射防止コーティング製剤の特性をAZ(登録商標)2110Pフォトレジスト (AZ Electronic Materials USA Corp., Somerville, NJの製品)を使用して評価した。シリコンウエハを製剤例8で被膜し、225℃、60秒間ベーク処理し、43nm厚のフィルムを形成した。その後、190nmを対象とするAZ(登録商標) EXP AX2110P フォトレジストフィルムを頂部に被膜し、100℃で60秒間ベーク処理した。その後、ウエハを193nmのNikon 306D露光ツールで、0.85の開口数(NA)、0.85の外部シグマおよび0.55の内部シグマのY−Dipole Illuminationで画像形成した。露光されたウエハは、その後、110℃で60秒間ベーク処理し、AZ(登録商標)300MIF現像液(TMAH)を使用して30秒間現像した。走査型電子顕微鏡下で観察されたトップダウンおよび断面パターンは、処理ウインドウ中において、有意な欠損を示さなかった。ライン/スペース80nm、1:1、撮影速度26mJ/cmにおいて良好なパターンプロフィールが観察された。
リソグラフ評価例2
Ti金属性反射防止コーティング製剤の特性をAZ(登録商標)2110Pフォトレジスト (AZ Electronic Materials USA Corp., Somerville, NJの製品)を使用して評価した。シリコンウエハを製剤およびコーティング例18で被膜し、225℃、60秒間ベーク処理し、41nm厚のフィルムを形成した。その後、190nmを対象とするAZ(登録商標) EXP AX2110P フォトレジストフィルムを頂部に被膜し、100℃で60秒間ベーク処理した。その後、ウエハを193nmのNikon 306D露光ツールで、0.85の開口数(NA)、0.85の外部シグマおよび0.55の内部シグマのY−Dipole Illuminationで画像形成した。露光されたウエハは、その後、110℃で60秒間ベーク処理し、AZ(登録商標)300MIF現像液(TMAH)を使用して30秒間現像した。走査型電子顕微鏡下で観察されたトップダウンパターンは、有意な欠損を示さなかった。L/S80nm、1:1、撮影速度26mJ/cmにおいて、走査型電子顕微鏡下の断面パターンにおいて良好なパターンプロフィールが観察された。
リソグラフ評価例3
液浸リソグラフィの3層構造用のTi金属性反射防止コーティング製剤の特性を評価した。シリコンウエハは、層の厚さ150nmで250℃で60秒間ベーク処理し、AZ(登録商標)ArFU98−150 (AZ Electronic Materials,70,Meister Ave. Somerville NJから利用可能)炭素下層で被膜した。炭素下層上に、シリコンウエハを製剤およびコーティング例9で被膜し、250℃、60秒間ベーク処理し、25nm厚のフィルムを形成した。その後、120nmを対象とする液浸フォトレジストフィルムを頂部に被膜し、120℃で60秒間ベーク処理した。その後、ウエハを193nmの液浸露光ツールで、開口数(NA)1.2、0.979のダイポール(双極子)40Y/0.824 X偏光により画像形成した。露光されたウエハは、その後、110℃で60秒間ベーク処理し、AZ(登録商標)300MIF現像液(TMAH)を使用して30秒間現像した。走査型電子顕微鏡下で観察されたトップダウンパターンは、有意な欠損を示さなかった。L/S45nm、1:1、撮影速度33mJ/cmにおいて、走査型電子顕微鏡下の断面パターンにおいて良好なパターンプロフィールが観察された。
リソグラフ評価例4
Albany大学のSEMATECHのエキシマーマイクロ露光ツール(eMET)またはLawrence Berkeley National Laboratory(LBNL)のマイクロ露光ツール(MET)を使用してEUV露光を行った。EUVフォトレジストを、下層の上の頂部に被膜した。それをベーク処理し、開口数(NA)0.3、4極子または環状イルミネーションで露光した。METツールはウエハ上に、5×縮図、200×600μmフィールドを与える。現像後、リソ特性を、CDSEMトップダウン測定おうよびSEM装置による横断図の両方で評価した。シリコンウエハを製剤およびコーティング例12で被膜し、225℃、60秒間ベーク処理し、25nm厚のフィルムを形成した。そして、EUVフォトレジストを被膜し190nmのフィルムを形成した。その後、フォトレジストをEUV線で露光した。露光されたウエハは、その後、ベーク処理し現像した。走査型電子顕微鏡下で観察されたトップダウンパターンは、有意な欠損を示さなかった。L/S30nm、1:1、撮影速度12.6mJ/cmにおいて、走査型電子顕微鏡下の断面パターンにおいて良好なパターンプロフィールが観察された。

Claims (12)

  1. 以下の構造の可溶性マルチ−リガンド−置換された金属化合物を含み:
    Figure 0006430954
    ここで、各Mは金属であり、少なくとも一つのMはチタンであり、nは〜20であり、Rは、独立して、C−C非置換の分岐鎖または直鎖のアルキル、C−C置換された分岐鎖または直鎖のアルキルおよびRから成る群から選択され、Rは、−COR、および、−SO 基から成る群から選択され、ここで、各Rは、独立して、C−C20非置換のアルキル基、C−C20置換されたアルキル基、およびアルキレン−COOR’もしくは−アルケニレン−COOR’で表せられる構造により官能化された基から成る群から選択され、R’は、独立して、C−C非置換のアルキルおよびC−C置換されたアルキルから成る群から選択され;さらに溶媒を含む組成物
  2. 属が、チタン、ジルコニウム、タンタル、鉛、アンチモン、タリウム、インジウム、イッテルビウム、ガリウム、ハフニウム、アルミニウム、マグネシウム、モリブデン、ゲルマニウム、スズ、鉄、コバルト、ニッケル、銅、亜鉛、金、銀、カドミウム、タングステンまたは白金である、請求項1に記載の組成物
  3. 、RまたはR’が部分的に又は完全にフッ素化されたアルキル基である、請求項1または2に記載の組成物
  4. 属が1種より多い金属である、請求項1〜3のいずれか一つに記載の組成物
  5. 組成物が、有機ベースもしくはケイ素ベースの架橋可能なポリマーをさらに含む、請求項1〜4のいずれか一つに記載の組成物。
  6. 少なくとも一つの熱酸発生剤、熱ベースの発生剤、または熱活性過酸化物をさらに含む、請求項1〜5のいずれか一つに記載の組成物。
  7. 架橋添加剤をさらに含む、請求項1〜6のいずれか一つに記載の組成物。
  8. a.請求項1〜7のいずれか一つに記載の組成物を基板上に適用し、フィルムを形成し;そして、
    b.フィルムをベーク処理する、
    ことを含む電子装置の製造方法。
  9. 剥離剤組成物を使用して前記組成物を除去することをさらに含む、請求項に記載の方法。
  10. 剥離剤が、酸、塩基、過酸化物、およびそれらの混合物から成る群から選択される、請求項に記載の方法。
  11. が、−COR基である、請求項1〜7のいずれか一つに記載の組成物
  12. アルキレン−COOR’もしくは−アルケニレン−COOR’で表せられる構造により官能化された基が、−CH=CH−COOR’または−CH=C(CH)−COOR’である、請求項1〜7のいずれか一つに記載の組成物
JP2015546033A 2012-12-07 2013-12-06 安定な金属化合物、その組成物、およびその使用方法 Active JP6430954B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/707,993 2012-12-07
US13/707,993 US9315636B2 (en) 2012-12-07 2012-12-07 Stable metal compounds, their compositions and methods
PCT/EP2013/075815 WO2014086982A2 (en) 2012-12-07 2013-12-06 Stable metal compounds, their compositions and methods of their use

Publications (2)

Publication Number Publication Date
JP2016508963A JP2016508963A (ja) 2016-03-24
JP6430954B2 true JP6430954B2 (ja) 2018-11-28

Family

ID=50679986

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015546033A Active JP6430954B2 (ja) 2012-12-07 2013-12-06 安定な金属化合物、その組成物、およびその使用方法

Country Status (8)

Country Link
US (1) US9315636B2 (ja)
EP (1) EP2928899B1 (ja)
JP (1) JP6430954B2 (ja)
KR (2) KR102302645B1 (ja)
CN (2) CN109180722B (ja)
SG (1) SG11201502264SA (ja)
TW (1) TWI627231B (ja)
WO (1) WO2014086982A2 (ja)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5889568B2 (ja) 2011-08-11 2016-03-22 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH 酸化タングステン膜形成用組成物およびそれを用いた酸化タングステン膜の製造法
KR101674989B1 (ko) * 2013-05-21 2016-11-22 제일모직 주식회사 레지스트 하층막용 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
US9201305B2 (en) 2013-06-28 2015-12-01 Az Electronic Materials (Luxembourg) S.A.R.L. Spin-on compositions of soluble metal oxide carboxylates and methods of their use
US9296922B2 (en) * 2013-08-30 2016-03-29 Az Electronic Materials (Luxembourg) S.A.R.L. Stable metal compounds as hardmasks and filling materials, their compositions and methods of use
US9409793B2 (en) 2014-01-14 2016-08-09 Az Electronic Materials (Luxembourg) S.A.R.L. Spin coatable metallic hard mask compositions and processes thereof
US9499698B2 (en) 2015-02-11 2016-11-22 Az Electronic Materials (Luxembourg)S.A.R.L. Metal hardmask composition and processes for forming fine patterns on semiconductor substrates
US10241409B2 (en) * 2015-12-22 2019-03-26 AZ Electronic Materials (Luxembourg) S.à.r.l. Materials containing metal oxides, processes for making same, and processes for using same
NL2018760A (en) * 2016-05-19 2017-11-23 Asml Netherlands Bv Resist Compositions
JPWO2018168221A1 (ja) * 2017-03-13 2020-01-16 Jsr株式会社 感放射線性組成物及びパターン形成方法
WO2018167112A1 (en) 2017-03-16 2018-09-20 Merck Patent Gmbh Lithographic compositions and methods of use thereof
CN111051570B (zh) * 2017-09-06 2022-05-10 默克专利股份有限公司 具有改善的热稳定性可用作硬掩膜的含旋涂式无机氧化物的组合物和填充材料
US11276572B2 (en) * 2017-12-08 2022-03-15 Tokyo Electron Limited Technique for multi-patterning substrates
US11767398B2 (en) 2019-02-22 2023-09-26 Merck Patent Gmbh Spin-on compositions comprising an inorganic oxide component and an alkynyloxy substituted spin-on carbon component useful as hard masks and filling materials with improved shelf life
CN114080570A (zh) 2019-07-08 2022-02-22 默克专利股份有限公司 用于移除边缘保护层及残余金属硬掩模组分的冲洗剂及其使用方法

Family Cites Families (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3474054A (en) 1966-09-13 1969-10-21 Permalac Corp The Surface coating compositions containing pyridine salts or aromatic sulfonic acids
US3960954A (en) * 1968-05-27 1976-06-01 Halcon International, Inc. Process for preparing oximes and hydroxylamines
JPS4934735B1 (ja) * 1970-12-26 1974-09-17
JPS497001A (ja) * 1972-05-08 1974-01-22
US4094853A (en) * 1975-05-15 1978-06-13 Kenrich Petrochemicals, Inc. Alkoxy titanate salts useful as coupling agents
CA1103266A (en) * 1975-05-15 1981-06-16 Salvatore J. Monte Alkoxy titanate salts useful as coupling agents
US4251665A (en) 1978-05-22 1981-02-17 King Industries, Inc. Aromatic sulfonic acid oxa-azacyclopentane adducts
US4200729A (en) 1978-05-22 1980-04-29 King Industries, Inc Curing amino resins with aromatic sulfonic acid oxa-azacyclopentane adducts
JPS58110414A (ja) * 1981-12-23 1983-07-01 Tokuyama Soda Co Ltd 無機酸化物及びその製造方法
US4491628A (en) 1982-08-23 1985-01-01 International Business Machines Corporation Positive- and negative-working resist compositions with acid generating photoinitiator and polymer with acid labile groups pendant from polymer backbone
GB8414705D0 (en) * 1984-06-08 1984-07-11 Wiggins Teape Group Ltd Coating substrates
US4861846A (en) * 1985-03-22 1989-08-29 Union Carbidae Corporation Process for simultaneously dimerizing ethylene and copolymerizing ethylene with the dimerized product
JPS6356529A (ja) 1986-08-27 1988-03-11 Nissan Chem Ind Ltd 新規チタノシロキサン重合体の製造法
JPH01113475A (ja) * 1987-07-06 1989-05-02 Nippon Soda Co Ltd 塗装面の処理剤
JPH02105874A (ja) * 1988-10-13 1990-04-18 Mitsui Mining & Smelting Co Ltd 導電塗料用銅粉の製造方法
US5178989A (en) 1989-07-21 1993-01-12 Board Of Regents, The University Of Texas System Pattern forming and transferring processes
US5026155A (en) * 1989-09-06 1991-06-25 Air Products And Chemicals, Inc. Process for sizing particles using condensation nucleus counting
JPH03138922A (ja) 1989-10-24 1991-06-13 Matsushita Electric Ind Co Ltd 微細パターン形成方法
DE69125634T2 (de) 1990-01-30 1998-01-02 Wako Pure Chem Ind Ltd Chemisch verstärktes Photolack-Material
US5187019A (en) 1991-09-06 1993-02-16 King Industries, Inc. Latent catalysts
FR2693455B1 (fr) * 1992-07-09 1994-09-30 Inst Francais Du Petrole Procédé de fabrication d'oléfines alpha légères par oligomérisation de l'éthylène.
JPH0632756A (ja) 1992-07-14 1994-02-08 Ube Ind Ltd ビス(ヒドロキシフェニル)メタン類の製造方法
JPH0931385A (ja) * 1995-07-19 1997-02-04 Sakata Corp 印刷インキ組成物
US5843624A (en) 1996-03-08 1998-12-01 Lucent Technologies Inc. Energy-sensitive resist material and a process for device fabrication using an energy-sensitive resist material
US5772978A (en) 1996-04-24 1998-06-30 Minnesota Mining And Manufacturing Company Process for producing tungsten oxide
US6808859B1 (en) 1996-12-31 2004-10-26 Hyundai Electronics Industries Co., Ltd. ArF photoresist copolymers
US5879859A (en) 1997-07-16 1999-03-09 International Business Machines Corporation Strippable photoimageable compositions
JP2000010293A (ja) 1998-06-17 2000-01-14 Jsr Corp 反射防止膜形成用組成物および反射防止膜
US6849377B2 (en) 1998-09-23 2005-02-01 E. I. Du Pont De Nemours And Company Photoresists, polymers and processes for microlithography
US6790587B1 (en) 1999-05-04 2004-09-14 E. I. Du Pont De Nemours And Company Fluorinated polymers, photoresists and processes for microlithography
US6348299B1 (en) 1999-07-12 2002-02-19 International Business Machines Corporation RIE etch resistant nonchemically amplified resist composition and use thereof
EP1302813A4 (en) 2000-06-21 2005-02-23 Asahi Glass Co Ltd RESIST COMPOSITION
US6242156B1 (en) 2000-06-28 2001-06-05 Gary Ganghui Teng Lithographic plate having a conformal radiation-sensitive layer on a rough substrate
US6447980B1 (en) 2000-07-19 2002-09-10 Clariant Finance (Bvi) Limited Photoresist composition for deep UV and process thereof
US20020155389A1 (en) 2000-10-24 2002-10-24 Bharath Rangarajan Inverse resist coating process
CN1221861C (zh) 2001-02-09 2005-10-05 旭硝子株式会社 光致抗蚀剂组合物
US6723488B2 (en) 2001-11-07 2004-04-20 Clariant Finance (Bvi) Ltd Photoresist composition for deep UV radiation containing an additive
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6740469B2 (en) 2002-06-25 2004-05-25 Brewer Science Inc. Developer-soluble metal alkoxide coatings for microelectronic applications
US6872506B2 (en) 2002-06-25 2005-03-29 Brewer Science Inc. Wet-developable anti-reflective compositions
JP2004179254A (ja) 2002-11-25 2004-06-24 Renesas Technology Corp 半導体装置の製造方法
US20040171743A1 (en) 2003-01-21 2004-09-02 Terry Brewer, Ph.D. Hybrid organic-inorganic polymer coatings with high refractive indices
EP1462473B1 (en) 2003-03-14 2011-07-06 Nippon Shokubai Co., Ltd. Surface crosslinking method of water-absorbing resin powder
EP1470893B1 (en) 2003-04-25 2006-06-21 JSR Corporation Polishing pad and chemical mechanical polishing method
JP4131864B2 (ja) 2003-11-25 2008-08-13 東京応化工業株式会社 化学増幅型ポジ型感光性熱硬化性樹脂組成物、硬化物の形成方法、及び機能素子の製造方法
KR101158298B1 (ko) 2003-12-26 2012-06-26 닛산 가가쿠 고교 가부시키 가이샤 하드 마스크용 도포형 질화막 형성 조성물
JP4620967B2 (ja) 2004-04-26 2011-01-26 太陽ホールディングス株式会社 永久穴埋め用熱硬化性樹脂組成物
ITPG20040013A1 (it) 2004-04-30 2004-07-30 Fuma Tech Gmbh Soluzioni organiche di precursori di fosfati e pirofosfati di metalli tetravalenti e loro impiego per la modificazione di elettrodi e per la preparazione di membrane composite per celle a combustibile operanti a temperature >900 centigradi e/o a bass
JP4461901B2 (ja) 2004-05-11 2010-05-12 Tdk株式会社 ホログラム記録材料及びホログラム記録媒体
JP4811757B2 (ja) 2004-09-30 2011-11-09 独立行政法人産業技術総合研究所 メソポーラス金属酸化物複合光導波路センサー、その製造方法及びそれを用いたガスセンサー
JP2006312600A (ja) * 2005-05-09 2006-11-16 Adeka Corp 金属化合物、薄膜形成用原料、薄膜の製造方法及び薄膜
US7563549B2 (en) 2005-05-20 2009-07-21 Xerox Corporation Imaging member
US7799396B2 (en) 2005-06-03 2010-09-21 Kuraray Co., Ltd. Gas barrier layered product, method of manufacturing the same and packaging medium using the same
KR100666477B1 (ko) 2005-06-16 2007-01-11 한국과학기술연구원 산화티타늄 나노로드 및 그의 제조방법
US7326442B2 (en) 2005-07-14 2008-02-05 International Business Machines Corporation Antireflective composition and process of making a lithographic structure
JP4437226B2 (ja) 2005-08-30 2010-03-24 国立大学法人 新潟大学 光触媒膜の製造方法
WO2007032185A1 (ja) 2005-09-13 2007-03-22 Nippon Steel Chemical Co., Ltd. 熱硬化性樹脂組成物
JP4553835B2 (ja) 2005-12-14 2010-09-29 信越化学工業株式会社 反射防止膜材料、及びこれを用いたパターン形成方法、基板
WO2008026401A1 (fr) 2006-08-29 2008-03-06 Jsr Corporation Composition de résine isolante photosensible et produit durci à base de cette résine
US8168372B2 (en) 2006-09-25 2012-05-01 Brewer Science Inc. Method of creating photolithographic structures with developer-trimmed hard mask
JP4204611B2 (ja) 2006-09-25 2009-01-07 信越化学工業株式会社 フォトマスクブランクの製造方法
US7416834B2 (en) 2006-09-27 2008-08-26 Az Electronic Materials Usa Corp. Antireflective coating compositions
FR2916199B1 (fr) * 2007-05-14 2012-10-19 Inst Francais Du Petrole Procede d'oligomerisation des olefines utilisant une composition catalytique comprenant un complexe organometallique contenant un ligand phenoxy fonctionnalise par un hetero-atome
US8318830B2 (en) * 2007-10-17 2012-11-27 Basf Se Photolatent catalysts based on organometallic compounds
US8039201B2 (en) 2007-11-21 2011-10-18 Az Electronic Materials Usa Corp. Antireflective coating composition and process thereof
JP5101541B2 (ja) 2008-05-15 2012-12-19 信越化学工業株式会社 パターン形成方法
JP5503916B2 (ja) 2008-08-04 2014-05-28 富士フイルム株式会社 レジスト組成物及びそれを用いたパターン形成方法
WO2010021030A1 (ja) 2008-08-20 2010-02-25 富士通株式会社 レジスト増感膜形成用材料、半導体装置の製造方法、半導体装置、及び磁気ヘッド
JP5336306B2 (ja) 2008-10-20 2013-11-06 信越化学工業株式会社 レジスト下層膜形成方法、これを用いたパターン形成方法、及びレジスト下層膜材料
US8455593B2 (en) 2008-10-23 2013-06-04 Mie University Polyorganosiloxane composition and cured production thereof
BR112012005909A2 (pt) * 2009-09-15 2020-08-25 Basf Se compostos do catalisador de quelato de ti, formulação de catalisador de quelato de ti, uso do composto de catalisador de quelato de ti, ou da formulação de catalisador de quelato de ti, composição polimerizável, processo para a polimerização de compostos, uso da composição polimerizável, substrato revestido, e, composição polimerizada ou reticulada
KR101749601B1 (ko) * 2009-09-16 2017-06-21 닛산 가가쿠 고교 가부시키 가이샤 설폰아미드기를 가지는 실리콘 함유 레지스트 하층막 형성 조성물
JP5721992B2 (ja) 2009-10-14 2015-05-20 富士フイルム株式会社 着色硬化性組成物、レジスト液、インクジェット用インク、カラーフィルタ、カラーフィルタの製造方法、固体撮像素子、液晶ディスプレイ、有機elディスプレイ、画像表示デバイス、及び色素化合物
JP2011083820A (ja) * 2009-10-16 2011-04-28 Toshikatsu Kizaki ベルトプレス式脱水機の丸線形スクレーパ装置
AU2011216200B2 (en) 2010-02-15 2016-09-08 Cornell University Electrospinning apparatus and nanofibers produced therefrom
EP2400304A1 (en) 2010-06-22 2011-12-28 Centro de Investigación Cooperativa En Biomateriales ( CIC biomaGUNE) Method for the characterization of intermolecular interactions
JP5266294B2 (ja) 2010-11-01 2013-08-21 信越化学工業株式会社 レジスト下層膜材料及びこれを用いたパターン形成方法
EP2666826A4 (en) 2011-01-18 2014-07-02 Hitachi Chemical Co Ltd RESIN COMPOSITION AND FITTED PCB, COMPOSITE FILM AND PREPREG WITH THIS
US9281207B2 (en) 2011-02-28 2016-03-08 Inpria Corporation Solution processible hardmasks for high resolution lithography
JP2012237823A (ja) 2011-05-10 2012-12-06 Konica Minolta Business Technologies Inc 電子写真感光体、それを含むプロセスカートリッジおよび画像形成装置
US8568958B2 (en) 2011-06-21 2013-10-29 Az Electronic Materials Usa Corp. Underlayer composition and process thereof
JP5889568B2 (ja) 2011-08-11 2016-03-22 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH 酸化タングステン膜形成用組成物およびそれを用いた酸化タングステン膜の製造法
US9201305B2 (en) 2013-06-28 2015-12-01 Az Electronic Materials (Luxembourg) S.A.R.L. Spin-on compositions of soluble metal oxide carboxylates and methods of their use
US9296922B2 (en) 2013-08-30 2016-03-29 Az Electronic Materials (Luxembourg) S.A.R.L. Stable metal compounds as hardmasks and filling materials, their compositions and methods of use
CN104567030B (zh) * 2014-12-24 2016-09-21 锦州东大太阳能工程技术有限公司 太阳能聚光传输装置及其太阳能锅炉光热发电系统

Also Published As

Publication number Publication date
EP2928899A2 (en) 2015-10-14
KR20200094232A (ko) 2020-08-06
KR102302645B1 (ko) 2021-09-15
TW201443159A (zh) 2014-11-16
CN109180722B (zh) 2021-01-01
CN104781262B (zh) 2018-11-13
WO2014086982A3 (en) 2014-08-28
KR102177184B1 (ko) 2020-11-11
WO2014086982A2 (en) 2014-06-12
US20140159278A1 (en) 2014-06-12
CN104781262A (zh) 2015-07-15
EP2928899B1 (en) 2020-09-09
KR20150092737A (ko) 2015-08-13
JP2016508963A (ja) 2016-03-24
US9315636B2 (en) 2016-04-19
TWI627231B (zh) 2018-06-21
SG11201502264SA (en) 2015-04-29
CN109180722A (zh) 2019-01-11

Similar Documents

Publication Publication Date Title
JP6430954B2 (ja) 安定な金属化合物、その組成物、およびその使用方法
JP7050137B2 (ja) ハードマスクおよび充填材料として安定な金属化合物、その組成物、およびその使用方法
JP6122426B2 (ja) 下層組成物及びそれの方法
JP6342998B2 (ja) 可溶性金属酸化物カルボキシレートのスピンオン組成物及びそれらの使用方法
JP6810696B2 (ja) ハードマスク組成物および半導体基板上での微細パターンの形成方法
JP5822358B2 (ja) 縮合芳香環を含む反射防止コーティング組成物
JP5912170B2 (ja) 反射防止コーティング組成物及びそれの方法
JP2011520148A (ja) 反射防止コーティング組成物
US10241409B2 (en) Materials containing metal oxides, processes for making same, and processes for using same

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160824

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20161121

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170120

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170215

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20170621

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20171017

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20171025

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20171110

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20181101

R150 Certificate of patent or registration of utility model

Ref document number: 6430954

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250