KR102302645B1 - 안정한 금속 화합물, 이의 조성물 및 이의 사용 방법 - Google Patents

안정한 금속 화합물, 이의 조성물 및 이의 사용 방법 Download PDF

Info

Publication number
KR102302645B1
KR102302645B1 KR1020207021984A KR20207021984A KR102302645B1 KR 102302645 B1 KR102302645 B1 KR 102302645B1 KR 1020207021984 A KR1020207021984 A KR 1020207021984A KR 20207021984 A KR20207021984 A KR 20207021984A KR 102302645 B1 KR102302645 B1 KR 102302645B1
Authority
KR
South Korea
Prior art keywords
group
alkyl group
substituted
compound
coor
Prior art date
Application number
KR1020207021984A
Other languages
English (en)
Other versions
KR20200094232A (ko
Inventor
후이롱 야오
엠 달릴 라만
살렘 케이 물렌
조준연
클레멘트 아냐디에구
무니라트나 파드마나반
Original Assignee
리지필드 액퀴지션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 리지필드 액퀴지션 filed Critical 리지필드 액퀴지션
Publication of KR20200094232A publication Critical patent/KR20200094232A/ko
Application granted granted Critical
Publication of KR102302645B1 publication Critical patent/KR102302645B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/28Titanium compounds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G79/00Macromolecular compounds obtained by reactions forming a linkage containing atoms other than silicon, sulfur, nitrogen, oxygen, and carbon with or without the latter elements in the main chain of the macromolecule
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F3/00Compounds containing elements of Groups 2 or 12 of the Periodic Table
    • C07F3/02Magnesium compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic Table
    • C07F5/06Aluminium compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/24Lead compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/90Antimony compounds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L85/00Compositions of macromolecular compounds obtained by reactions forming a linkage in the main chain of the macromolecule containing atoms other than silicon, sulfur, nitrogen, oxygen and carbon; Compositions of derivatives of such polymers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Materials For Photolithography (AREA)
  • Polymers With Sulfur, Phosphorus Or Metals In The Main Chain (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Compositions Of Macromolecular Compounds (AREA)

Abstract

본 개시는 안정성이 개선된 가용성의, 멀티리간드로 치환된 금속 화합물 뿐 아니라, 이로부터 제조된 조성물 및 이의 사용 방법에 관한 것이다.

Description

안정한 금속 화합물, 이의 조성물 및 이의 사용 방법{STABLE METAL COMPOUNDS, THEIR COMPOSITIONS AND METHODS OF THEIR USE}
본 발명은 안정성이 개선된 가용성의, 멀티리간드로 치환된 금속 화합물 뿐 아니라, 이로부터 제조된 조성물 및 이의 사용 방법에 관한 것이다.
금속 산화물 필름은 예컨대 리소그래피 하드마스크, 반사 방지 코팅 및 전기광학 소자용 하지층(underlayer)과 같은 반도체 산업의 다양한 용도에 유용하다.
예로서, 포토레지스트 조성물은 컴퓨터 칩 및 집적 회로와 같은 소형화 전자 부품의 제조를 위한 마이크로리소그래피 공정에 사용된다. 일반적으로, 포토레지스트 조성물의 얇은 코팅을 집적 회로의 제조에 사용되는 규소계 웨이퍼와 같은 기판에 도포한다. 코팅된 기판을 그 다음 소성하여 소정량의 용매를 포토레지스트로부터 제거한다. 기판의 소성된 코팅 표면을 그 다음 가시광, 자외선, 극자외선, 전자빔, 입자 빔 및 X선 방사선과 같은 화학선 방사선에 이미지 형성 방식으로(image-wise) 노광시킨다.
방사선은 포토레지스트의 노광 영역에서 화학적 변형을 일으킨다. 노광된 코팅을 현상제 용액으로 처리하여 포토레지스트의 방사선 노광 또는 비노광 영역을 용해 및 제거한다.
반도체 소자의 소형화에 대한 추세가 더욱 더 짧은 방사선의 파장에 감광성인 새로운 포토레지스트의 사용을 유도하고, 또한 이러한 소형화에 관련된 문제를 극복하기 위한 복잡한 다단계(multilevel) 시스템의 사용을 유도한다.
포토리소그래피에 있어서의 흡수성 반사 방지 코팅 및 하지층이 종종 반사성이 큰 기판으로부터 반사하는 방사선으로부터 생기는 문제를 감소시키는 데에 사용된다. 반사된 방사선으로 박막 계면 효과 및 반사 노칭이 생긴다. 박막 간섭 또는 정상파로 포토레지스트의 두께가 변화하면서 포토레지스트 필름의 총 광 강도의 변동에 의해 초래되는 임계 라인 폭 치수의 변화가 생긴다. 반사 및 입사 노광 방사선의 간섭은 두께를 통한 방사선의 균일성을 변형시키는 정상파 효과를 일으킬 수 있다. 포토레지스트 필름을 통해 광을 산란시켜 라인 폭 변동을 일으키고 심한 경우에는 소정 치수가 완전히 손상된 영역을 형성하는 국소적인 특징부(feature)를 포함하는 반사 기판 위에 포토레지스트가 패터닝되면서, 반사 노칭이 심해진다. 포토레지스트 하부 및 반사 기판 상부에 코팅된 반사 방지 코팅 필름이 포토레지스트의 리소그래피 성능에 상당한 개선을 제공한다. 통상적으로, 바닥 반사 방지 코팅을 기판에 도포하고 경화시킨 후 포토레지스트의 층을 도포한다. 포토레지스트를 이미지 형성 방식으로 노광시키고 현상시킨다. 노광 영역의 반사 방지 코팅을 그 다음 통상적으로 다양한 에칭 가스를 사용하여 건식 에칭하고, 이에 따라 포토레지스트 패턴을 기판에 전사한다.
다량의 난용성(refractory) 원소를 포함하는 하지층을 반사 방지 코팅 뿐 아니라 하드 마스크로서 사용할 수 있다. 위에 놓인(overlying) 포토레지스트가 하지(underlying) 반도체 기판에 이미지를 전사하는 데에 이용되는 건식 에칭에 대한 충분히 높은 내성을 제공할 수 없을 때에, 하드 마스크가 유용하다. 이러한 환경에서는, 재료는 그 위에 생성된 임의의 패턴을 하지 반도체 기판에 전사하기에 충분히 높은 에치 내성을 갖는 하드 마스크를 요구한다. 유기 포토레지스트는 하지 하드 마스크와는 상이하여, 포토레지스트 내 이미지를 하지 하드 마스크에 전사 가능하게 하는 에치 가스 혼합물을 찾을 수 있으므로, 이것이 가능해진다. 이 패터닝된 하스 마스크를 그 다음, 단일 에칭 공정으로는 포토레지스트가 그 자체로는 달성할 수 없었던 과제인, 하드 마스크로부터의 이미지를 반도체 기판에 전사하기는 것에 적당한 에치 조건 및 가스 혼합물과 함께 이용할 수 있다.
다중 반사 방지 층 및 하지층이 새로운 리소그래피 기술에 사용되고 있다. 포토레지스트가 충분한 건식 에치 내성을 제공하지 못할 경우, 하드 마스크로서 작용하고 기판 에칭 동안 에치 내성이 높은 포토레지스트용 하지층 및/또는 반사 방지 코팅이 선호된다. 하나의 접근법은 규소, 티타늄 또는 다른 금속 재료를 유기 포토레지스트 층 아래에 있는 층에 삽입하는 것이다. 추가로, 다른 탄소 함량이 높은 반사 방지 또는 마스크 층을, 이미징 공정의 리소그래피 성능의 개선에 사용되는 고탄소 필름/하드마스크 필름/포토레지스트의 3층과 같은 금속 포함 반사 방지 층 아래에 배치할 수 있다. 스퍼터링과 같은 화학적 증착에 의해 종래의 하드 마스크를 도포할 수 있다. 그러나, 상기 언급한 종래의 접근법에 대한 스핀 코팅의 상대적인 간단성이 매우 바람직한 필름 내 고농도의 금속 재료를 포함하는 새로운 스핀온 하드 마스크 또는 반사 방지 코팅의 개발을 가능하게 한다.
금속 산화물을 포함하는 반도체 용도를 위한 하지층 조성물이 반사 방지 특성 뿐 아니라 건식 에치 내성을 제공하는 것으로 밝혀졌다. 그러나, 금속 알콕시드와 같은 금속 산화물 필름을 형성하기 위한 종래의 가용성 금속 화합물은 저장 수명 안정성, 코팅 문제 및 성능 단점을 비롯한 다양한 이슈를 일으키는 공기 내 수분에 대해 매우 불안정한 것으로 밝혀졌다. 금속 산화물은 반도체 산업에서 통상적으로 사용되며 허용되는 용매 중에서 가용성 문제가 있다. 따라서, 공기에 노출된 후에도 유기 용매 가용성의, 안정한 금속 화합물을 포함하며 또한 금속 산화물을 형성하기 위한 필름의 경화 후에 화학적 용액 중에서 스트리핑 가능한(strippable) 스핀온 하드마스크, 반사 방지 코팅 및 다른 하지층을 제조할 필요가 현저하다.
본 발명은 안정성이 개선된, 금속 산화물을 형성하기 위한 신규한 가용성의, 멀티리간드로 치환된 금속 산화물 화합물 뿐 아니라, 이로부터 제조된 조성물 및 이의 사용 방법에 관한 것이다.
제1 구체예에서, 바람직하게는 하기 구조의, 가용성의, 멀티리간드로 치환된 금속 화합물이 본 명세서에 개시 및 청구된다:
Figure 112020078942129-pat00001
상기 화학식에서, n은 약 1~약 20이며, R1은 독립적으로 C1 - C6 치환 또는 비치환, 분지쇄형 또는 비분지쇄형 알킬기 또는 R2이고, 각각의 R2는 동일 또는 상이하고, 치환 또는 비치환 방향족 또는 헤테로방향족 기, C6 - C20 치환 또는 비치환, 분지쇄형 또는 비분지쇄형 알킬 또는 알케닐 기, -COR3기, -N(R3)2기, -SO2R3기, -SOR3기 또는 -SR3기 중 1 이상이고, 여기서 각각의 R3은 동일 또는 상이하고, 치환 또는 비치환 방향족 또는 헤테로방향족 기 또는 치환 또는 비치환, 분지쇄형 또는 비분지쇄형 C1 - C20 알킬기, 알케닐기 또는 -알킬렌-COOR3' 또는 -알케닐렌-COOR3'의 구조를 갖는 작용화된 기이고, 여기서 R3'는 C1 - C6 치환 또는 비치환, 분지쇄형 또는 비분지쇄형 알킬기이며; 여기서, 리간드 중 1 이상은 금속 화합물에 안정성을 부여한다. 이들 신규한 금속 화합물은 공기에 노출 후에도 안정하며 또한 화학적 용액 중에서 스트리핑 가능하다.
다른 구체예에서, 금속은 티타늄, 지르코늄, 탄탈륨, 납, 안티몬, 탈륨, 인듐, 이테르븀, 갈륨, 하프늄, 알루미늄, 마그네슘, 몰리브덴, 게르마늄, 주석, 철, 코발트, 니켈, 구리, 아연, 금, 은, 카드뮴, 텅스텐 또는 백금인 상기 구체예의 가용성의, 멀티리간드로 치환된 금속 화합물이 본 명세서에 개시 및 청구된다.
다른 구체예에서, n이 약 2~약 20일 경우 금속은 동일하거나 또는 1 초과의 금속인 상기 구체예의 가용성의, 멀티리간드로 치환된 금속이 본 명세서에 개시 및 청구된다.
다른 구체예에서, R2 중 하나가 부분 또는 완전 플루오르화 알킬기이고, 및/또는 R3 중 하나가 부분 또는 완전 플루오르화 알킬, 알케닐, 방향족 또는 헤테로방향족 기인 상기 구체예의 가용성의, 멀티리간드로 치환된 금속이 본 명세서에 개시 및 청구된다.
또 다른 구체예에서, n이 약 1-20일 경우, 상기 구체예의 금속 화합물, 1 이상의 용매 및 임의로 유기계 또는 규소계 가교성 중합체를 포함하는 조성물이 본 명세서에 개시 및 청구된다.
또 다른 구체예에서, n이 1일 경우, 상기 구체예의 금속 화합물, 1 이상의 용매 및 임의로 유기계 또는 규소계 가교성 중합체를 포함하는 조성물이 본 명세서에 개시 및 청구된다.
또 다른 구체예에서, 열 산 발생제, 열 염기 발생제 또는 열 활성화 과산화물 중 1 이상을 더 포함하는 상기 구체예의 조성물이 본 명세서에 개시 및 청구된다.
또 다른 구체예에서, 상기 구체예 중 임의의 것의 조성물을 기판에 도포하는 단계, 약 30~약 120 초 동안 약 120℃~약 350℃에서 소성하는 단계, 및 임의로 스트리퍼를 사용하여 조성물을 제거하는 단계를 포함하는, 전자 소자의 제조 방법이 본 명세서에 개시 및 청구된다.
도 1A~1I는 본 개시의 가용성의, 멀티리간드로 치환된 금속 화합물의 예이다.
도 2는 가용성의, 멀티리간드로 치환된 금속 착물의 예를 도시한다.
도 3은 제제에 사용되는 유기 중합체의 예를 도시한다.
상세한 설명
본 명세서에서 사용된 바의 접속사 "및"은 포함적인 것을 의도하며, 접속사 "또는"은 달리 지시되지 않는 한 배제적인 것을 의도하지 않는다. 예컨대, 표현 "또는 대안적으로"는 배제적인 것을 의도한다.
본 명세서에서 사용된 바의 용어 "및/또는"은 단일 요소를 사용하는 것을 포함하는 앞의 요소의 임의의 조합을 지칭한다.
본 명세서에서 사용된 바의 용어 "알킬"은 직쇄형 또는 환형 사슬 알킬 치환기 뿐 아니라 이의 분지쇄형 이성체 중 임의의 것도 지칭한다.
본 명세서에서 사용된 바의 용어 "알케닐"은 불포화 탄소-탄소 결합을 포함하는 직쇄형 또는 환형 사슬 알케닐 치환기 뿐 아니라 이의 분지쇄형 이성체 중 임의의 것도 지칭한다.
본 명세서에서 사용된 바의 용어 "알킬렌"은 직쇄형 또는 환형 사슬 알킬렌 치환기 뿐 아니라 이의 분지쇄형 이성체 중 임의의 것도 지칭한다.
본 명세서에서 사용된 바의 용어 "알케닐렌" 불포화 탄소-탄소 결합을 포함하는 직쇄형 또는 환형 사슬 알케닐렌 치환기 뿐 아니라 이의 분지쇄형 이성체 중 임의의 것도 지칭한다.
본 명세서에서 사용된 바의 용어 "규소계 중합체"는 규소 중합체 뿐 아니라 유기 규소 중합체도 지칭하며, 이량체, 삼량체 등과 같은 저량체(lower mer) 물질을 포함한다.
본 명세서에서 사용된 바의 용어 "조성물" 및 "제제"는 상호 교환적으로 사용되고 동일한 것을 의미한다.
하기 구조의, 가용성의, 멀티리간드로 치환된 금속 화합물이 본 명세서에 개시 및 청구된다:
Figure 112020078942129-pat00002
상기 금속 M은 예컨대 티타늄, 지르코늄, 탄탈륨, 납, 안티몬, 탈륨, 인듐, 이테르븀, 갈륨, 하프늄, 알루미늄, 마그네슘, 몰리브덴, 게르마늄, 주석, 철, 코발트, 니켈, 구리, 아연, 금, 은, 카드뮴, 텅스텐 또는 백금 뿐 아니라 다른 전이 금속을 비롯한 적절한 금속의 리스트에서 선택될 수 있다. n이 약 1, 바람직하게는 1일 경우, 금속 화합물은 단원자성일 수 있다. n이 약 2~약 20, 바람직하게는 2~20일 경우, 금속 화합물은 또한 다원자성일 수 있으며, 이 때에는 교대 금속-산소 분절의 사슬을 생성시킨다. 다원자성 화합물은 예컨대 티타늄과 같은 단 1종의 금속 원자를 포함할 수 있거나, 또는 이는 규소 및 지르코늄과 같은 금속-옥소 주쇄에 분산된 다른 금속을 가질 수 있다. 혼합된 금속 다원자성 금속 화합물 중의 각각의 금속의 양은 최종 경화된 금속 산화물 층의 소정 특성에 따라 0.001%~99.999% 범위일 수 있다. 이들 신규한 금속 화합물은 공기에의 노출 후에도 안정하며, 또한 화학적 용액 중에서 스트리핑 가능하다.
R1은 C1 - C6 알킬기 뿐 아니라 이의 분지쇄형 및/또는 환형 이성체 중 임의의 것일 수 있으며, 비치환되거나 또는 예컨대 할로겐(예컨대 불소, 염소, 브롬 등)으로 치환될 수 있거나, R1은 R2일 수 있다. 추가로, 2개의 R1기가 예컨대 아세틸아세톤, 아세틸아세테이트 및 다른 디케톤과 같은 동일한 리간드의 부분일 수 있다.
각각의 R2는 동일 또는 상이할 수 있다. 이는 예컨대 페닐, 나프틸, 안트라세닐 기 등과 같은 치환 또는 비치환 방향족 기일 수 있다. R2는 또한 헤테로방향족기, 예컨대 질소 복소환, 예컨대 피리딘, 피롤, 피라진 또는 황 복소환, 예컨대 티오펜일 수 있다. R2는 C6 - C20 치환 또는 비치환 알킬 또는 알케닐 기 또는 이의 분지쇄형 및/또는 환형 이성체일 수 있다. 이들은 또한 예컨대 불소와 같은 할로겐으로 치환될 수 있다. R2는 또한 -COR3기, -N(R3)2기, -SO2R3기, -SOR3기 또는 -SR3기일 수 있으며, 여기서 R3은 동일 또는 상이하고, 치환 또는 비치환 방향족 또는 헤테로방향족 기 또는 치환 또는 비치환, 분지쇄형, 비분지쇄형 및/또는 환형 C1 - C20 알킬기, 알케닐기 또는 -알킬렌-COOR3' 또는 -알케닐렌-COOR3'의 구조를 갖는 작용화된 알킬렌이며, 여기서 R3'는 C1 - C6 치환 또는 비치환, 분지쇄형 또는 비분지쇄형 알킬 또는 환형 기이다.
R2의 몰 당량은 R2 리간드의 크기, 휘발성 및 소수성에 따라 상기 구조 내 배위 리간드의 총 몰의 >10%이다. 일반적으로, R2의 양은 총 리간드(R1+R2)의 100 몰% 이하 또는 40-80 몰%일 수 있다. 금속 화합물을 안정화시키는 데에 킬레이트화제와 같은 다른 리란드도 사용될 수 있다. 킬레이트화 리간드의 예는 아세틸아세톤, 벤조일아세톤, 4,4,4-트리플루오로-1-페닐-1,3-부탄디오네이트 및 에틸 아세토아세테이트이다.
따라서, 각각의 R2는 동일 또는 상이할 수 있고, 불포화 에스테르, 예컨대 -CO-CH=CH-COOR3' 또는 -CO-CH=C(CH3)-COOR3' 또는 방향족 에스테르, 예컨대 -CO- C6H4-COOR3', 알킬 에스테르, 예컨대 -CO-CH2CH2-COOR3' 또는 -CO-CH2CH2CH2-COOR3' 또는 지환식 에스테르, 예컨대 -CO-C6H10-COOR3'일 수 있으며, 여기서 C6H10은 시클로헥실기이다.
상기 기재된 바의 멀티리간드로 치환된 금속 산화물은 표 3에 나타난 바와 같이 Ti(O-부틸)4와 같은 종래의 금속 산화물에 비해 수분 및 공기에 매우 안정함이 놀랍게도 밝혀졌다. 추가로, 다양한 부류의 리간드를 금속 산화물에 첨가함으로써, 이는 복합 조성물 사용시의 스핀온 코팅 및 다른 성분의 가용화에 유용한 다양한 용매에 가용화된다. 이들 금속 화합물이 하지층 코팅에 사용되는 종래의 금속 산화물을 대체시에 코팅 품질도 개선되었다.
본 개시의 멀티리간드로 치환된 금속 화합물은 하기 기재된 바와 같이 이의 알콕시드 또는 아세틸아세토네이트(acac)로부터 제조된다. 알콕시 또는 acac 금속 화합물을, 알콕시 또는 acac 기의 일부를 치환하기 위해, 무수물, 환식 무수물, 카르복실산, 설폰산, 아미드, 히드록시아민, 설포네이트, 설피네이트, 설페네이트, 아크릴산 및/또는 메타크릴산과 반응시킨다. 다른 고분자량 알콕시 금속 화합물 또는 리간드 상에 특정 작용기를 갖는 것들을 고분자량 또는 작용화 알콜로부터 얻을 수 있다. 반응에서 리간드 치환의 목표량은 치환하는 리간드의 크기, 휘발성, 용해도 및 소수성에 따라 총 배위 부위의 10 몰%를 초과한다.
1 초과의 리간드를 소정의 알콕시 금속과의 반응에 사용할 수 있음을 주지해야 한다.
본 개시의 가용성의, 멀티리간드로 치환된 금속 화합물의 예를 도 1-2에 도시한다.
본 개시의 멀티리간드로 치환된 금속 화합물은 반도체 산업 뿐 아니라 관련 산업에서 유용한 금속 산화물 포함 층의 제조에 사용된다. 상기 화합물 식에서, n이 약 2~약 20, 예컨대, 약 4~약 20일 경우, 화합물을 적절한 용매에 용해시키고 임의의 다른 첨가제 없이 사용할 수 있다. n이 1일 경우, 예컨대 폴리(메트)아크릴, 폴리(메트)아크릴레이트 및 축합 중합체, 예컨대 폴리에스테르, 노볼락 수지, 실록산 수지 또는 오르가노실세스퀴논과 같은 추가의 필름 형성 유기계 또는 규소계 중합체를 사용한다. 이들 중합체는 경화 후 최종 필름의 소정 특성에 따라 단독으로 사용하거나 또는 서로 병용할 수 있다. 이들 중합체는 일반적으로 임의의 수의 동일 또는 상이한 가교 치환기, 예컨대 에폭시, 히드록시, 티올, 아민, 아미드, 이미드, 에스테르, 에테르, 우레아, 카르복실산, 무수물 등을 포함하는 가교 중합체이다. 가교기의 다른 예는 글리시딜 에테르 기, 글리시딜 에스테르 기, 글리시딜 아미노 기, 메톡시메틸기, 에톡시 메틸 기, 벤질옥시메틸기, 디메틸아미노 메틸 기, 디에틸아미노 메틸 기, 디메틸올 아미노 메틸 기, 디에틸올 아미노 메틸 기, 모르폴리노 메틸 기, 아세톡시메틸기, 벤질옥시 메틸기, 포르밀기, 아세틸기, 비닐기 및 이소프로페닐기를 포함한다.
n이 1일 경우, 유기계 또는 규소계 가교성 중합체를 금속 성분과 혼합한다. n이 약 2~약 20일 경우, 임의로 유기계 또는 규소계 가교성 중합체를 금속 성분과 혼합할 수 있다. 유기 중합체는 예컨대 히드록실, 에폭시, 아미드, 우레아 또는 카르복실산과 같은 가교성 기를 포함한다. 가교성 중합체의 예는 도 3에 기재되어 있다. 규소계 중합체는 예컨대 가교성 폴리실록산이다. 유기 중합체는 폴리비닐, 폴리아크릴, 폴리에스테르 등일 수 있다.
신규한 조성물의 유기 중합체는 하기 구조 (1)의 플루오로알콜기를 갖는 단위 및 하기 구조 (2)의 에폭시기를 갖는 단위를 포함할 수 있다:
Figure 112020078942129-pat00003
상기 화학식에서, R4~R9는 독립적으로 수소 및 C1 - C4 알킬에서 선택되며, W는 2가 연결기[예컨대 아릴렌, 카르보닐옥시(-C(=O)-O-) 및 카르보닐옥시알킬렌(-C(=O)-O-W3-)이며, 여기서 W3은 C1 - C20 알킬렌 모이어티, 완전 플루오르화 (C1 - C20)알킬렌기 및 부분 플루오르화 (C1 - C20)알킬렌기에서 선택됨]이고, Rf1 및 Rf2는 독립적으로 완전 또는 부분 플루오르화 (C1 - C6) 알킬기에서 선택되며; W1은 아릴렌 연결기, 카르보닐(-(C=O)) 연결기 및 카르보닐옥시알킬렌 연결기(-C(=O)-O-W4-)에서 선택되고, 여기서 W4는 C1 - C6 알킬렌 모이어티, 플루오르화 (C1 - C6) 알킬렌기 및 부분 플루오르화 (C1 - C6) 알킬렌기로 이루어진 군에서 선택되고; W2는 (C1 - C6) 알킬렌 모이어티이다. 중합체는 구조 1 및 구조 2를 갖는 단량체 단위만을 포함할 수 있거나, 또는 임의로 다른 단량체를 포함할 수 있다. W3 및 W4의 구체예는 독립적으로 메틸렌, 에틸렌, 프로필렌, 이소프로필렌 및 1-헥실에틸렌, 펜타플루오로프로필렌, 2,2,2-트리플루오로에틸렌 및 헵타플루오로부틸렌이다. Rf1 및 Rf2의 구체예는 트리플루오로메틸, 펜타플루오로프로필 및 2,2,2-트리플루오로에틸, 헵타플루오로부틸이다. W2의 구체예는 메틸렌, 에틸렌, 프로필렌, 이소프로필렌 등이다.
유기 중합체는 구조 (1) 및 (2)의 단위를 포함할 수 있으며, R10 및 R12가 독립적으로 수소 및 C1 - C4 알킬에서 선택되는 임의의 하기 반복 단위 (3)을 추가로 포함할 수 있다:
Figure 112020078942129-pat00004
상기 화학식에서, W5는 직접 원자가 결합, 아릴렌기, 에스테르(C(=O)-O-R13) 기, C1 - C20 알킬렌기 및 이의 혼합에서 선택되고, R13은 C1 - C20 알킬렌, C1 - C20 알킬렌아릴렌, 아릴렌 및 치환된 아릴렌에서 선택된다. 단위 (3)을 얻기 위해 사용되는 단량체의 구체예는 2-히드록시에틸메타크릴레이트, 2-히드록시프로필메타크릴레이트, 2-히드록시부틸메타크릴레이트 및 히드록시스티렌이다.
하지층 유기 중합체는 적어도 구조 1의 단위 및 구조 2의 단위 중 하나 또는 구조 3의 단위 중 하나를 포함할 수 있고, 추가로 임의의 하기 반복 단위 (4)를 포함할 수 있으며, 여기서 R14~R16은 독립적으로 수소, 니트릴(CN) 및 C1 -C4 알킬에서 선택되고, R17은 아릴기 또는 또는 에스테르(-C(=O)-O-R18) 기이며, 여기서 R18은 C1 - C20 알킬, C1 - C20 알킬렌아릴, 아릴, 치환된 아릴, 플루오르화 알킬기, 부분 플루오르화 알킬기 또는 이의 혼합으로 이루어진 군에서 선택된다. 다른 단량체 단위도 유기 중합체에 존재할 수 있다. 단위 (4)를 얻기 위해 사용되는 단량체의 구체예는 스티렌, 벤질메타크릴레이트, 부틸메타크릴레이트, 2-에틸헥실 메타크릴레이트, 벤질아크릴레이트 및 부틸아크릴레이트이다.
Figure 112020078942129-pat00005
신규한 하지층 조성물에서, 중합체는 10~90 몰% 범위의 플루오로알콜기, 및 10~90 몰% 범위의 에폭시기를 포함한다.
구조 1의 단위 및 구조 2 및 구조 3 중 하나만이 존재하는 중합체의 구체예에서, 단위 (1)은 약 10-80 몰% 또는 20-60 몰% 범위일 수 있는 반면; 반복 단위 (2) 또는 (3)은 약 20-90 몰% 또는 약 30-70 몰% 범위일 수 있다. 구조 1, 2 및 3 또는 4 중 적어도 3종의 반복 단위가 존재하는 중합체의 구체예에서, 단위 1은 약 10-70 몰% 또는 약 20-50 몰% 범위일 수 있고, 반복 단위 2는 약 10-70 몰% 또는 약 20-50% 범위일 수 있으며, 반복 단위 3 또는 4는 약 1-50 몰% 또는 약 5-40 몰% 범위일 수 있다. 구조 1, 2, 3 및 4를 갖는 적어도 4종의 반복 단위가 존재하는 중합체의 구체예에서, 단위 1은 10-70 몰% 또는 20-50 % 범위이고, 반복 단위 2는 10-70 몰% 또는 약 20-50 몰% 범위일 수 있으며, 반복 단위 3은 약 1-40 몰% 또는 약 5-30 몰% 범위일 수 있고, 반복 단위 4는 약 1-30 몰% 또는 약 5-25 몰% 범위일 수 있다.
하지층 코팅 조성물에 적절한 용매는 예컨대 저급 알콜(C1 - C6), 예컨대 이소프로판올, n-부탄올, t-부탄올, 1-펜탄올 및 4-메틸-2-펜탄올, 글리콜, 예컨대 에틸렌 글리콜 및 프로필렌 글리콜, 글리콜 에테르 유도체, 예컨대 에틸 셀로솔브, 메틸 셀로솔브, 프로필렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 모노에틸 에테르, 디프로필렌 글리콜 디메틸 에테르, 프로필렌 글리콜 n-프로필 에테르 또는 디에틸렌 글리콜 디메틸 에테르; 글리콜 에테르 에스테르 유도체, 예컨대 에틸 셀로솔브 아세테이트, 메틸 셀로솔브 아세테이트 또는 프로필렌 글리콜 모노메틸 에테르 아세테이트; 카르복실레이트, 예컨대 에틸 아세테이트, n-부틸 아세테이트 및 아밀 아세테이트; 이염기성 산의 카르복실레이트, 예컨대 디에틸옥실레이트 및 디에틸말로네이트; 글리콜의 디카르복실레이트, 예컨대 에틸렌 글리콜 디아세테이트 및 프로필렌 글리콜 디아세테이트; 및 히드록시 카르복실레이트, 예컨대 메틸 락테이트, 에틸 락테이트, 에틸 글리콜레이트 및 에틸-3-히드록시 프로피오네이트; 케톤 에스테르, 예컨대 메틸 피루베이트 또는 에틸 피루베이트; 알콕시 알콜, 예컨대 2-메톡시에탄올, 에톡시에탄올, 알콕시카르복실산 에스테르, 예컨대 메틸 3-메톡시프로피오네이트, 에틸 3-에톡시프로피오네이트, 에틸 2-히드록시-2-메틸프로피오네이트 또는 메틸에톡시프로피오네이트; 케톤 유도체, 예컨대 메틸 에틸 케톤, 아세틸 아세톤, 시클로펜타논, 시클로헥사논 또는 2-헵타논; 케톤 에테르 유도체, 예컨대 디아세톤 알콜 메틸 에테르; 케톤 알콜 유도체, 예컨대 아세톨 또는 디아세톤 알콜; 락톤, 예컨대 부티로락톤 및 감마-발레로 락톤; 아미드 유도체, 예컨대 디메틸아세트아미드 또는 디메틸포름아미드, 애니솔 및 이의 혼합물을 포함할 수 있다.
금속 화합물을 포함하는 신규한 조성물은 코팅의 성능을 향상시키는 다른 성분, 예컨대 저급 알콜(C1 - C6 알콜), 알콕시알콜, 락톤, C1 - C20 알킬 카르복실산, 표면 평활제(총 고형분의 <5 중량%), 디알콕시 비스(베타디케토에스테르)(총 고형분의 1-20 중량% 또는 5-10 중량%), 디알콕시 비스(베타 디케톤)(총 고형분의 1-20 중량% 또는 5-10 중량%), 열 산 발생제, 광산 발생제 등을 포함할 수 있다. 디알콕시 비스(베타디케토에스테르) 및 디알콕시 비스(베타 디케톤)은 아세틸아세톤, 벤조일아세톤, 4,4,4-트리플루오로-1-페닐-1,3-부탄디온 및 에틸 아세토아세테이트일 수 있다. 표면 평활제 또는 계면 활성제는 폴리에틸렌 글리콜 도데실 에테르, 폴리옥시에틸렌 올레일 에테르, 폴리에틸렌 글리콜 옥타데실 에테르, 폴리에틸렌 글리콜 tert-옥틸페닐 에테르, 불소계 계면 활성제 및 규소계 계면 활성제일 수 있다. 하기 상표명의 계면 활성제를 사용할 수 있다: Brij30, Brij52, Triton X-100, FC430 등.
조성물을 추가로 가교시키기 위해, 예컨대 비스페놀 A계 에폭시 화합물, 비스페놀 F계 에폭시 화합물, 비스페놀 S계 에폭시 화합물, 노볼락 수지계 에폭시, 폴리(히드록시스티렌)계 에폭시 화합물, 멜라민 화합물, 벤조구아나민 화합물 및 우레아 화합물을 비롯한 가교 첨가제를 첨가할 수 있다.
코팅된 조성물의 열 경화 동안 가교를 돕기 위해, 열 산 발생제와 같은 열 활성화 촉매도 조성물에 첨가할 수 있다. 열 산 발생제는 90℃ 이상, 예컨대 120℃ 이상 및 150℃ 이상에서 활성화될 수 있다. 열 산 발생제의 예는 금속 무함유 설포늄 염 및 요오도늄 염, 예컨대 비친핵성 강산의 디아릴알킬설포늄 염, 트리아릴설포늄 및 디알킬아릴설포늄, 비친핵성 강산의 디아릴요오도늄 염, 알킬아릴요오도늄; 및 비친핵성 강산의 테트라알킬암모늄 염, 암모늄, 알킬암모늄, 디알킬암모늄, 트리알킬암모늄, 알킬 또는 아릴설폰산의 2-니트로벤질 에스테르 및 열 분해하여 유리 설폰산을 제공하는 설폰산의 다른 에스테르를 포함한다. 다른 예는 디아릴요오도늄 퍼플루오로알킬설포네이트, 디아릴요오도늄 트리스(플루오로알킬설포닐)메티드, 디아릴요오도늄 비스(플루오로알킬설포닐)메티드, 디아릴요오도늄 비스(플루오로알킬설포닐)이미드, 디아릴요오도늄 또는 4급 암모늄 퍼플루오로알킬설포네이트를 포함한다. 불안정(labile) 에스테르의 예는 2-니트로벤질 토실레이트, 2,4-디니트로벤질 토실레이트, 2,6-디니트로벤질 토실레이트, 4-니트로벤질 토실레이트; 벤젠설포네이트, 예컨대 2-트리플루오로메틸-6-니트로벤질 4-클로로벤젠설포네이트, 2-트리플루오로메틸-6-니트로벤질 4-니트로 벤젠설포네이트; 페놀성 설포네이트 에스테르, 예컨대 페닐, 4-메톡시벤젠설포네이트; 4급 암모늄 트리스(플루오로알킬설포닐)메티드, 및 4급 알킬 암모늄 비스(플루오로알킬설포닐)이미드, 유기 산의 알킬 암모늄 염, 예컨대 10-캠퍼설폰산의 트리에틸암모늄 염을 포함한다. 미국 특허 제3,474,054호, 제4,200,729호, 제4,251,665호 및 제5,187,019호에 개시된 것들을 비롯한 다양한 방향족(안트라센, 나프탈렌 또는 벤젠 유도체) 설폰산 아민 염을 TAG로서 사용할 수 있다. TAG는 170~220℃의 온도에서 매우 낮은 휘발성을 가질 수 있다.
예컨대, 과산화벤조일, 3,5-디클로로벤조퍼옥시드 등과 같은 열 활성화 과산화물도 본 발명의 조성물에 사용할 수 있다.
본 개시의 조성물은 고형분을 기준으로 20 중량% 초과, 예컨대 고형분을 기준으로 50 중량% 초과 또는 90 중량% 초과의 멀티리간드로 치환된 금속 화합물을 포함한다. 동일 또는 상이한 금속을 포함하는 2 이상의 금속 화합물을 제제에 사용할 수 있다. 사용시 중합체는 고형분을 기준으로 약 80 중량% 미만, 예컨대 고형분을 기준으로 50 중량% 미만, 10 중량% 미만이다. 가교 첨가제는 고형분을 기준으로 2~30 중량%로 존재한다. 예컨대 습윤제, 계면 활성제, 소포제, 틱소트로픽 제제 등과 같은 코팅 첨가제에 통상적인 다른 첨가제를 첨가할 수 있다.
선택된 용매 또는 용매 블렌드 중 총 고형분%는 약 1~약 40% wt/wt, 예컨대 약 2~약 30% wt/wt이다.
신규한 화합물, 및 신규한 화합물을 포함하여 제조된 조성물은 공기에 노출된 후 보관시 안정하다. 코팅 결함과 같은 리소그래피 특성의 열화 없이, 재료를 공기에 24 시간 이하 노출시킨 후 1 주 이상 보관할 수 있다. 또한, 신규한 재료를 경화된 필름을 제거하는 화학적 용액과 같은 습식 스트리퍼에 의해 제거할 수 있다.
본 개시의 조성물을 저유전상수 재료, 규소, 금속 표면으로 코팅된 규소 기판, 구리 코팅된 실리콘 웨이퍼, 구리, 알루미늄, 중합체 수지, 이산화규소, 금속, 도핑된 이산화규소, 질화규소, 탄탈륨, 폴리실리콘, 세라믹, 알루미늄/구리 혼합물, AIN과 같은 금속 질화물 중 임의의 것; 비화갈륨 및 III/V 화합물과 같은 다른 것으로 코팅된 규소 기판과 같은 기판의 표면에 코팅할 수 있다. 기판은 또한 상기 언급된 기판 상에 코팅된 고탄소 하지층과 같은 다른 반사 방지 코팅 또는 하지층일 수 있다. 기판은 임의의 수의, 상기 기재된 재료로부터 제조된 층을 포함할 수 있다.
본 개시의 조성물을 침지, 스핀 코팅 또는 분무과 같은 당업계의 숙련자에게 잘 알려진 기술을 이용하여 기판에 코팅할 수 있다. 하지층 코팅의 필름 두께는 약 3 nm~약 500 nm, 예컨대, 약 5 nm~약 250 nm 범위이다. 코팅은 용매의 대부분을 제거하고 경화를 유도하기에 충분한 길이의 시간 동안 핫 플레이트 또는 대류 오븐 상에서 추가로 가열할 수 있다. 경화 온도는 약 30 초~약 10 분 동안 약 90℃~약 350℃, 예컨대 약 1~약 2 분 동안 약 120℃~약 250℃일 수 있다. 조성물은 고탄소(80% 또는 85% 또는 90% 초과) 함량 반사 방지 코팅과 같은 반사 방지 코팅의 다른 층에 코팅할 수 있다.
소정 특성을 제공하기 위해, 재료의 가교성 및 광학 파라미터를 조정할 수 있다. 필름이 추가의 가교제 및 촉매 없이 주조 용매 및 포토레지스트 현상액 모두에서 침지 시험을 통과하도록, 조성물 및 공정 조건을 조정할 수 있다. 경화된 필름의 조성물은 정상 소성 조건에서 약 5~약 90% wt/wt의 금속 산화물을, 또는 약 10~약 70% wt/wt의 금속 산화물을 포함한다.
경화된 금속 산화물 필름은 유리하게는 산, 염기, 과산화물 및 이의 혼합물, 예컨대, 85% 인산, 묽은 황산, 3% HF, 10% TMAH, 10% 과산화수소, 수성 알칼리 과산화물 및 이의 혼합물과 같은 화학적 스트리핑제를 사용하여 제거할 수 있다. 스트리핑 시간은 필름 경화 조건에 따라 약 실온~약 70℃에서 약 5 초~약 120 초 범위이다. 다른 스트리핑 공정을 금속 산화물 필름의 처리 조건과 함께 이용할 수 있다. 예컨대, 필름을 저온 또는 단시간에 경화시킬 경우, 스트리퍼를 희석할 수 있고, 시간은 단축할 수 있고, 및/또는 스트리핑 온도를 감소시킬 수 있다. 결과를 표 4에 나타낸다.
본 개시의 가용성의, 멀티리간드로 치환된 금속 화합물, 이의 조성물 및 사용 방법을 이용하여 반사 방지 층을 제조할 수 있다. 충분한 발색단 기가 존재하고, 하지층 n의 굴절 지수(굴절 지수)는 약 1.5~약 2.2 범위일 수 있고, k(흡광 계수)(착물 굴절 지수의 일부 nc = n-jk)는 193 nm 노광 파장에서 약 0.1~약 0.8 범위일 수 있다. 신규한 코팅은 하드 마스크로서 유용하다. n 및 k 값은 J. A. Woollam WVASE VU-32™ Ellipsometer와 같은 타원계를 이용하여 산출할 수 있다. k 및 n에 대한 최적 범위의 정확한 값은 사용되는 노광 파장 및 용도 유형에 따라 달라진다. 통상적으로 193 nm에 대해 바람직한 k 범위는 약 0.1~약 0.8이고, 248 nm에 대해 바람직한 k 범위는 약 0.15~약 0.8이지만, 예컨대 DUV 및 DUV 이상과 같은 다른 노광 파장을 이용할 수 있고, 이와 맞추어 작업하기 위해 조성을 조정할 수 있다.
포토레지스트 조성물을 스핀 코팅 등과 같은 공정에 의해 신규한 코팅 위에 도포한다. 코팅 후, 코팅이 적절히 노출될 수 있는 수준으로 용매를 제거한다. 일부 경우, 용매의 5% 잔류물이 코팅에 남을 수 있는 반면, 다른 경우에는, 1% 미만이 필요하다. 건조는 핫 플레이트 가열, 대류 가열, 적외선 가열 등에 의해 달성할 수 있다. 소정 패턴을 담은 마스크를 통해 화학선 방사선으로 코팅을 이미지 형성 방식으로 노광시킨다. 포토레지스트의 필름을 하지층 코팅의 상부에 코팅하고, 소성하여 실질적으로 포토레지스트 용매를 제거한다. 당업계에 잘 알려진 공정을 이용하여 기판의 에지를 세정하기 위해, 에지 비드 리무버(edge bead remover)를 코팅 단계 후에 도포할 수 있다.
포토레지스트는 반도체 산업에 사용되는 유형 중 임의의 것일 수 있으며, 단, 포토레지스트 및 반사 방지 코팅 중 광활성 화합물은 실질적으로 이미징 공정에 사용되는 노광 파장에서 흡수해야 한다. 침지 리소그래피에 유용한 포토레지스트가 선호된다. 통상적으로, 침지 리소그래피로의 이미징에 적절한 포토레지스트를 사용할 수 있으며, 이러한 포토레지스트는 굴절 지수가 1.85보다 높고 또한 75°~95° 범위의 물 접촉각을 가지면서 소수성이다.
이제까지, 소형화에 상당한 진전을 제공하고 248 nm, 193 nm, 157 nm 및 13.5 nm와 같은 250 nm~10 nm의 화학선 방사선을 갖는 몇 개의 주요 심자외선(uv) 노광 기술이 존재하였다. 화학적 증폭 포토레지스트가 종종 이용된다. 248 nm용 포토레지스트는 통상적으로 치환된 폴리히드록시스티렌 및 이의 공중합체/오늄 염, 예컨대 미국 특허 제4,491,628호 및 미국 특허 제5,350,660호에 기재된 것들을 기반으로 하였다. 한편, 193 nm 및 157 nm에서의 노광을 위한 포토레지스트는 비방향족 중합체를 필요로 하는데, 왜냐하면 방향족이 이 파장에서는 불투명하기 때문이다. 미국 특허 제5,843,624호 및 미국 특허 제6,866,984호는 193 nm 노광에 유용한 포토레지스트를 개시한다. 일반적으로, 지환식 탄화수소를 포함하는 중합체를 200 nm 이하의 노광을 위한 포토레지스트에 사용한다. 많은 이유로 지환식 탄화수소를 중합체에 혼입하는데, 주요하게는 이것이 에치 내성을 개선하는 비교적 높은 탄소 대 수소 비를 가지며, 또한 낮은 파장에서 투명성을 제공하고, 비교적 높은 유리 전이 온도를 갖기 때문이다. 미국 특허 제5,843,624호는 말레산 무수물 및 불포화 환식 단량체의 유리 라디칼 중합에 의해 얻어지는 포토레지스트용 중합체를 개시한다. 본 명세서에서 참고로 인용하는 미국 특허 제6,447,980호 및 미국 특허 제6,723,488호에 기재된 것들과 같은 공지된 유형의 193 nm 포토레지스트 중 임의의 것을 사용할 수 있다. 157 nm에서 감광성이고 펜던트 플루오로알콜기를 갖는 플루오르화 중합체를 기반으로 하는 두가지 기본 부류의 포토레지스트가 이 파장에서 실질적으로 투명한 것으로 알려져 있다. 한 부류의 157 nm 플루오로알콜 포토레지스트는 플루오르화 노르보르넨과 같은 기를 포함하는 중합체로부터 유래되고, 금속 촉매화 또는 라디칼 중합을 이용하여 테트라플루오로에틸렌(미국 특허 제6,790,587호 및 미국 특허 제6,849,377호)과 같은 다른 투명 단량체와 함께 공중합시키거나 또는 단독 중합시킨다. 일반적으로, 이들 재료는 높은 흡광도를 제공하지만, 이들의 높은 지환식 함량으로 인해 양호한 플라즈마 에치 내성을 갖는다. 더욱 최근에, 중합체 주쇄가 1,1,2,3,3-펜타플루오로-4-트리플루오로메틸-4-히드록시-1,6-헵타디엔과 같은 비대칭 디엔의 시클로중합(미국 특허 제6,818,258호)으로부터, 또는 플루오로디엔과 올레핀의 중합(미국 특허 제6,916,590호)으로부터 유도되는 157 nm 플루오로알콜 중합체의 부류가 기재되었다. 이들 재료는 157 nm에서 허용 가능한 흡광도를 제공하지만, 플루오로-노르보르넨 중합체에 비해 낮은 지환식 함량으로 인해, 낮은 플라즈마 에치 내성을 갖는다. 이들 두 부류의 중합체는 종종 블렌드되어 제1 중합체 유형의 높은 에치 내성과 제2 중합체 유형의 157 nm에서의 높은 투명성 사이의 균형을 제공한다. 13.5 nm의 극자외선 방사선(EUV)을 흡수하는 포토레지스트도 유용하며, 당업계에 공지되어 있다. 따라서, 약 12 nm~약 250 nm의 범위에서 흡수하는 포토레지스트가 유용하다. 신규한 코팅을 또한 나노임프린팅 및 E 빔 레지스트를 이용하는 공정에서 사용할 수 있다.
코팅 공정 후, 포토레지스트를 이미지 형성 방식으로 노광시킨다. 노광은 통상적인 노광 장비를 이용하여 행할 수 있다. 노광된 포토레지스트를 그 다음 수성 현상제 중에서 현상하여 처리된 포토레지스트를 제거한다. 현상제는 바람직하게는 예컨대 수산화테트라메틸암모늄(TMAH), 통상적으로 2.38 중량% TMAH를 포함하는 알칼리 수용액이다. 현상제는 계면 활성제(들)를 더 포함할 수 있다. 현상 전 및 노광 후, 임의의 가열 단계를 공정에 삽입할 수 있다.
코팅 및 포토레지스트 이미징의 공정은 당업계의 숙련자에게 잘 알려져 있으며, 사용되는 포토레지스트의 특정 유형에 대해 최적화시킨다. 포토레지스트 패터닝된 기판을 그 다음 적절한 에치 챔버 내에서 에칭 가스 또는 가스의 혼합물로 건식 에칭하여 하지층 및 임의의 다른 반사 방지 코팅의 노광부를 제거할 수 있다. O2, CF4, CHF3, Cl2, HBr, SO2, CO 등을 포함하는 것들과 같은 하지층 코팅을 에칭하기 위한 다양한 에칭 가스가 당업계에 공지되어 있다. 일구체예에서, 상기 물품은 신규한 금속 층이 그 위에 코팅된 고탄소 반사 방지 필름을 갖는 반도체 기판을 포함한다. 포토레지스트 층을 이것 위에 코팅한다. 포토레지스트를 상기 개시된 바와 같이 이미징하고, 금속 하지층을 플루오로카본을 포함하는 가스를 이용하여 건식 에칭한다. 금속 하지층을 에칭한 후, 고탄소 필름을 산소 또는 산소 혼합물을 사용하여 건식 에칭할 수 있다. 유리하게는, 신규한 금속 층을 본 명세서에 기재된 바의 화학적 용액인 스트리퍼를 사용하여 제거할 수 있다.
상기에 언급된 문헌 각각을 모든 목적을 위해 그 전체를 본 명세서에서 참고로 인용한다. 하기 구체예는 본 발명의 조성물을 제조하고 사용하는 방법의 상세한 예시를 제공할 것이다. 그러나, 이들 실시예는 본 발명의 범위를 어떤 식으로든 제한 또는 한정하려는 것이 아니며, 본 발명을 실시하기 위해 배제적으로사용되어야 하는 조건, 파라미터 또는 값을 제공하는 것으로 해석되어서는 안 된다.
실시예
하기 실시예에서 반사 방지 코팅의 굴절 지수(n) 및 흡광 계수(k)는 J. A. Woollam VASE32 타원계 상에서 측정하였다.
중합체의 분자량은 겔 투과 크로마토그래프 상에서 측정하였다.
합성예 1
40 g의 Ti(IV) 테트라부톡시드 중합체(Ti(IV)BTP), 10 g의 프탈산 무수물 및 50 g의 벤젠을 교반에 의해 혼합하고, 질소 분위기 하에서 플라스크에서 가열하였다. 반응을 약 1 시간 동안 60℃에서 유지시켰다. 실온으로 냉각시킨 후, 대부분의 용매를 증류에 의해 제거하고, 진공 하에서 건조시켰다. 양성자 NMR은 생성물에 무수물이 존재하지 않음을 나타냈다.
합성예 2
40 g의 Ti(IV)BTP 중합체, 10.4 g의 1,2-시클로헥산디카르복실산 무수물 및50.4 g의 시클로헥산을 교반에 의해 혼합하고, 질소 분위기 하에서 플라스크에서 가열하였다. 반응을 약 1 시간 동안 60℃에서 유지시켰다. 실온으로 냉각시킨 후, 대부분의 용매를 증류에 의해 제거하고, 진공 하에서 건조시켰다. 양성자 NMR은 생성물에 무수물이 존재하지 않음을 나타냈다.
합성예 3
40 g의 Ti(IV)BTP 중합체, 10 g의 프탈산 무수물 및 50 g의 프로필렌 글리콜 모노메틸 에테르 아세테이트(PGMEA)/프로필렌 글리콜 모노메틸 에테르(PGME) 70:30을 교반에 의해 혼합하고, 질소 분위기 하에서 플라스크에서 가열하였다. 반응을 약 2 시간 동안 50℃에서 유지시켰다 실온으로 냉각시킨 후, 고형분 함량 50%의 생성물을 갈색병에 보관하였다. 양성자 NMR은 생성물에 무수물이 존재하지 않음을 나타냈고, PGMEA/PGME 용매로 인한 신호 외에는 합성예 1과 유사하였다.
합성예 4
40 g의 Ti(IV)BTP 중합체, 10.4 g의 1,2-시클로헥산디카르복실산 무수물 및50.4 g의 PGMEA/PGME 70:30을 교반에 의해 혼합하고, 질소 분위기 하에서 플라스크에서 가열하였다. 반응을 약 2 시간 동안 50℃에서 유지시켰다 실온으로 냉각시킨 후, 고형분 함량 50%의 생성물을 갈색병에 보관하였다. 양성자 NMR은 생성물에 무수물이 존재하지 않음을 나타냈고, PGMEA/PGME 용매로 인한 신호 외에는 합성예 2와 유사하였다.
합성예 5
40 g의 Ti(IV)BTP 중합체, 19.8 g의 말레산 무수물 및 59.8 g의 시클로헥산을 교반에 의해 혼합하고, 질소 분위기 하에서 플라스크에서 가열하였다. 반응을 약 2 시간 동안 60℃에서 유지시켰다 실온으로 냉각시킨 후, 고형분 함량 50%의 생성물을 갈색병에 보관하였다. 양성자 NMR은 생성물에 무수물이 존재하지 않음을 나타냈다. 시클로헥산 중에서의 FT-IR은 Ti-OBu 공명으로 인한 ~1100 cm-1에서의 피크 감소, 및 Ti-OC(=O)R 공명으로 인한 1570 cm-1에서의 새로운 브로드한 피크를 나타냈다.
합성예 6
40 g의 Ti(IV)BTP 중합체, 19.8 g의 말레산 무수물 및 59.8 g의 PGMEA/PGME 70:30을 교반에 의해 혼합하고, 질소 분위기 하에서 플라스크에서 가열하였다. 반응을 약 3 시간 동안 50℃에서 유지시켰다. 실온으로 냉각시킨 후, 고형분 함량 50%의 생성물을 갈색병에 보관하였다. 양성자 NMR은 생성물에 무수물이 존재하지 않음을 나타냈다. 샘플을 시클로헥산에 용해시키고, 시클로헥산 중에서 FT-IR을 취했다. 스펙트럼은 Ti-OBu 공명으로 인한 ~1100 cm-1에서의 피크 감소, 및 Ti-OC(=O)R 공명으로 인한 ~1600 cm-1에서의 새로운 브로드한 피크를 나타냈다.
합성예 7
40 g의 Ti(IV)BTP 중합체, 30 g의 시트라콘산 무수물 및 70 g의 PGMEA/PGME 70:30을 교반에 의해 혼합하고, 질소 분위기 하에서 플라스크에서 가열하였다. 반응을 약 4 시간 동안 50℃에서 유지시켰다. 실온으로 냉각시킨 후, 고형분 함량 50%의 생성물을 갈색병에 보관하였다.
합성예 8
40 g의 Ti(IV)BTP 중합체, 22.5 g의 시트라콘산 무수물 및 62.5 g의 PGMEA/PGME 70:30을 교반에 의해 혼합하고, 질소 분위기 하에서 플라스크에서 가열하였다. 반응을 약 3 시간 동안 50℃에서 유지시켰다. 실온으로 냉각시킨 후, 고형분 함량 50%의 생성물을 갈색병에 보관하였다.
합성예 9
40 g의 Ti(IV)BTP 중합체, 10.3 g의 cis-1,2,3,6-테트라히드로프탈산 무수물 및 50.3 g의 PGMEA/PGME 70:30을 교반에 의해 혼합하고, 질소 분위기 하에서 플라스크에서 가열하였다. 반응을 약 2 시간 동안 50℃에서 유지시켰다 실온으로 냉각시킨 후, 고형분 함량 50%의 생성물을 갈색병에 보관하였다. 양성자 NMR은 생성물에 무수물이 존재하지 않음을 나타냈다.
합성예 10
40 g의 Ti(IV)BTP 중합체, 10 g의 프탈산 무수물 및 50 g의 PGMEA/PGME 70:30을 교반에 의해 혼합하고, 질소 분위기 하에서 플라스크에서 가열하였다. 반응을 약 2 시간 동안 50℃에서 유지시켰다. 15 g의 PGMEA/PGME 70:30 중 15 g의 시트라콘산 무수물을 반응 용기에 천천히 첨가하였다. 반응을 추가 3 시간 동안 50℃에서 계속시켰다. 실온으로 냉각시킨 후, 고형분 함량 50%의 생성물을 갈색병에 보관하였다. 양성자 NMR은 생성물에 무수물이 존재하지 않음을 나타냈다.
합성예 11
40 g의 Ti(IV)BTP 중합체, 10 g의 프탈산 무수물 및 50 g의 PGMEA/PGME 70:30을 교반에 의해 혼합하고, 질소 분위기 하에서 플라스크에서 가열하였다. 반응을 약 2 시간 동안 50℃에서 유지시켰다. 13.2 g의 PGMEA/PGME 70:30 중 13.2 g의 말레산 무수물을 반응 용기에 천천히 첨가하였다. 반응을 추가 3 시간 동안 50℃에서 계속시켰다. 실온으로 냉각시킨 후, 고형분 함량 50%의 생성물을 갈색병에 보관하였다. 양성자 NMR은 생성물에 무수물이 존재하지 않음을 나타냈다.
합성예 12
40 g의 Ti(IV)BTP 중합체, 19.8 g의 말레산 무수물 및 59.8 g의 PGMEA/PGME 70:30을 교반에 의해 혼합하고, 질소 분위기 하에서 플라스크에서 가열하였다. 반응을 약 2 시간 동안 50℃에서 유지시켰다. 6.1 g의 PGMEA/PGME 70:30 중 6.1 g의 퍼플루오로헵탄산을 반응 용기에 천천히 첨가하였다. 반응을 추가 2 시간 동안 50℃에서 계속시켰다. 실온으로 냉각시킨 후, 고형분 함량 50%의 생성물을 갈색병에 보관하였다. 양성자 NMR은 생성물에 무수물이 존재하지 않음을 나타냈다.
합성예 13
40 g의 Ti(IV)BTP 중합체, 13.2 g의 말레산 무수물 및 53.2 g의 시클로헥산을 교반에 의해 혼합하고, 질소 분위기 하에서 플라스크에서 가열하였다. 반응을 약 1 시간 동안 60℃에서 유지시켰다. 11.1 g의 시클로헥산 중 11.1 g의 펜타플루오로프로판산을 반응 용기에 천천히 첨가하였다. 반응을 추가 1 시간 동안 60℃에서 계속시켰다. 실온으로 냉각시킨 후, 고형분 함량 50%의 생성물을 갈색병에 보관하였다. 시클로헥산 중에서의 FT-IR은 Ti-OBu 공명으로 인한 ~1100 cm-1에서의 피크 감소, Ti-OC(=O)R 공명으로 인한 1570 cm-1에서의 새로운 브로드한 피크, 및 Ti-OC(=O)Rf 공명으로 인한 1650 cm-1에서의 새로운 브로드한 피크를 나타냈다.
합성예 14
40 g의 Ti(IV)BTP 중합체, 22.5 g의 시트라콘산 무수물 및 50 g의 PGMEA/PGME 70:30을 교반에 의해 혼합하고, 질소 분위기 하에서 플라스크에서 가열하였다. 반응을 약 2 시간 동안 50℃에서 유지시켰다. 15 g의 PGMEA/PGME 70:30 중 2.5 g의 노나플루오로부탄-1-설폰산을 반응 용기에 천천히 첨가하였다. 반응을 추가 2 시간 동안 50℃에서 계속시켰다. 실온으로 냉각시킨 후, 고형분 함량 50%의 생성물을 갈색병에 보관하였다. 양성자 NMR은 생성물에 무수물이 존재하지 않음을 나타냈다.
합성예 15
42.6 g의 티타늄(IV) 이소프로폭시드 및 33.6 g의 시트라콘산 무수물을 혼합하고, 질소 분위기 하에서 55℃에서 가열하였다. 혼합물을 1 시간 동안 55℃에서 유지시켰다. 진공 하에서의 디에스테르 부산물의 증발 후 점성 중합체 생성물을 얻었다.
중합체 합성예 16
9.7 g의 이소프로필 헥사플루오로이소프로판올 메타크릴레이트(MA-BTHB-OH), 5.9 g의 2-에틸헥실 메타크릴레이트, 3.5 g의 2-히드록시에틸 메타크릴레이트 및 8.5 g의 글리시딜 메타크릴레이트를 120 g의 THF 용매 중에서 혼합하였다. 20 시간 동안 질소 분위기 하에서 75℃에서 1.0 g의 AIBN의 존재 하에서 중합 반응을 일으켰다. 실온으로 냉각시킨 후, 반응 혼합물을 DI수에 침전시켰다. 백색 중합체 고체를 세정하고, 45℃에서 진공 하에서 건조시켜 27.2 g(99%)을 얻었다. GPC에 의해 측정된 중합체의 Mw는 19,100이었다.
중합체 합성예 17
9.7 g의 MA-BTHB-OH, 5.8 g의 벤질 메타크릴레이트, 5.1 g의 2-히드록시에틸 메타크릴레이트 및 6.4 g의 글리시딜 메타크릴레이트를 120 g의 테트라히드로푸란(THF) 용매 중에서 혼합하였다. 20 시간 동안 질소 분위기 하에서 75℃에서 1.0 g의 AIBN의 존재 하에서 중합 반응을 일으켰다. 실온으로 냉각시킨 후, 반응 혼합물을 DI수에 침전시켰다. 백색 중합체 고체를 세정하고, 45℃에서 진공 하에서 건조시켜 26.5 g(99%)을 얻었다. GPC에 의해 측정된 중합체의 Mw는 19,000이었다.
중합체 합성예 18
15.0 g의 MA-BTHB-OH, 6.0 g의 스티렌, 10.0 g의 2-히드록시프로필 메타크릴레이트 및 19.0 g의 글리시딜 메타크릴레이트를 200 g의 프로필렌 글리콜 모노메틸 에테르 아세테이트(PGMEA) 용매 중에서 혼합하였다. 24 시간 동안 질소 분위기 하에서 75℃에서 0.97 g의 AIBN의 존재 하에서 중합 반응을 일으켰다. 실온으로 냉각시킨 후, 반응 혼합물을 DI수에 침전시켰다. 백색 중합체 고체를 세정하고, 45℃에서 진공 하에서 건조시켜 50.0 g(>99%)을 얻었다. GPC에 의해 측정된 중합체의 Mw는 18,500이었다.
중합체 합성예 19
18.5 g의 MA-BTHB-OH 및 12.3 g의 글리시딜 메타크릴레이트를 120 g의 THF 용매 중에서 혼합하였다. 20 시간 동안 질소 분위기 하에서 75℃에서 1.0 g의 AIBN의 존재 하에서 중합 반응을 일으켰다. 실온으로 냉각시킨 후, 반응 혼합물을 DI수에 침전시켰다. 백색 중합체 고체를 세정하고, 45℃에서 진공 하에서 건조시켜 30.2 g(99%)을 얻었다.
중합체 합성예 20
18.5 g의 MA-BTHB-OH, 3.5 g의 2-히드록시에틸 메타크릴레이트 및 8.5 g의 글리시딜 메타크릴레이트를 120 g의 THF 용매 중에서 혼합하였다. 20 시간 동안 질소 분위기 하에서 75℃에서 1.0 g의 AIBN의 존재 하에서 중합 반응을 일으켰다. 실온으로 냉각시킨 후, 반응 혼합물을 DI수에 침전시켰다. 백색 중합체 고체를 세정하고, 45℃에서 진공 하에서 건조시켜 29.8 g(99%)을 얻었다.
중합체 합성예 21
10 g의 부탄테트라카르복실산 이무수물, 7 g의 스티렌 글리콜, 0.5 g의 벤질트리부틸암모늄 클로라이드 및 35 g의 프로필렌글리콜 모노메틸에테르아세테이트(PGMEA)를 응축기, 열 제어기 및 기계적 교반기를 구비한 플라스크에 충전하였다. 질소 분위기 및 교반 하에서, 혼합물을 110℃로 가열하였다. 투명 용액을 ~1-2 시간 후 얻었다. 온도를 3 시간 동안 110℃로 유지하였다. 냉각 후, 60 g의 PGMEA 및 36 g의 산화프로필렌을 상기 용액과 혼합하였다. 반응을 48 시간 동안 50℃에서 유지시켰다. 반응 용액을 실온으로 냉각시키고, 고속 블렌더에서 대량의 물에 천천히 부었다. 중합체를 수집하고, 물로 철저히 세정하였다. 최종적으로 중합체를 진공 오븐에서 건조시켰다. GPC에 의해 측정된 Mw가 20,000인 중합체 16 g을 얻었다.
합성예 22
20.0 g의 하프늄 이소프로폭시드, 80.0 g의 아세트산 및 10.0 g의 DI수를 10 분 동안 질소 분위기 하에서 플라스크에서 교반하여 혼합하였다. 혼합물을 120℃로 만들고, 약 2 시간 동안 유지시켰다. 그 다음, 10.0 g의 DI수를 첨가하였다. 18 시간의 가열 후, 반응물을 냉각시켰다. 반응 혼합물을 증발시켜 백색 무수 덩어리(하프늄 옥시드 아세트산, 9.93 g)를 얻었다.
1 g의 하프늄 옥시드 아세트산, 1 g의 메타크릴산 및 1 g의 PGMEA를 바이알에 첨가하고, 5 분 동안 초음파 처리한 후, 130℃로 가열하였다.
2 g의 이 용액에, PGMEA/PGME 70:30 중 합성예 17로부터 제조된 5 g의 10% 중합체 용액을 첨가하고, 5 g의 PGMEA/PGME 70:30으로 희석시켰다. 혼합물을 0.2 마이크론 필터를 통해 여과하였다.
조성물예
합성예 1~15로부터의 금속 화합물의 1-10% wt/wt 용액을 필름 두께 요건에 따라 PGMEA/PGME 중에서 제조하였다. 일부 제제는 0.1-1%의 감마 발레로락톤을 함유하였다. 혼합 후, 용액을 실리콘 웨이퍼 상에서 스핀 코팅하고, 약 60~약 120 초 동안 200~250℃에서 소성하였다. 하기 실시예에서 반사 방지 코팅의 굴절 지수(n) 및 흡광 계수(k) 값은 J. A. Woollam VASE32 타원계 상에서 측정하였다. 제제예 1-15 및 상응하는 가용성의, 멀티리간드로 치환된 금속 화합물을 하기 표 1에 기재하였다. 제제는 일반적으로 총 조성물 중에 5.0% 고형분을 함유하였다.
Figure 112020078942129-pat00006
추가의 중합체를 함유하는 제제예를 하기 표 2에 기재하였다.
Figure 112020078942129-pat00007
경화된 필름의 M%의 결정
금속 산화물 필름 중 금속 % wt/wt를 원소 분석 및 TGA 중량 손실 측정(하소)에 의해 측정하였다. 두가지 방법으로부터의 결과는 일치하였다. 측정된 금속%는 제제 중 조성에 따라 약 60 초 필름 소성 조건에 대해 200~250℃에서 약 10~약 70% 범위였다.
역(back) 반사 방지 필름용 현상제 및 용매에 대한 내성의 평가
PGMEA/PGME 70:30 용매를 표 1 및 표 2에 지정된 온도에서 제제예 1~22로부터의 재료로 코팅된 실리콘 웨이퍼 상에 분배하였다. 질소 블로윙을 이용하여 60 초 후 용매를 제거하였다. 유의적인 필름 손실은 관찰되지 않았다. 대부분의 경우, 20℃~40℃ 낮은 소성 온도로 여전히 PGMEA/PGME 70:30 용매 중에서의 침지 실험을 통과할 수 있었다(필름 두께의 손실 없음). 현상제 2.38 % wt/wt TMAH를 사용하여 유사한 실험을 수행하였다. 표 1 및 표 2로부터의 필름은 현상제에 대해 양호한 내성을 나타냈다(필름 두께의 손실 없음). 필요할 경우 소성 온도를 낮추어 현상제 중에서의 부분 필름 손실을 달성할 수 있었다.
제제 수분 민감성 시험
제제예 6, 7, 8, 10, 11의 용액을 0.5h, 1h, 5h, 8h, 10h, 14h, 16h, 20h 및 24h(h = 시간) 동안 공기(병 개방)에 노출시켰다. 병을 닫은 후, 샘플에 대해 주기적으로, 예컨대 1 주, 1 달 등으로 용액을 코팅하고 코팅 품질/침지 시험 성능을 검사하여 장기간 안정성 시험을 실시하였다. 제제를 실리콘 웨이퍼에 스핀 코팅하고, 상기 기재된 바와 같이 200~250℃/60s에서 소성하였다. 실시예의 필름은 공기에 8h~24h 노출 후에도 그리고 1 주 이상 동안 양호한 코팅 품질(입자/공극 없음)을 증명하였다. 기준 물질(Ti(IV)BTP, Aldrich)은 약 1h 공기 노출 후 1 주 동안 안정하지 않았고, 이 시간 후에 코팅 상에 입자가 발견되었다.
LPC 입자 크기 측정 및 결함 평가에 대한 저장 수명 연구
액상 입자 카운트(LPC) 및 코팅 결함 노화 저장 수명 연구를 하기 표 3에 나타난 바와 같이 25℃/초기, 2 주간 25℃, 3 주간 25℃ 후 1 주간 -20℃, 3 주간 25℃ 후 1 주간 40℃에서 제제예 10에 대해 수행하였다.
제제예 10을 실리콘 웨이퍼 상에서 스핀 코팅하였다. 웨이퍼를 Zeiss Axiotion II Inspection Microscope(Confocal Scan) 또는 Applied Materials로부터의 SEMVision CX Inspection Microscope에 의해 검사하였다. KLA 2360 웨이퍼 검사 시스템 또는 Surfscan 6220 웨이퍼 표면 분석 시스템 상에서 결함 검사/분석을 행하였다.
초기에는 유의적인 변화가 관찰되지 않았지만, 제제 노화 후, 이에 따라 재료가 허용 가능하게 안정하였다.
Figure 112020078942129-pat00008
습식 에치율 시험
하기 표 4에 기재된 스트리핑 레시피를 이용하여 제제예 8을 시험하였다. 필름의 초기 두께는 35 nm였다. 육안으로 또는 침지 전후의 필름 두께를 측정하여 필름을 세정한 후 기록된 스트리핑 시간을 결정하였다. 스트리핑 시험 후 모든 필름을 성공적으로 제거하였다.
Figure 112020078942129-pat00009
리소그래피 평가예 1
AZ® 2110P 포토레지스트(미국 뉴저지주 서머빌 소재 AZ Electronic Materials USA Corp.의 제품)를 이용하여 Ti 금속 반사 방지 코팅 제제의 성능을 평가하였다. 실리콘 웨이퍼에 제제예 8을 코팅하고, 60 초 동안 225℃에서 소성하여 43 nm 두께의 필름을 형성시켰다. 그 다음, AZ® EXP AX2110P를 이용하여 190 nm를 목표로 하는 포토레지스트 필름을 상부에 코팅하고, 60 초 동안 100℃에서 소성하였다. 그 다음, 개구수(NA) 0.85, 외부 시그마 0.85 및 내부 시그마 0.55의 Y-양극자 조명의 193 nm Nikon 306D 노광 툴을 이용하여 웨이퍼를 이미징하였다. 노광된 웨이퍼를 그 다음 60 초 동안 110℃에서 소성하고, 30 초 동안 AZ® 300MIF 현상제(TMAH)를 사용하여 현상하였다. 주사 전자 현미경으로 관찰시 탑 다운 및 단면 패턴은 프로세스 윈도우에서 유의적인 붕괴를 나타내지 않았다. 광속도(photospeed) 26 mJ/㎠와 라인/공간 80 nm 1:1에서 양호한 패턴 프로필이 관찰되었다.
리소그래피 평가예 2
AZ® 2110P 포토레지스트(미국 뉴저지주 서머빌 소재 AZ Electronic Materials USA Corp.의 제품)를 이용하여 Ti 금속 반사 방지 코팅 제제의 성능을 평가하였다. 실리콘 웨이퍼에 제제 및 코팅 예 18을 코팅하고, 60 초 동안 225℃에서 소성하여 41 nm 두께의 필름을 형성시켰다. 그 다음, AZ® EXP AX2110P를 이용하여 190 nm를 목표로 하는 포토레지스트 필름을 상부에 코팅하고, 60 초 동안 100℃에서 소성하였다. 그 다음, 개구수(NA) 0.85, 외부 시그마 0.85 및 내부 시그마 0.55의 Y-양극자 조명의 193 nm Nikon 306D 노광 툴을 이용하여 웨이퍼를 이미징하였다. 노광된 웨이퍼를 그 다음 60 초 동안 110℃에서 소성하고, 30 초 동안 AZ® 300MIF 현상제(TMAH)를 사용하여 현상하였다. 주사 전자 현미경으로 관찰시 탑 다운은 유의적인 붕괴를 나타내지 않았다. 광속도 26 mJ/㎠와 L/S 80 nm 1:1에서 단면 주사 현미경을 통한 양호한 패턴 프로필이 관찰되었다.
리소그래피 평가예 3
침지 리소그래피에서의 3층 스킴에 대한 Ti 금속 반사 방지 코팅 제제의 성능을 평가하였다. 실리콘 웨이퍼를 AZ® ArFU98-150(미국 뉴저지주 서머빌 마이스터 애버뉴 70 소재의 AZ Electronic Materials로부터 입수 가능)으로 코팅하고, 필름 두께 150 nm의 탄소 하지층을 60 초 동안 250℃에서 소성하였다. 제제 및 코팅 예 9를 코팅하고, 60 초 동안 250℃에서 소성하여 탄소 하지층 상에 25 nm 두께의 필름을 형성시켰다. 그 다음, 침지를 이용하여 120 nm를 목표로 하는 포토레지스트 필름을 상부에 코팅하고, 60 초 동안 120℃에서 소성하였다. 편광 0.979/0.824 X 및 개구수(NA) 1.2의 양극자40Y의 193 nm 침지 노광 툴을 이용하여 웨이퍼를 이미징하였다. 노광된 웨이퍼를 그 다음 60 초 동안 110℃에서 소성하고, 30 초 동안 AZ® 300MIF 현상제(TMAH)를 사용하여 현상하였다. 주사 전자 현미경으로 관찰시 탑 다운은 유의적인 붕괴를 나타내지 않았다. 광속도 33 mJ/㎠와 L/S 45 nm 1:1에서 단면 주사 현미경을 통한 양호한 패턴 프로필이 관찰되었다.
리소그래피 평가예 4
University of Albany의 SEMATECH의 엑시머 미세 노광(micro-exposure) 툴(eMET) 또는 Lawrence Berkeley National Laboratory(LBNL)의 미세 노광 툴(MET)을 이용하여 EUV 노광을 수행하였다. EUV 포토레지스트를 상기 하지층의 상부에 코팅하였다. 이를 소성하고, 사극자 또는 환형 조명과 개구수(NA) 0.3으로 노광시켰다. MET 툴은 웨이퍼 평면 상에 5x 감소, 200x600 ㎛ 필드를 제공하였다. 현상 후, CDSEM 탑다운 측정 및 SEM 기구로 취한 단면 사진 모두로 리소그래피 성능을 평가하였다. 실리콘 웨이퍼를 제제 및 코팅 예 12로 코팅하고, 60 초 동안 225℃에서 소성하여 25 nm 두께의 필름을 형성시켰다. 그 다음, EUV 포토레지스트를 코팅하여 190 nm의 필름을 얻었다. 그 다음, EUV 조사에 의해 포토레지스트를 노광시켰다. 그 다음, 노광된 웨이퍼를 소성하고, 현상하였다. 주사 전자 현미경으로 관찰시 탑 다운은 유의적인 붕괴를 나타내지 않았다. 광속도 26 mJ/㎠와 L/S 30 nm 1:1에서 단면 주사 현미경을 통한 양호한 패턴 프로필이 관찰되었다.

Claims (20)

  1. 하기 구조들로 이루어진 군으로부터 선택되는, 가용성의, 멀티리간드로 치환된 금속 화합물:
    [식 1]
    Figure 112021014827964-pat00010

    [식 2]
    Figure 112021014827964-pat00022

    [식 3]
    Figure 112021014827964-pat00023

    여기서 M은 티타늄, 지르코늄 또는 하프늄이고,
    n은 2~ 20이며,
    각각의 R1은 독립적으로 C1 - C6 비치환 알킬기 및 C1 - C6 할로겐화 알킬기로 이루어진 군에서 선택되며,
    각각의 R2는 독립적으로 -COR3기, -SO2R3기 및 -SOR3기로 이루어진 군에서 선택되며,
    식 1에서 각각의 R3은 독립적으로 -COOR3'으로 치환된 C1 - C20 알킬기이고,
    식 2 및 3에서 각각의 R3은 독립적으로 -COOR3'으로 치환된 방향족기, -COOR3'으로 치환된 C1 - C20 알킬기 및 -COOR3'으로 치환된 C1 - C20 알케닐기로 이루어진 군에서 선택되며,
    여기서 R3'는 독립적으로 C1 - C6 비치환 알킬기 및 C1 - C6 할로겐화 알킬기로 이루어진 군에서 선택된다.
  2. 제1항에 있어서, R1, R3', 또는 R1 및 R3' 둘다는 부분 또는 완전 플루오르화 알킬기인 화합물.
  3. 제1항에 따른 가용성의, 멀티리간드로 치환된 금속 화합물을 포함하는 조성물.
  4. 제3항에 있어서, 유기계 또는 규소계 가교성 중합체를 더 포함하는 조성물.
  5. 제3항에 있어서, 열 산 발생제, 열 염기 발생제 또는 열 활성화 과산화물 중 1 이상을 더 포함하는 조성물.
  6. 제3항에 있어서, R1, R3', 또는 R1 및 R3' 둘다는 부분 또는 완전 플루오르화 알킬기인 조성물.
  7. 제3항에 있어서, 가교 첨가제를 더 포함하는 조성물.
  8. a. 제3항의 조성물을 기판에 도포하여 필름을 형성시키는 단계; 및
    b. 필름을 소성하는 단계
    를 포함하는, 전자 소자의 제조 방법.
  9. 제8항에 있어서, 스트리퍼(stripper) 조성물을 사용하여 조성물을 제거하는 단계를 더 포함하는 방법.
  10. 제9항에 있어서, 스트리퍼는 산, 염기, 과산화물 및 이의 혼합물로 이루어진 군에서 선택되는 것인 방법.
  11. 하기 구조로 이루어진 군으로부터 선택되는 금속 화합물:
    Figure 112021014827964-pat00014

    화합물 A
    Figure 112021014827964-pat00015

    화합물 B
    Figure 112021014827964-pat00016

    화합물 C
    Figure 112021014827964-pat00017

    화합물 D
    Figure 112021014827964-pat00018

    화합물 E
    Figure 112021014827964-pat00019

    화합물 G
    Figure 112021014827964-pat00020

    화합물 H 및
    Figure 112021014827964-pat00021

    화합물 I
    여기서 n은 2~ 20이다.
  12. 제1항에 있어서, 금속 화합물은 식 1의 금속 화합물이고,
    각각의 R1은 독립적으로 C3, C4 또는 C6 비치환 알킬기 및 C3, C4 또는 C6 할로겐화 알킬기로 이루어진 군에서 선택되며,
    각각의 R3은 독립적으로 -COOR3'으로 치환된 C1 - C20 알킬기이고, 여기서 R3'는 독립적으로 C1 - C6 비치환 알킬기 및 C1 - C6 할로겐화 알킬기로 이루어진 군에서 선택되는 것인 금속 화합물.
  13. 제1항에 있어서, 금속 화합물은 식 2의 금속 화합물이고,
    각각의 R1은 독립적으로 C3, C4 또는 C6 비치환 알킬기 및 C3, C4 또는 C6 할로겐화 알킬기로 이루어진 군에서 선택되며,
    각각의 R3은 독립적으로 -COOR3'으로 치환된 방향족기, -COOR3'으로 치환된 C1 - C20 알킬기 및 -COOR3'으로 치환된 C1 - C20 알케닐기로 이루어진 군에서 선택되고, 여기서 R3'는 독립적으로 C1 - C6 비치환 알킬기 및 C1 - C6 할로겐화 알킬기로 이루어진 군에서 선택되는 것인 금속 화합물.
  14. 제1항에 있어서, 금속 화합물은 식 3의 금속 화합물이고,
    각각의 R1은 독립적으로 C3, C4 또는 C6 비치환 알킬기 및 C3, C4 또는 C6 할로겐화 알킬기로 이루어진 군에서 선택되며,
    각각의 R3은 독립적으로 -COOR3'으로 치환된 방향족기, -COOR3'으로 치환된 C1 - C20 알킬기 및 -COOR3'으로 치환된 C1 - C20 알케닐기로 이루어진 군에서 선택되고, 여기서 R3'는 독립적으로 C1 - C6 비치환 알킬기 및 C1 - C6 할로겐화 알킬기로 이루어진 군에서 선택되는 것인 금속 화합물.


  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
KR1020207021984A 2012-12-07 2013-12-06 안정한 금속 화합물, 이의 조성물 및 이의 사용 방법 KR102302645B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US13/707,993 2012-12-07
US13/707,993 US9315636B2 (en) 2012-12-07 2012-12-07 Stable metal compounds, their compositions and methods
KR1020157009831A KR102177184B1 (ko) 2012-12-07 2013-12-06 안정한 금속 화합물, 이의 조성물 및 이의 사용 방법
PCT/EP2013/075815 WO2014086982A2 (en) 2012-12-07 2013-12-06 Stable metal compounds, their compositions and methods of their use

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020157009831A Division KR102177184B1 (ko) 2012-12-07 2013-12-06 안정한 금속 화합물, 이의 조성물 및 이의 사용 방법

Publications (2)

Publication Number Publication Date
KR20200094232A KR20200094232A (ko) 2020-08-06
KR102302645B1 true KR102302645B1 (ko) 2021-09-15

Family

ID=50679986

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020207021984A KR102302645B1 (ko) 2012-12-07 2013-12-06 안정한 금속 화합물, 이의 조성물 및 이의 사용 방법
KR1020157009831A KR102177184B1 (ko) 2012-12-07 2013-12-06 안정한 금속 화합물, 이의 조성물 및 이의 사용 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020157009831A KR102177184B1 (ko) 2012-12-07 2013-12-06 안정한 금속 화합물, 이의 조성물 및 이의 사용 방법

Country Status (8)

Country Link
US (1) US9315636B2 (ko)
EP (1) EP2928899B1 (ko)
JP (1) JP6430954B2 (ko)
KR (2) KR102302645B1 (ko)
CN (2) CN109180722B (ko)
SG (1) SG11201502264SA (ko)
TW (1) TWI627231B (ko)
WO (1) WO2014086982A2 (ko)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5889568B2 (ja) 2011-08-11 2016-03-22 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH 酸化タングステン膜形成用組成物およびそれを用いた酸化タングステン膜の製造法
KR101674989B1 (ko) * 2013-05-21 2016-11-22 제일모직 주식회사 레지스트 하층막용 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
US9201305B2 (en) 2013-06-28 2015-12-01 Az Electronic Materials (Luxembourg) S.A.R.L. Spin-on compositions of soluble metal oxide carboxylates and methods of their use
US9296922B2 (en) * 2013-08-30 2016-03-29 Az Electronic Materials (Luxembourg) S.A.R.L. Stable metal compounds as hardmasks and filling materials, their compositions and methods of use
US9409793B2 (en) 2014-01-14 2016-08-09 Az Electronic Materials (Luxembourg) S.A.R.L. Spin coatable metallic hard mask compositions and processes thereof
US9499698B2 (en) * 2015-02-11 2016-11-22 Az Electronic Materials (Luxembourg)S.A.R.L. Metal hardmask composition and processes for forming fine patterns on semiconductor substrates
US10241409B2 (en) * 2015-12-22 2019-03-26 AZ Electronic Materials (Luxembourg) S.à.r.l. Materials containing metal oxides, processes for making same, and processes for using same
US20190129301A1 (en) * 2016-05-19 2019-05-02 Asml Netherlands B.V. Resist compositions
EP3598232A4 (en) * 2017-03-13 2020-12-23 JSR Corporation RADIATION SENSITIVE COMPOSITION AND PATTERN FORMATION PROCESS
JP2020514509A (ja) 2017-03-16 2020-05-21 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツングMerck Patent Gesellschaft mit beschraenkter Haftung リソグラフィ用組成物及びそれの使用法
WO2019048393A1 (en) * 2017-09-06 2019-03-14 AZ Electronic Materials (Luxembourg) S.à.r.l. AN INORGANIC OXIDE-CONTAINING VINYL DEPOSITION COMPOSITION USEFUL AS HARD MASKS AND FILLING MATERIALS HAVING ENHANCED THERMAL STABILITY
US11276572B2 (en) * 2017-12-08 2022-03-15 Tokyo Electron Limited Technique for multi-patterning substrates
TWI833908B (zh) 2019-02-22 2024-03-01 德商馬克專利公司 包含無機氧化物組分及經炔氧基取代之旋塗碳組分且可用作具有改良儲存壽命之硬遮罩及填充材料的旋塗組合物
TW202111105A (zh) 2019-07-08 2021-03-16 德商馬克專利公司 用於移除邊緣保護層及殘餘金屬硬遮罩組分之清洗劑及其使用方法

Family Cites Families (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3474054A (en) 1966-09-13 1969-10-21 Permalac Corp The Surface coating compositions containing pyridine salts or aromatic sulfonic acids
US3960954A (en) * 1968-05-27 1976-06-01 Halcon International, Inc. Process for preparing oximes and hydroxylamines
JPS4934735B1 (ko) * 1970-12-26 1974-09-17
JPS497001A (ko) * 1972-05-08 1974-01-22
CA1103266A (en) * 1975-05-15 1981-06-16 Salvatore J. Monte Alkoxy titanate salts useful as coupling agents
US4094853A (en) * 1975-05-15 1978-06-13 Kenrich Petrochemicals, Inc. Alkoxy titanate salts useful as coupling agents
US4200729A (en) 1978-05-22 1980-04-29 King Industries, Inc Curing amino resins with aromatic sulfonic acid oxa-azacyclopentane adducts
US4251665A (en) 1978-05-22 1981-02-17 King Industries, Inc. Aromatic sulfonic acid oxa-azacyclopentane adducts
JPS58110414A (ja) * 1981-12-23 1983-07-01 Tokuyama Soda Co Ltd 無機酸化物及びその製造方法
US4491628A (en) 1982-08-23 1985-01-01 International Business Machines Corporation Positive- and negative-working resist compositions with acid generating photoinitiator and polymer with acid labile groups pendant from polymer backbone
GB8414705D0 (en) * 1984-06-08 1984-07-11 Wiggins Teape Group Ltd Coating substrates
US4861846A (en) * 1985-03-22 1989-08-29 Union Carbidae Corporation Process for simultaneously dimerizing ethylene and copolymerizing ethylene with the dimerized product
JPS6356529A (ja) 1986-08-27 1988-03-11 Nissan Chem Ind Ltd 新規チタノシロキサン重合体の製造法
JPH01113475A (ja) * 1987-07-06 1989-05-02 Nippon Soda Co Ltd 塗装面の処理剤
JPH02105874A (ja) * 1988-10-13 1990-04-18 Mitsui Mining & Smelting Co Ltd 導電塗料用銅粉の製造方法
US5178989A (en) 1989-07-21 1993-01-12 Board Of Regents, The University Of Texas System Pattern forming and transferring processes
US5026155A (en) * 1989-09-06 1991-06-25 Air Products And Chemicals, Inc. Process for sizing particles using condensation nucleus counting
JPH03138922A (ja) 1989-10-24 1991-06-13 Matsushita Electric Ind Co Ltd 微細パターン形成方法
DE69125634T2 (de) 1990-01-30 1998-01-02 Wako Pure Chem Ind Ltd Chemisch verstärktes Photolack-Material
US5187019A (en) 1991-09-06 1993-02-16 King Industries, Inc. Latent catalysts
FR2693455B1 (fr) * 1992-07-09 1994-09-30 Inst Francais Du Petrole Procédé de fabrication d'oléfines alpha légères par oligomérisation de l'éthylène.
JPH0632756A (ja) 1992-07-14 1994-02-08 Ube Ind Ltd ビス(ヒドロキシフェニル)メタン類の製造方法
JPH0931385A (ja) * 1995-07-19 1997-02-04 Sakata Corp 印刷インキ組成物
US5843624A (en) 1996-03-08 1998-12-01 Lucent Technologies Inc. Energy-sensitive resist material and a process for device fabrication using an energy-sensitive resist material
US5772978A (en) 1996-04-24 1998-06-30 Minnesota Mining And Manufacturing Company Process for producing tungsten oxide
US6808859B1 (en) 1996-12-31 2004-10-26 Hyundai Electronics Industries Co., Ltd. ArF photoresist copolymers
US5879859A (en) 1997-07-16 1999-03-09 International Business Machines Corporation Strippable photoimageable compositions
JP2000010293A (ja) 1998-06-17 2000-01-14 Jsr Corp 反射防止膜形成用組成物および反射防止膜
US6849377B2 (en) 1998-09-23 2005-02-01 E. I. Du Pont De Nemours And Company Photoresists, polymers and processes for microlithography
US6790587B1 (en) 1999-05-04 2004-09-14 E. I. Du Pont De Nemours And Company Fluorinated polymers, photoresists and processes for microlithography
US6348299B1 (en) 1999-07-12 2002-02-19 International Business Machines Corporation RIE etch resistant nonchemically amplified resist composition and use thereof
KR20030076228A (ko) 2000-06-21 2003-09-26 아사히 가라스 가부시키가이샤 레지스트 조성물
US6242156B1 (en) 2000-06-28 2001-06-05 Gary Ganghui Teng Lithographic plate having a conformal radiation-sensitive layer on a rough substrate
US6447980B1 (en) 2000-07-19 2002-09-10 Clariant Finance (Bvi) Limited Photoresist composition for deep UV and process thereof
US20020155389A1 (en) 2000-10-24 2002-10-24 Bharath Rangarajan Inverse resist coating process
CN1221861C (zh) 2001-02-09 2005-10-05 旭硝子株式会社 光致抗蚀剂组合物
US6723488B2 (en) 2001-11-07 2004-04-20 Clariant Finance (Bvi) Ltd Photoresist composition for deep UV radiation containing an additive
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6872506B2 (en) 2002-06-25 2005-03-29 Brewer Science Inc. Wet-developable anti-reflective compositions
US6740469B2 (en) 2002-06-25 2004-05-25 Brewer Science Inc. Developer-soluble metal alkoxide coatings for microelectronic applications
JP2004179254A (ja) 2002-11-25 2004-06-24 Renesas Technology Corp 半導体装置の製造方法
US20040171743A1 (en) 2003-01-21 2004-09-02 Terry Brewer, Ph.D. Hybrid organic-inorganic polymer coatings with high refractive indices
US7378453B2 (en) 2003-03-14 2008-05-27 Nippon Shokubai Co., Ltd. Surface crosslinking treatment method of water-absorbing resin powder
CN100548576C (zh) 2003-04-25 2009-10-14 Jsr株式会社 抛光垫和化学机械抛光方法
JP4131864B2 (ja) 2003-11-25 2008-08-13 東京応化工業株式会社 化学増幅型ポジ型感光性熱硬化性樹脂組成物、硬化物の形成方法、及び機能素子の製造方法
CN1902550B (zh) 2003-12-26 2012-07-18 日产化学工业株式会社 形成硬掩模用涂布型氮化膜的组合物
JP4620967B2 (ja) 2004-04-26 2011-01-26 太陽ホールディングス株式会社 永久穴埋め用熱硬化性樹脂組成物
ITPG20040013A1 (it) 2004-04-30 2004-07-30 Fuma Tech Gmbh Soluzioni organiche di precursori di fosfati e pirofosfati di metalli tetravalenti e loro impiego per la modificazione di elettrodi e per la preparazione di membrane composite per celle a combustibile operanti a temperature >900 centigradi e/o a bass
JP4461901B2 (ja) 2004-05-11 2010-05-12 Tdk株式会社 ホログラム記録材料及びホログラム記録媒体
JP4811757B2 (ja) 2004-09-30 2011-11-09 独立行政法人産業技術総合研究所 メソポーラス金属酸化物複合光導波路センサー、その製造方法及びそれを用いたガスセンサー
JP2006312600A (ja) * 2005-05-09 2006-11-16 Adeka Corp 金属化合物、薄膜形成用原料、薄膜の製造方法及び薄膜
US7563549B2 (en) 2005-05-20 2009-07-21 Xerox Corporation Imaging member
US7799396B2 (en) 2005-06-03 2010-09-21 Kuraray Co., Ltd. Gas barrier layered product, method of manufacturing the same and packaging medium using the same
KR100666477B1 (ko) 2005-06-16 2007-01-11 한국과학기술연구원 산화티타늄 나노로드 및 그의 제조방법
US7326442B2 (en) 2005-07-14 2008-02-05 International Business Machines Corporation Antireflective composition and process of making a lithographic structure
JP4437226B2 (ja) 2005-08-30 2010-03-24 国立大学法人 新潟大学 光触媒膜の製造方法
WO2007032185A1 (ja) 2005-09-13 2007-03-22 Nippon Steel Chemical Co., Ltd. 熱硬化性樹脂組成物
JP4553835B2 (ja) 2005-12-14 2010-09-29 信越化学工業株式会社 反射防止膜材料、及びこれを用いたパターン形成方法、基板
JP4983798B2 (ja) 2006-08-29 2012-07-25 Jsr株式会社 感光性絶縁樹脂組成物およびその硬化物
US8168372B2 (en) 2006-09-25 2012-05-01 Brewer Science Inc. Method of creating photolithographic structures with developer-trimmed hard mask
JP4204611B2 (ja) 2006-09-25 2009-01-07 信越化学工業株式会社 フォトマスクブランクの製造方法
US7416834B2 (en) 2006-09-27 2008-08-26 Az Electronic Materials Usa Corp. Antireflective coating compositions
FR2916199B1 (fr) * 2007-05-14 2012-10-19 Inst Francais Du Petrole Procede d'oligomerisation des olefines utilisant une composition catalytique comprenant un complexe organometallique contenant un ligand phenoxy fonctionnalise par un hetero-atome
JP5765938B2 (ja) * 2007-10-17 2015-08-19 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se 有機金属化合物を主成分とする光潜伏性触媒
US8039201B2 (en) 2007-11-21 2011-10-18 Az Electronic Materials Usa Corp. Antireflective coating composition and process thereof
JP5101541B2 (ja) 2008-05-15 2012-12-19 信越化学工業株式会社 パターン形成方法
JP5503916B2 (ja) 2008-08-04 2014-05-28 富士フイルム株式会社 レジスト組成物及びそれを用いたパターン形成方法
WO2010021030A1 (ja) 2008-08-20 2010-02-25 富士通株式会社 レジスト増感膜形成用材料、半導体装置の製造方法、半導体装置、及び磁気ヘッド
JP5336306B2 (ja) 2008-10-20 2013-11-06 信越化学工業株式会社 レジスト下層膜形成方法、これを用いたパターン形成方法、及びレジスト下層膜材料
WO2010047109A1 (ja) 2008-10-23 2010-04-29 国立大学法人三重大学 ポリオルガノシロキサン組成物およびその硬化体
US8933138B2 (en) * 2009-09-15 2015-01-13 Basf Se Photo-latent titanium-chelate catalysts
WO2011033965A1 (ja) * 2009-09-16 2011-03-24 日産化学工業株式会社 スルホンアミド基を有するシリコン含有レジスト下層膜形成組成物
JP5721992B2 (ja) 2009-10-14 2015-05-20 富士フイルム株式会社 着色硬化性組成物、レジスト液、インクジェット用インク、カラーフィルタ、カラーフィルタの製造方法、固体撮像素子、液晶ディスプレイ、有機elディスプレイ、画像表示デバイス、及び色素化合物
JP2011083820A (ja) * 2009-10-16 2011-04-28 Toshikatsu Kizaki ベルトプレス式脱水機の丸線形スクレーパ装置
KR20120117912A (ko) 2010-02-15 2012-10-24 코넬 유니버시티 전기방사 장치 및 이로부터 제조된 나노섬유
EP2400304A1 (en) 2010-06-22 2011-12-28 Centro de Investigación Cooperativa En Biomateriales ( CIC biomaGUNE) Method for the characterization of intermolecular interactions
JP5266294B2 (ja) 2010-11-01 2013-08-21 信越化学工業株式会社 レジスト下層膜材料及びこれを用いたパターン形成方法
KR102082518B1 (ko) 2011-01-18 2020-02-27 히타치가세이가부시끼가이샤 수지 조성물, 이것을 이용한 프리프레그, 적층판 및 인쇄 배선판
WO2012118847A2 (en) 2011-02-28 2012-09-07 Inpria Corportion Solution processible hardmarks for high resolusion lithography
JP2012237823A (ja) 2011-05-10 2012-12-06 Konica Minolta Business Technologies Inc 電子写真感光体、それを含むプロセスカートリッジおよび画像形成装置
US8568958B2 (en) 2011-06-21 2013-10-29 Az Electronic Materials Usa Corp. Underlayer composition and process thereof
JP5889568B2 (ja) 2011-08-11 2016-03-22 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH 酸化タングステン膜形成用組成物およびそれを用いた酸化タングステン膜の製造法
US9201305B2 (en) 2013-06-28 2015-12-01 Az Electronic Materials (Luxembourg) S.A.R.L. Spin-on compositions of soluble metal oxide carboxylates and methods of their use
US9296922B2 (en) 2013-08-30 2016-03-29 Az Electronic Materials (Luxembourg) S.A.R.L. Stable metal compounds as hardmasks and filling materials, their compositions and methods of use
CN104567030B (zh) * 2014-12-24 2016-09-21 锦州东大太阳能工程技术有限公司 太阳能聚光传输装置及其太阳能锅炉光热发电系统

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JACS, 1976, 98, 637-638.

Also Published As

Publication number Publication date
TWI627231B (zh) 2018-06-21
CN109180722A (zh) 2019-01-11
KR102177184B1 (ko) 2020-11-11
JP6430954B2 (ja) 2018-11-28
TW201443159A (zh) 2014-11-16
EP2928899B1 (en) 2020-09-09
US20140159278A1 (en) 2014-06-12
US9315636B2 (en) 2016-04-19
WO2014086982A3 (en) 2014-08-28
KR20200094232A (ko) 2020-08-06
JP2016508963A (ja) 2016-03-24
WO2014086982A2 (en) 2014-06-12
CN104781262A (zh) 2015-07-15
CN109180722B (zh) 2021-01-01
CN104781262B (zh) 2018-11-13
KR20150092737A (ko) 2015-08-13
EP2928899A2 (en) 2015-10-14
SG11201502264SA (en) 2015-04-29

Similar Documents

Publication Publication Date Title
KR102302645B1 (ko) 안정한 금속 화합물, 이의 조성물 및 이의 사용 방법
JP7050137B2 (ja) ハードマスクおよび充填材料として安定な金属化合物、その組成物、およびその使用方法
JP6122426B2 (ja) 下層組成物及びそれの方法
KR101820263B1 (ko) 반사방지 코팅 조성물 및 이의 공정
JP6342998B2 (ja) 可溶性金属酸化物カルボキシレートのスピンオン組成物及びそれらの使用方法
JP5822358B2 (ja) 縮合芳香環を含む反射防止コーティング組成物
JP5912170B2 (ja) 反射防止コーティング組成物及びそれの方法
KR20110013374A (ko) 반사방지 코팅 조성물
TW200831621A (en) Antireflective coating compositions
JP5035930B2 (ja) 溶剤混合物を含むフォトレジスト用反射防止膜コーティング組成物

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant