CN104584222A - 有源栅极之上的栅极触点结构及其制造方法 - Google Patents

有源栅极之上的栅极触点结构及其制造方法 Download PDF

Info

Publication number
CN104584222A
CN104584222A CN201380043706.XA CN201380043706A CN104584222A CN 104584222 A CN104584222 A CN 104584222A CN 201380043706 A CN201380043706 A CN 201380043706A CN 104584222 A CN104584222 A CN 104584222A
Authority
CN
China
Prior art keywords
gate
via hole
contacts
grid structure
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201380043706.XA
Other languages
English (en)
Other versions
CN104584222B (zh
Inventor
A·J·派特
T·加尼
M·博尔
C·韦布
H·戈麦斯
A·卡佩拉尼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Priority to CN201711401705.4A priority Critical patent/CN107895712B/zh
Priority to CN201710034693.XA priority patent/CN107425065B/zh
Publication of CN104584222A publication Critical patent/CN104584222A/zh
Application granted granted Critical
Publication of CN104584222B publication Critical patent/CN104584222B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/66583Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with initial gate mask or masking layer complementary to the prospective gate location, e.g. with dummy source and drain contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors

Abstract

描述了布置在栅极的有源部分上的栅极触点结构以及形成这种栅极触点结构的方法。例如,一种半导体结构包括具有有源区和隔离区的衬底。栅极结构具有布置在所述衬底的有源区上的部分和布置在所述衬底的隔离区上的部分。源极区和漏极区布置在所述衬底的有源区中、并且位于所述栅极结构的布置在有源区上的部分的任一侧上。栅极触点结构布置在所述栅极结构的布置在所述衬底的有源区上的部分上。

Description

有源栅极之上的栅极触点结构及其制造方法
技术领域
本发明的实施例涉及半导体器件和工艺的领域,具体而言,涉及布置在栅极的有源部分之上的栅极触点结构以及形成这种栅极触点结构的方法。
背景技术
过去几十年中,集成电路中的特征的缩放已经成为日益增长的半导体工业背后的驱动力。缩小到越来越小的特征实现了功能单元在半导体芯片的有限基板面上增大的密度。例如,减小晶体管尺寸允许在芯片上包含增大数量的存储或逻辑器件,导致制造出具有增大容量的产品。但对于更大容量的驱策并非没有问题。优化每一个器件的性能的必要性变得日益显著。
在集成电路器件的制造中,诸如三栅晶体管之类的多栅晶体管随着器件尺寸不断缩小而变得更为普遍。在传统工艺中,通常在体硅衬底或者绝缘体上硅衬底上制造三栅晶体管。在一些情况下,体硅衬底由于其成本较低,并且因为它们实现了不太复杂的三栅制造工艺而是优选的。
但缩小三栅晶体管的尺寸并非没有后患。随着微电子电路的这些基本构件块的尺寸减小,以及随着在给定区域中制造的基本构件块的绝对数量增大,对于用于形成这些构件块的图案的光刻工艺的约束变得难以克服。具体而言,在半导体叠置体中的图案化的特征的最小尺寸(临界尺寸)与这种特征之间的间隔之间存在折衷。
附图说明
图1A示出了具有被布置在栅极电极的无源部分上的栅极触点的半导体器件的平面图。
图1B示出了具有被布置在栅极电极的无源部分上的栅极触点的平面半导体器件的横截面图。
图1C示出了具有被布置在栅极电极的无源部分上的栅极触点的非平面半导体器件的横截面图。
图2A示出了根据本发明的实施例的具有被布置在栅极电极的有源部分上的栅极触点过孔的半导体器件的平面图。
图2B示出了根据本发明的实施例的具有被布置在栅极电极的有源部分上的栅极触点过孔的平面半导体器件的横截面图。
图2C示出了根据本发明的实施例的具有被布置在栅极电极的有源部分上的栅极触点过孔的非平面半导体器件的横截面图。
图3A-3F示出了表示根据本发明的实施例的在制造半导体结构的方法中的不同操作的横截面图,所述半导体结构具有被布置在栅极的有源部分上的栅极触点结构,其中:
图3A示出了在沟槽触点形成之后的半导体结构;
图3B示出了在图3A的结构的间隔体内的沟槽触点的凹陷和在其上的绝缘帽盖层的形成;
图3C示出了在图3B的结构上的层间电介质(ILD)和硬掩模叠置体的形成和图案化;
图3D示出了过孔开口在层间电介质(ILD)中的形成以及从金属(0)沟槽到图3C的结构的一个或多个凹陷沟槽触点的延伸;
图3E示出了过孔开口在层间电介质(ILD)中的形成以及从金属(0)沟槽到图3D的结构的一个或多个栅极叠置体结构的延伸;
图3F示出了在相关于图3E所述的结构的金属(0)沟槽与过孔开口中的金属触点结构的形成。
图4示出了根据本发明的另一个实施例的具有被布置在栅极电极的有源部分上的栅极触点过孔的另一个非平面半导体器件的横截面图。
图5A和5B示出了表示根据本发明的另一个实施例的在制造具有被布置在栅极的有源部分上的栅极触点结构的另一个半导体结构的方法中的不同操作的横截面图。
图6示出了根据本发明的另一个实施例的具有被布置在栅极的有源部分上的栅极触点过孔的另一个半导体器件的平面图。
图7示出了根据本发明的另一个实施例的具有耦合沟槽触点对的沟槽触点过孔的另一个半导体器件的平面图。
图8示出了根据本发明的一个实现方式的计算设备。
具体实施方式
描述了布置在栅极的有源部分之上的栅极触点结构以及形成这种栅极触点结构的方法。在以下说明中,阐述了多个特定细节,例如特定集成和材料状况,以便提供对本发明的实施例的透彻理解。对于本领域技术人员来说,显然,本发明的实施例的实践可以无需这些特定细节。在其他实例中,没有详细说明诸如集成电路设计布局的公知的特征,以免不必要地使得本发明的实施例模糊不清。而且,应当理解,附图中所示的不同实施例是说明性表示,不一定按照比例绘制。
本发明的一个或多个实施例涉及具有布置在半导体结构或器件的栅极电极的有源部分之上的一个或多个栅极触点结构(例如栅极触点过孔)的半导体结构或器件。本发明的一个或多个实施例涉及制造具有在半导体结构或器件的栅极电极的有源部分之上形成的一个或多个栅极触点结构的半导体结构或器件的方法。本文所述的方案可以用于通过在有源栅极区上实现栅极触点形成而减小标准单元面积。在一个或多个实施例中,用以接触栅极电极而制造的栅极触点结构是自对准过孔结构。
在空间与布局约束与当前产生空间与布局约束相比略微宽松的技术中,可以通过获得到布置在隔离区上的一部分栅极电极的接触来制造到栅极结构的触点。示例性地,图1A示出了具有布置在栅极电极的无源部分上的栅极触点的半导体器件的平面图。
参考图1A,半导体结构或器件100A包括布置在衬底102中和隔离区106内的扩散区或有源区104。诸如栅极线108A、108B和108C之类的一条或多条栅极线(也称为多线)布置在扩散区或有源区104上以及一部分隔离区106上。诸如触点110A和110B之类的源极触点或漏极触点(也称为沟槽触点)布置在半导体结构或器件100A的源极区与漏极区上。过孔112A和112B分别提供到沟槽触点110A和110B的接触。分离的栅极触点114和叠置栅极触点过孔116提供到栅极线108B的接触。与源极沟槽触点或漏极沟槽触点110A或110B相反,从平面图的角度来看,栅极触点114布置在隔离区106上,但不在扩散区或有源区104上。而且,栅极触点114和栅极触点过孔116都没有布置在源极沟槽触点或漏极沟槽触点110A与110B之间。
图1B示出了具有布置在栅极电极的无源部分之上的栅极触点的平面半导体器件的横截面图。参考图1B,例如图1A的器件100A的平面变形的半导体结构或器件100B包括布置在衬底102中和隔离区106内的平面的扩散区或有源区104B。栅极线108B布置在平面的扩散区或有源区104B上,以及一部分隔离区106上。如所示的,栅极线108B包括栅极电极150和栅极电介质层152。同样,电介质帽盖层154可以布置在栅极电极上,例如,电介质帽盖层用于保护金属栅极电极。从这个角度还可以见到栅极触点114和叠置栅极触点过孔116以及叠置金属互连160,它们全都布置在层间电介质叠置体或层170中。从图1B的角度还可以见到,栅极触点114和栅极触点过孔116布置在隔离区106之上,但不在平面的扩散区或有源区104B之上。
图1C示出了具有布置在栅极电极的无源部分之上的栅极触点的非平面半导体器件的横截面图。参考图1C,例如图1A的器件100A的非平面变形的半导体结构或器件100C包括从衬底102形成的,并在隔离区106内的非平面的扩散区或有源区104C(例如,鳍状物结构)。栅极线108B布置在非平面的扩散区或有源区104C上,以及一部分隔离区106上。如所示的,栅极线108B包括栅极电极150和栅极电介质层152,以及电介质帽盖层154。从这个角度还可以见到栅极触点114和叠置栅极触点过孔116以及叠置金属互连160,它们全都布置在层间电介质叠置体或层170中。从图C的角度还可以见到,栅极触点114布置在隔离区106之上,但不在非平面的扩散区或有源区104C之上。
再次参考图1A-1C,半导体结构或器件100A-100C的布置分别在隔离区之上设置栅极触点。这个布置浪费了布局空间。但在有源区上设置栅极触点会需要极其严格的对准预算或者栅极尺寸必须增大以提供足够的空间来放置栅极触点。而且,从历史观点上说,由于存在钻通传统栅极材料(例如多晶硅)并接触下层有源区的风险,会避免在扩散区上到栅极的接触。本文所述的一个或多个实施例通过提供切实可行的方案和得到的结构,制造在扩散区或有源区之上形成的栅极电极的触点部分的触点结构来解决以上的问题。
示例性地,图2A示出了根据本发明的实施例的具有布置在栅极电极的有源部分上的栅极触点过孔的半导体器件的平面图。参考图2A,半导体结构或器件200A包括布置在衬底202中和隔离区206内的扩散区或有源区204。诸如栅极线208A、208B和208C的一条或多条栅极线布置在扩散区或有源区204上以及一部分隔离区206上。诸如沟槽触点210A和210B的源极沟槽触点或漏极沟槽触点布置在半导体结构或器件200A的源极区与漏极区上。沟槽触点过孔212A和212B分别提供到沟槽触点210A和210B的接触。无居间分离栅极触点层的栅极触点过孔216提供到栅极线208B的接触。与图1A相反,从平面图的角度来看,栅极触点216布置在扩散区或有源区204上并且在源极触点或漏极触点210A和210B之间。
图2B示出了根据本发明的实施例的具有布置在栅极电极的有源部分上的栅极触点过孔的平面半导体器件的横截面图。参考图2B,例如图2A的器件200A的平面变形的半导体结构或器件200B包括布置在衬底202中和隔离区206内的平面的扩散区或有源区204B。栅极线208B布置在平面的扩散区或有源区204B上以及一部分隔离区206上。如所示的,栅极线208B包括栅极电极250和栅极电介质层252。同样,电介质帽盖层254可以布置在栅极电极上,例如,电介质帽盖层用于保护金属栅极电极。从这个角度还可以见到栅极触点过孔216以及叠置金属互连260,它们全都布置在层间电介质叠置体或层270中。从图2B的角度还可以见到,栅极触点过孔216布置在平面的扩散区或有源区204B上。
图2C示出了根据本发明的实施例的具有布置在栅极电极的有源部分上的栅极触点过孔的非平面半导体器件的横截面图。参考图2C,例如图2A的器件200A的非平面变形的半导体结构或器件200C包括从衬底202形成的,并在隔离区206内的非平面的扩散区或有源区204C(例如,鳍状物结构)。栅极线208B布置在非平面的扩散区或有源区204C上以及一部分隔离区206上。如所示的,栅极线208B包括栅极电极250和栅极电介质层252,以及电介质帽盖层254。从这个角度还可以见到栅极触点过孔216以及叠置金属互连260,它们全都布置在层间电介质叠置体或层270中。从图2C的角度还可以见到,栅极触点过孔216布置在非平面的扩散区或有源区204C上。
因此,再次参考图2A-2C,在实施例中,沟槽触点过孔212A、212B和栅极触点过孔216形成在相同的层中并基本上共面。与图1A-1C相比,到栅极线的接触会另外包括额外的栅极触点层,例如它会垂直于相应的栅极线延伸。但在相关于图2A-2C所述的结构中,结构200A-200C的制造分别实现了直接来自金属互连层的触点在有源栅极部分上的设置,而没有到相邻源极漏极区的短接。在一个实施例中,这个布置借助无需隔离地延伸晶体管栅极以形成可靠的触点而提供了电路布局中的大面积减小。如在全文中使用的,在一个实施例中,对栅极的有源部分的提及指代栅极线或结构布置在下层衬底的有源或扩散区上的(从平面图的角度)部分。在实施例中,对栅极的无源部分的提及指代栅极线或结构布置在下层衬底的隔离区上的(从平面图的角度)部分。
在实施例中,半导体结构或器件200是平面器件,例如图2B所示的。在另一个实施例中,半导体结构或器件200是非平面器件,例如但不限于,鳍状物FET或三栅器件。在这个实施例中,相应的半导体沟道区由三维体组成或形成于三维体中。在一个这种实施例中,栅极线208A-208C的栅极电极叠置体至少围绕三维体的顶部表面和侧壁对。在另一个实施例中,至少使得沟道区是分离的三维体,例如在环栅器件中。在一个这种实施例中,栅极线208A-208C中的每一个栅极电极叠置体都围绕沟道区。
衬底202可以由能够经受制造过程并且电荷在其中可以迁移的半导体材料组成。在实施例中,衬底202是体衬底,由以电荷载流子掺杂的晶体硅、硅/锗或锗层组成,例如但不限于磷、砷、硼或其组合,用以形成扩散区或有源区204。在一个实施例中,体衬底202中硅原子的浓度大于97%。在另一个实施例中,体衬底202由在不同晶体衬底顶上生长的外延层组成,例如在硼掺杂的体硅单晶衬底顶上生长的硅外延层。体衬底202可替换地由III-V族材料组成。在一个实施例中,体衬底202由例如但不限于,氮化镓、磷化镓、砷化镓、磷化铟、锑化铟、砷化铟镓、铝镓砷、磷化铟镓、或其组合的III-V族材料组成。在一个实施例中,体衬底202由III-V族材料组成,电荷-载流子掺杂剂杂质原子例如但不限于,碳、硅、锗、氧、硫、硒或碲。在一个可替换的实施例中,衬底202是硅-或绝缘体上半导体(SOI)衬底。
隔离区206可以由适合于将永久栅极结构的部分与下层体衬底最终电隔离或者对隔离起到作用的,或者隔离在下层体衬底内形成的有源区(例如隔离的鳍状物有源区)的材料组成。例如,在一个实施例中,隔离区206由电介质材料组成,例如但不限于,氧化硅、氮氧化硅、氮化硅或碳掺杂的氮化硅。
栅极线208A、208B和208C可以由栅极电极叠置体组成,其每一个都包括栅极电介质层和栅极电极层(在此未显示为分离的层)。在一个实施例中,栅极电极叠置体的栅极电极由金属栅极组成,栅极电介质层由高k材料组成。例如,在一个实施例中,栅极电介质层由诸如但不限于氧化铪、氮氧化铪、硅酸铪、氧化镧、氧化锆、硅酸锆、氧化钽、钛酸锶钡、钛酸钡、钛酸锶、氧化钇、氧化铝、钽酸钪铅、铌酸锌铅或其组合组成。而且,一部分栅极电介质层可以包括本征氧化物层,其由衬底202的顶部几层形成。在一个实施例中,栅极电介质层由顶部高k部分和由半导体材料的氧化物组成的下部组成。在一个实施例中,栅极电介质层由氧化铪的顶部部分和氧化硅或氮氧化硅的底部部分组成。
在一个实施例中,栅极电极由金属层组成,例如但不限于,金属氮化物、金属碳化物、金属硅化物、金属铝化物、铪、锆、钛、钽、铝、钌、钯、铂、钴、镍、或导电金属氧化物。在一个特定实施例中,栅极电极由在金属功函数设定层上形成的非功函数设定填充材料组成。
与栅极电极叠置体相关的间隔体可以由适合于将永久栅极结构与诸如自对准触点之类的相邻导电触点最终电隔离的或者对隔离起到作用的材料组成。例如,在一个实施例中,间隔体由电介质材料组成,例如但不限于,氧化硅、氮氧化硅、氮化硅或碳掺杂的氮化硅。
触点210A和210B以及过孔212A、212B和216的任意一个或全部都可以由导电材料组成。在一个实施例中,任意触点或者全部这些触点或过孔都由金属种类组成。金属种类可以是诸如钨、镍或钴的纯金属,或者可以是合金,例如金属-金属合金或者金属-半导体合金(例如硅化物材料)。
更普遍地,一个或多个实施例涉及在有源晶体管栅极上直接设置栅极触点过孔的方案和由其形成的结构。这种方案无需为了接触目的而隔离地延伸栅极线。这种方案还无需用以从栅极线或结构传导信号的分离栅极触点(GCN)层。在一个实施例中,通过使得触点金属在沟槽触点(TCN)中凹陷并在工艺流程中引入额外的电介质材料(例如TILA)来实现以上特征部件的去除。作为沟槽触点电介质帽盖层而包含额外的电介质材料,其蚀刻特性不同于在栅极对准的触点工艺(GAP)处理方案(例如GILA)中已经用于沟槽触点对准的栅极电介质材料帽盖层。
作为示例性的制造方案,图3A-3F示出了表示根据本发明的实施例的在制造具有布置在栅极的有源部分上的栅极触点结构的半导体结构的方法中的不同操作的横截面图。
参考图3A,提供了在沟槽触点(TCN)形成之后的半导体结构300。应当理解,结构300的特定布置仅是用于举例说明的目的,各种可能的布局都可以得益于本文所述的发明的实施例。半导体结构300包括一个或多个栅极叠置体结构,例如布置在衬底302上的栅极叠置体结构308A-308E。栅极叠置体结构可以包括栅极电介质层和栅极电极,例如以上相关于图2所述的。诸如沟槽触点310A-310C的例如到衬底302的扩散区的触点的沟槽触点也包括在结构300中,并借助电介质间隔体320与栅极叠置体结构308A-308E分隔开。绝缘帽盖层322可以布置在栅极叠置体结构308A-308E上(例如GILA),同样如图3A所示的。同样如图3A所示的,诸如由层间电介质材料制造的区域323的触点阻挡区或“触点塞”可以包括在要阻止触点形成的区域中。
用于提供结构300的过程可以是在于2011年12月22日由Intel Corp.提交的题为“Gate Aligned Contact and Method to Fabricate Same”的国际专利申请No.PCT/US11/66989中说明的过程,其通过参考并入本文中。例如,设计为对于绝缘帽盖层322具有选择性的沟槽触点蚀刻可以用于形成自对准触点310A-310C。
在实施例中,提供结构300包括形成触点图案,其在无需使用具有过于严格的对准预算的光刻步骤的同时基本上优选地与已有栅极图案对准。在一个这种实施例中,这个方案实现了使用固有地高度选择性湿法蚀刻(例如与传统实施的干法或等离子体蚀刻相比)来产生触点开口。在一个实施例中,通过结合触点塞光刻操作使用已有栅极图案来形成触点图案。在一个这种实施例中,该方案实现了无需用以产生触点图案的另外的关键光刻操作,如在传统方案中所使用的。在一个实施例中,没有分别形成沟槽触点网格的图案,而是在多(栅极)线之间形成。例如,在一个这种实施例中,在栅极栅格图案形成之后,但在栅极栅格切割之前形成沟槽触点网格。
而且,可以通过替换栅极工艺来制造栅极叠置体结构308A-308E。在这个方案中,可以去除诸如多晶硅或氮化硅柱材料之类的虚栅极材料,并以永久栅极电极材料来代替。在一个这种实施例中,与由较早的处理来完成的相反,在这个过程中还形成了永久栅极电介质层。在一个实施例中,借助干法蚀刻或湿法蚀刻工艺来去除虚栅极。在一个实施例中,虚栅极由多晶硅或非晶硅组成,并借助包括SF6的干法蚀刻工艺来去除。在另一个实施例中,虚栅极由多晶硅或非晶硅组成,并借助包括NH4OH或四甲基铵氢氧化物的湿法蚀刻工艺来去除。在一个实施例中,虚栅极由氮化硅组成,并借助包括磷酸水溶液的湿法蚀刻来去除。
在一个实施例中,本文所述的一个或多个方案实质上设想了结合虚拟和替换触点工艺的虚拟和替换栅极工艺以得到结构300。在一个这种实施例中,在替换栅极工艺之后执行替换触点工艺,以允许至少一部分永久栅极叠置体的高温退火。例如,在一个特定的这种实施例中,例如在栅极电介质层形成之后的至少一部分永久栅极结构的退火在大于约600摄氏度的温度执行。在形成永久触点之前执行退火。
参考图3B,使得结构300的沟槽触点310A-310C凹陷到间隔体320内,以提供凹陷的沟槽触点311A-311C,其高度低于间隔体320和绝缘帽盖层322的顶部表面。随后在凹陷的沟槽触点311A-311C(例如TILA)上形成绝缘帽盖层324。根据本发明的实施例,在凹陷的沟槽触点311A-311C上的绝缘帽盖层324由具有与栅极叠置体结构308A-308E上的绝缘帽盖层322不同蚀刻特性的材料组成。如在随后的处理操作中会见到的,这个区别可以用于蚀刻322/324之一,并对322/324中的另一个具有选择性。
可以借助对于间隔体320或绝缘帽盖层322的材料有选择性的过程来使得沟槽触点310A-310C凹陷。例如,在一个实施例中,借助诸如湿法适合工艺或干法蚀刻工艺的蚀刻工艺来使得沟槽触点310A-310C凹陷。可以借助适合于在沟槽触点310A-310C的露出部分上提供保形密封层的工艺来形成绝缘帽盖层324。例如,在一个实施例中,作为在整个结构上的保形层,借助化学气相沉积(CVD)工艺绝缘帽盖层324。随后例如借助化学机械抛光(CMP)来平面化保形层,以便仅在沟槽触点310A-310C及再次露出的间隔体320和绝缘帽盖层322上提供绝缘帽盖层324材料。
与用于绝缘帽盖层322/324的适合材料组合有关的,在一个实施例中,322/324对之一由氧化硅组成,而另一个由氮化硅组成。在另一个实施例中,322/324对之一由氧化硅组成,而另一个由碳掺杂的氮化硅组成。在另一个实施例中,322/324对之一由氧化硅组成,而另一个由碳化硅组成。在另一个实施例中,322/324对之一由氮化硅组成,而另一个由碳掺杂的氮化硅组成。在另一个实施例中,322/324对之一由氮化硅组成,而另一个由碳化硅组成。在另一个实施例中,322/324对之一由碳掺杂的氮化硅组成,而另一个由碳化硅组成。
参考图3C,形成并图案化层间电介质(ILD)330和硬掩模332叠置体,以提供例如在图3B的结构上形成图案的金属(0)沟槽334。
层间电介质(ILD)330可以由在前端与后端处理之间保持鲁棒结构的同时适合于在其中最终形成电隔离金属特征的材料组成。而且,在一个实施例中,将ILD330的成分选择为与对于沟槽触点电介质帽盖层和栅极电介质帽盖层图案化的过孔蚀刻选择性一致,如以下相关于图3D和3E更详细说明的。在一个实施例中,ILD 330由单层或几层氧化硅或者单层或几层碳掺杂的氧化物(CDO)材料组成。但在其他实施例中,ILD 330具有双层成分,顶部由与ILD 330的下层底部不同的材料组成,如以下相关于图4更详细说明的。硬掩模332可以由适合于充当随后的牺牲层的材料组成。例如,在一个实施例中,硬掩模层332基本上由碳组成,例如作为交叉结合的有机聚合物的层。在其他实施例中,氮化硅或碳掺杂的氮化硅层用作硬掩模332。可以借助光刻和蚀刻工艺来图案化层间电介质(ILD)330和硬掩模332叠置体。
参考图3D,过孔开口336(例如VCT)形成在层间电介质(ILD)330中,从金属(0)沟槽334延伸到一个或多个凹陷的沟槽触点311A-311C。例如,在图3D中,形成过孔开口以露出凹陷的沟槽触点311A和311C。过孔开口336的形成包括蚀刻层间电介质(ILD)330或相应绝缘帽盖层324的各个部分。在一个这种实施例中,在形成层间电介质(ILD)330的图案的过程中露出一部分绝缘帽盖层322(例如露出绝缘帽盖层322在栅极叠置体结构308B与308E上的一部分)。在该实施例中,对于绝缘帽盖层322有选择性地(即不明显蚀刻或影响)蚀刻绝缘帽盖层324以形成过孔开口336。
可以借助首先沉积硬掩模层、抗反射涂层(ARC)层和光致抗蚀剂层来形成过孔开口336。在一个实施例中,硬掩模层基本上由碳组成,例如作为交叉结合的有机聚合物的层。在一个实施例中,ARC层适合于在光致抗蚀剂层的光刻图案形成过程中抑制反射干扰。在一个这种实施例中,ARC层是硅ARC层。光致抗蚀剂层可以由适合于在光刻过程中使用的材料组成。在一个实施例中,通过首先遮掩光致抗蚀剂材料的覆盖层,随后将它暴露于光源来形成光致抗蚀剂层。可随后以通过显影覆盖光致抗蚀剂层来形成图案化的光致抗蚀剂层。在一个实施例中,在显影光致抗蚀剂层时去除了光致抗蚀剂层暴露于光源的部分。因而,形成图案的光致抗蚀剂层由正光致抗蚀剂材料组成。在一个特定实施例中,光致抗蚀剂层由正光致抗蚀剂材料组成,例如但不限于,248nm抗蚀剂、193nm抗蚀剂、157nm抗蚀剂、远紫外(EUV)抗蚀剂、e束压印层或具有邻叠氮萘醌(diazonaphthoquinone)感光剂的酚醛树脂基质。在另一个实施例中,在显影光致抗蚀剂层时保留光致抗蚀剂层暴露于光源的部分。因而,光致抗蚀剂层由负光致抗蚀剂材料组成。在一个特定实施例中,光致抗蚀剂层由负光致抗蚀剂材料组成,例如但不限于,由聚(顺式-异戊二烯)或聚乙烯肉桂酸酯组成等材料。
根据本发明的实施例,通过使用等离子体蚀刻工艺将光致抗蚀剂层的图案(例如过孔开口336的图案)转移到硬掩模层。该图案例如借助另一个或相同的干法蚀刻工艺最终转移到层间电介质(ILD)330。在一个实施例中,借助无需蚀刻绝缘帽盖层322(即栅极绝缘帽盖层)的蚀刻工艺将图案随后最终转移到绝缘帽盖层324(即沟槽触点绝缘帽盖层)。绝缘帽盖层324(TILA)可以由以下的任意一个或组合组成,包括氧化硅、氮化硅、碳化硅、碳掺杂的氮化硅、碳掺杂的氧化硅、非晶硅、不同金属氧化物和硅酸盐,包括氧化锆、氧化铪、氧化镧或其组合。可以使用以下技术的任意一个来沉积该层,包括CVD、ALD、PECVD、PVD、HDP辅助CVD、低温CVD。按照化学和物理溅射机制的组合开发相应的等离子体干法蚀刻。重合聚合物沉积可以用于控制材料去除速率、蚀刻剖面图和膜选择性。典型地借助在30-100mTorr范围内的典型压力和50-1000瓦的等离子体偏压的气体混合物来产生干法蚀刻,气体混合物包括NF3、CHF3、C4F8、HBr或O2。可以设计干法蚀刻以实现在帽盖层324(TILA)与322(GILA)的层之间的明显蚀刻选择性,以在干法蚀刻324(TILA)以形成到晶体管的源极漏极区的触点的过程中使得322(GILA)的损失最小。
参考图3E,一个或多个额外的过孔开口338(例如VCG)形成在层间电介质(ILD)330中,从金属(0)沟槽334延伸到一个或多个栅极叠置体结构308A-308E。例如,在图3E中,形成过孔开口以露出栅极叠置体结构308C和308D。过孔开口338的形成包括蚀刻层间电介质(ILD)330和相应绝缘帽盖层322的各个部分。在一个这种实施例中,形成层间电介质(ILD)330的图案的过程中露出一部分绝缘帽盖层324(例如,露出绝缘帽盖层324在凹陷的沟槽触点311B上的部分)。在该实施例中,对于绝缘帽盖层324有选择性地(即不明显蚀刻或影响)蚀刻绝缘帽盖层322以形成过孔开口338。
类似于形成过孔开口336,可以通过首先沉积硬掩模层、抗反射涂层(ARC)层和光致抗蚀剂层来形成过孔开口338。根据本发明的实施例,通过使用等离子体蚀刻工艺将光致抗蚀剂层的图案(例如,过孔开口338的图案)转移到硬掩模层。该图案例如借助另一个或相同的干法蚀刻工艺最终转移到层间电介质(ILD)330。在一个实施例中,借助无需蚀刻绝缘帽盖层324(即沟槽触点绝缘帽盖层)的蚀刻工艺将图案随后最终转移到绝缘帽盖层322(即栅极绝缘帽盖层)。绝缘帽盖层322(GILA)可以由以下的任意一个或组合组成,包括氧化硅、氮化硅、碳化硅、碳掺杂的氮化硅、碳掺杂的氧化硅、非晶硅、不同金属氧化物和硅酸盐,包括氧化锆、氧化铪、氧化镧或其组合。可以使用以下技术的任意一个来沉积该层,包括CVD、ALD、PECVD、PVD、HDP辅助CVD、低温CVD。在一个实施例中,绝缘帽盖层322(GILA)由不同于帽盖层324(TILA)的材料组成,以确保在两个帽盖层之间明显的蚀刻速率差。按照化学和物理溅射机制的组合开发相应的等离子体干法蚀刻,以实现在GILA与TILA膜之间可接受的蚀刻速率差。重合聚合物沉积可以用于控制材料去除速率、蚀刻剖面图和膜选择性。典型地借助在30-100mTorr范围内的典型压力和50-1000瓦的等离子体偏压的气体混合物来产生干法蚀刻,气体混合物包括NF3、CHF3、C4F8、HBr或O2。可以设计干法蚀刻以实现在帽盖层324(TILA)与322(GILA)的层之间的明显蚀刻选择性,以在干法蚀刻322(GILA)以在晶体管的有源区上形成栅极触点的过程中使得324(TILA)的损失最小。
参考图3F,在相关于图3E所述的结构的金属(0)沟槽334和过孔开口336与338中形成金属触点结构340。金属触点结构340包括连同触点过孔(例如分别为到沟槽触点311A和311C的沟槽触点过孔341A和341B)的金属(0)部350和栅极触点过孔(例如分别为到栅极叠置体结构308C和308D的栅极触点过孔342A和342B)。
在一个实施例中,借助金属沉积和随后的化学机械抛光操作来形成金属触点结构。金属沉积可以包括首先沉积粘附层,随后沉积填料金属层。因而,金属结构340可以由导电材料组成。在一个实施例中,金属结构340由金属种类组成。金属种类可以是诸如铜、钨、镍或钴的纯金属,或者可以是合金,例如金属-金属合金或者金属-半导体合金(例如硅化物材料)。
如以上相关于图3C简要提及的,作为替代,ILD 330可以是双层结构。示例性地,图4示出了根据本发明的另一个实施例的具有布置在栅极电极的有源部分上的栅极触点过孔的另一个非平面半导体器件的横截面图。参考图4,例如非平面器件的半导体结构或器件400包括从衬底402形成的,并在隔离区406内的非平面的扩散区或有源区404(例如鳍状物结构)。栅极电极叠置体408布置在非平面的扩散区或有源区404上,以及一部分隔离区406上。如所示的,栅极电极叠置体408包括栅极电极450和栅极电介质层452,以及电介质帽盖层454。栅极电极叠置体408布置在层间电介质叠置体420中,例如氧化硅层。栅极触点过孔416和叠置金属互连460布置在层间电介质(ILD)叠置体或层470中。在实施例中,结构470是双层层间电介质叠置体,包括底层472和顶层474,如图4所示的。
在实施例中,ILD 470的顶层474由针对低-K性能而优化的材料组成,例如针对减小在形成于其中的金属线之间的电容耦合。在一个这种实施例中,ILD 470的顶层474由诸如但不限于碳掺杂的氧化物(CDO)或多孔氧化物膜的材料组成。在一个实施例中,ILD 470的底层472由针对过孔蚀刻选择性而优化的材料组成,例如针对与利用在沟槽触点帽盖层与栅极帽盖层之间的蚀刻选择性的综合方案的兼容性。在一个这种实施例中,ILD 470的底层472由诸如但不限于二氧化硅(SiO2)或CDO膜的材料组成。在一个特定实施例中,ILD 470的顶层474由CDO材料组成,而ILD 470的底层472由SiO2组成。
在相关于图3A-3C所述的工艺流程中,在帽盖层324与322中过孔开口形成的过程中露出了间隔体320的顶部。在间隔体320的材料与帽盖层324与322的不同的情况下,必须顾及额外的蚀刻选择性考虑,以便阻止在过孔开口形成过程中不希望出现的间隔体的性能降低。在一个不同实施例中,可以使得间隔体凹陷,与栅极结构基本上成平面。在这个实施例中,可以形成栅极帽盖层以覆盖间隔体,阻止间隔体在过孔开口形成过程中露出。示例性地,图5A和5B示出了表示根据本发明的另一个实施例的在制造具有布置在栅极的有源部分上的栅极触点结构的另一个半导体结构的方法中的不同操作的横截面图。
参考图5A,提供了在沟槽触点(TCN)形成之后的半导体结构500。会理解,结构500的特定布置仅是用于举例说明的目的,各种可能的布局都可以得益于本文所述的发明的实施例。半导体结构500包括一个或多个栅极叠置体结构,例如布置在衬底302上的栅极叠置体结构308A-308E。栅极叠置体结构可以包括栅极电介质层和栅极电极,例如以上相关于图2所述的。诸如沟槽触点310A-310C的例如到衬底302的扩散区的触点的沟槽触点也包括在结构500中,并借助电介质间隔体520与栅极叠置体结构308A-308E分隔开。绝缘帽盖层522可以布置在栅极叠置体结构308A-308E上(例如GILA),同样如图5A所示的。但与相关于图3A所述的结构300相反,使得间隔体520凹陷到与栅极叠置体结构308A-308E大致相同的高度。因而,相应的绝缘帽盖层522覆盖与每一个栅极叠置体相关的间隔体520,还覆盖栅极叠置体。
参考图5B,在形成于电介质层330中的金属(0)沟槽和过孔开口中形成金属触点结构540。金属触点结构540包括连同沟槽触点过孔(例如分别为到沟槽触点311A和311C的沟槽触点过孔341A和341B)的金属(0)部550。金属触点结构540还包括栅极触点过孔(例如,分别为到栅极叠置体结构308C和308D的栅极触点过孔542A和542B)。与相关于图3F所述的结构相比,图5B得到的结构略有不同,因为在通向栅极触点过孔542A和542B的过孔开口的蚀刻形成过程中,间隔体522没有露出,延伸了绝缘帽盖层522的覆盖。
再次参考图5B,在一个实施例中,相对于栅极叠置体结构(包括图5中标记为308C和308D的栅极叠置体结构),使得沟槽触点(包括图5B中标记为311A和311C的沟槽触点)凹陷得更低。在一个此类实施例中,使得沟槽触点相对于栅极叠置体结构凹陷得更低,以防止分别在栅极触点过孔542A和542B与沟槽触点311A和311C之间可能的短路,例如在如果沟槽触点与栅极叠置体结构共面,栅极触点过孔542A和542B就会分别与沟槽触点311A和311C相遇的角处。
而且,在另一个实施例中(未示出),使得间隔体凹陷为与沟槽触点大致相同的高度。相应的沟槽绝缘帽盖层(TILA)覆盖与每一个沟槽触点相关的间隔体,还覆盖沟槽触点。在一个此类实施例中,使得栅极叠置体结构相对于沟槽触点凹陷得更低,以防止在沟槽触点过孔与相邻或附近栅极叠置体结构之间可能的短路。
本文所述的方案和结构可以实现形成使用传统方法不可能或难以制造的其他结构或器件。在第一示例中,图6示出了根据本发明的另一个实施例的具有布置在栅极的有源部分上的栅极触点过孔的另一个半导体器件的平面图。参考图6,半导体结构或器件600包括与多个沟槽触点610A和610B相互交叉的多个栅极结构608A-608C(这些特征部件布置在衬底的有源区上,未示出)。栅极触点过孔680形成于栅极结构608B的有源部分上。栅极触点过孔680进一步布置在栅极结构608C的有源部分上,耦合栅极结构608B和608C。应当理解,通过使用沟槽触点绝缘帽盖层(例如TILA)可以使得居间沟槽触点610B与触点680隔离。图6的触点结构可以在无需使带通过金属化的上层的情况下,提供将相邻栅极线用带固定在布局中的更容易的方案,因而实现了更小的单元面积和/或不太复杂的布线方案。
在第二示例中,图7示出了根据本发明的另一个实施例的具有耦合沟槽触点对的沟槽触点过孔的另一个半导体器件的平面图。参考图7,半导体结构或器件700包括与多个沟槽触点710A和710B相互交叉的多个栅极结构708A-708C(这些特征布置在衬底的有源区上,未示出)。沟槽触点过孔790形成于沟槽触点710A上。沟槽触点过孔790进一步布置在沟槽触点710B上,耦合沟槽触点710A和710B。会理解,通过使用栅极绝缘帽盖层(例如GILA工艺)可以使得居间栅极结构708B与沟槽触点过孔790隔离。图7的触点结构可以在无需使带通过金属化的上层的情况下,提供将相邻沟槽触点用带固定在布局中的更容易的方案,因而实现了更小的单元面积和/或不太复杂的布线方案。
应当理解,并不需要实施上述过程的所有方面以便落在本发明的实施例的精神和范围内。例如,在一个实施例中,不必在在栅极叠置体的有源部分上制造栅极触点之前形成虚栅极。在初始形成时,上述的栅极叠置体实际上就可以是永久栅极叠置体。此外,本文所述的过程可以用于制造一个或多个半导体器件。半导体器件可以是晶体管或者类似的器件。例如,在一个实施例中,半导体器件是用于逻辑或存储的金属氧化物半导体(MOS)晶体管,或者是双极晶体管。此外,在一个实施例中,半导体器件具有三维架构,例如三栅器件、独立存取的双栅器件、或者FIN-FET。一个或多个实施例对于在10nm或者更小技术节点制造半导体器件尤其有用。
通常,在在栅极的有源部分上和在沟槽触点过孔的同一层中形成栅极触点结构(例如过孔)之前(例如附加地),本发明的一个或多个实施例包括首先使用栅极对准的沟槽触点过程。可以实施这个过程以形成沟槽触点结构,用于半导体结构制造,例如用于集成电路制造。在一个实施例中,于现有栅极图案对准形成沟槽触点图案。相反,传统方案典型地包括额外的光刻过程,具有结合选择性触点蚀刻的光刻触点图案与现有栅极图案的严格对准。例如,传统工艺可以包括具有触点特征部件的分离的图案化的多(栅极)网格的图案化。
图8示出了根据本发明的一个实现方式的计算设备800。计算设备800容纳板802。板802可以包括多个组件,包括但不限于,处理器804和至少一个通信芯片806。处理器804物理且电耦合到板802。在一些实现方式中,至少一个通信芯片806也物理且电耦合到板802。在进一步的实现方式中,通信芯片806是处理器804的一部分。
取决于其应用,计算设备800可以包括其他组件,其会或不会物理且电耦合到板802。这些其他组件包括但不限于,易失性存储器(例如,DRAM)、非易失性存储器(例如ROM)、闪存、图形处理器、数字信号处理器、加密处理器、芯片组、天线、显示器、触摸屏显示器、触摸屏控制器、电池、音频编码解码器、视频编码解码器、功率放大器、全球定位系统(GPS)设备、指南针、加速度计、陀螺仪、扬声器、相机和大容量储存设备(例如,硬盘驱动器、光盘(CD)、数字多用途盘(DVD)等等)。
通信芯片806实现了无线通信,用于往来于计算设备800传送数据。术语“无线”及其派生词可以用于描述可以通过非固态介质借助使用调制电磁辐射传送数据的电路、设备、系统、方法、技术、通信信道等。该术语并非暗示相关设备不包含任何导线,尽管在一些实施例中它们可以不包含。通信芯片806可以实施多个无线标准或协议中的任意一个,包括但不限于,Wi-Fi(IEEE 802.11族)、WiMAX(IEEE 802.16族)、IEEE 802.20、长期演进(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、蓝牙、其派生物,以及被指定为3G、4G、5G及之后的任何其他无线协议。计算设备800可以包括多个通信芯片806。例如,第一通信芯片806可以专用于近距离无线通信,例如Wi-Fi和蓝牙,第二通信芯片806可以专用于远距离无线通信,例如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO等。
计算设备800的处理器804包括封装在处理器804内的集成电路管芯。在本发明的一些实现方式中,处理器的集成电路管芯包括一个或多个器件,例如根据本发明的实现方式构成的MOS-FET晶体管。术语“处理器”可以指代任何设备或设备的部分,其处理来自寄存器和/或存储器的电子数据,将该电子数据转变为可以存储在寄存器和/或存储器中的其他电子数据。
通信芯片806也包括封装在通信芯片806内的集成电路管芯。根据本发明的另一个实现方式,通信芯片的集成电路管芯包括一个或多个器件,例如根据本发明的实现方式构成的MOS-FET晶体管。
在进一步的实现方式中,容纳在计算设备800中的另一个组件可以包含集成电路管芯,其包括一个或多个器件,例如根据本发明的实现方式构成的MOS-FET晶体管。
在多个实现方式中,计算设备800可以是膝上型电脑、上网本电脑、笔记本电脑、超级本电脑、智能电话、平板电脑、个人数字助理(PDA)、超移动PC、移动电话、台式计算机、服务器、打印机、扫描器、监视器、机顶盒、娱乐控制单元、数码相机、便携式音乐播放器、或数码摄像机。在进一步的实现方式中,计算设备800可以是处理数据的任何其他电子设备。
因而,本发明的实施例包括布置在栅极的有源部分上的栅极触点结构以及形成这种栅极触点结构的方法。
在实施例中,一种半导体结构包括衬底,所述衬底具有有源区和隔离区。栅极结构具有布置在所述衬底的有源区上的部分和布置在所述衬底的隔离区上的部分。源极区和漏极区布置在所述衬底的有源区中,并且位于所述栅极结构的布置在有源区上的部分的任一侧上。栅极触点结构布置在所述栅极结构的布置在所述衬底的有源区上的部分上。
在一个实施例中,所述栅极触点结构是自对准过孔。
在一个实施例中,所述衬底的有源区是三维半导体基体。
在一个实施例中,所述衬底是体硅衬底。
在一个实施例中,一种半导体结构包括衬底,所述衬底具有有源区和隔离区。包括多个栅极结构,每一个栅极结构都具有布置在所述衬底的有源区上的部分和布置在所述衬底的隔离区上的部分。多个源极区或多个漏极区布置在所述衬底的有源区中,并且位于所述栅极结构的布置在有源区上的部分之间。包括多个沟槽触点,一个沟槽触点布置在一个源极区或漏极区上。栅极触点过孔布置在一个栅极结构上,并且位于所述栅极结构的布置在所述衬底的有源区上的部分上。沟槽触点过孔布置在一个沟槽触点。
在一个实施例中,所述栅极触点过孔和沟槽触点过孔在布置在所述衬底上的相同层间电介质层中实质上共面布置。
在一个实施例中,所述层间电介质层是包括顶部低-k电介质层和底部蚀刻选择层的双层结构。
在一个实施例中,所述栅极触点过孔和沟槽触点过孔实质上彼此共面。
在一个实施例中,每一个栅极结构进一步包括侧壁间隔体对,所述沟槽触点直接相邻于相应栅极结构的侧壁间隔体布置。
在一个实施例中,多个栅极结构的顶部表面与多个沟槽触点的顶部表面实质上共面。
在一个实施例中,多个栅极结构的顶部表面与多个沟槽触点的顶部表面低于侧壁间隔体对中每一个侧壁间隔体的顶部表面。
在一个实施例中,多个栅极结构中的每一个栅极结构都包括栅极帽盖电介质层或者其残留物,所述栅极帽盖电介质层在所述栅极结构的顶部表面上,并与相应的侧壁间隔体对实质上共面。
在一个实施例中,多个沟槽触点中的每一个沟槽触点都包括沟槽帽盖电介质层或者其残留物,所述沟槽帽盖电介质层在所述沟槽触点的顶部表面上,并与相应的侧壁间隔体对实质上共面。
在一个实施例中,所述栅极帽盖电介质层和沟槽帽盖电介质层相对于彼此具有不同的蚀刻选择性。
在一个实施例中,多个栅极结构的顶部表面与侧壁间隔体对中的每一个侧壁间隔体的顶部表面大致共面。
在一个实施例中,所述栅极触点过孔进一步布置在第二栅极结构上,并且位于所述第二栅极结构的布置在所述衬底的有源区之上的部分上,所述栅极触点过孔将一个栅极结构与第二栅极结构相耦合。
在一个实施例中,所述沟槽触点过孔进一步布置在第二沟槽触点上,将所述一个沟槽触点与第二沟槽触点相耦合。
在一个实施例中,所述栅极触点过孔是自对准过孔,所述沟槽触点过孔是自对准过孔。
在一个实施例中,所述衬底的有源区是三维半导体基体。
在一个实施例中,所述衬底是体硅衬底。
在一个实施例中,所述栅极结构包括高-k栅极电介质层和金属栅极电极。
在一个实施例中,一种制造半导体结构的方法包括在衬底的有源区上形成多个栅极结构。所述方法还包括在所述衬底的有源区中、在栅极结构之间形成多个源极区或多个漏极区。所述方法还包括形成多个沟槽触点,沟槽触点形成于每一个源极区或漏极区上。所述方法还包括在每一个栅极结构上形成栅极帽盖电介质层。所述方法还包括在每一个沟槽触点上形成沟槽帽盖电介质层。所述方法还包括在一个栅极结构上形成栅极触点过孔,所述形成包括对于沟槽帽盖电介质层有选择性地蚀刻相应的栅极帽盖电介质层。所述方法还包括在一个沟槽电介质层上形成沟槽触点过孔,所述形成包括对于栅极帽盖电介质层有选择性地蚀刻相应的沟槽帽盖电介质层。
在一个实施例中,形成栅极触点过孔和沟槽触点过孔包括在相同过程操作中为二者形成导电材料。
在一个实施例中,形成多个栅极结构包括用永久栅极结构替换虚栅极结构。
在一个实施例中,形成多个沟槽触点包括用永久沟槽触点结构替换虚栅极沟槽触点结构。
在一个实施例中,所述方法进一步包括在形成多个栅极结构之前,从衬底的有源区形成三维体。
在一个实施例中,形成三维体包括在体半导体衬底中蚀刻鳍状物。

Claims (20)

1.一种半导体结构,包括:
衬底,所述衬底包括有源区和隔离区;
栅极结构,所述栅极结构具有布置在所述衬底的所述有源区之上的部分以及布置在所述衬底的所述隔离区之上的部分;
源极区和漏极区,所述源极区和所述漏极区被布置在所述衬底的所述有源区中,并且位于所述栅极结构的布置在所述有源区之上的部分的任一侧上;以及
栅极触点结构,所述栅极触点结构被布置在所述栅极结构的布置在所述衬底的所述有源区之上的部分上。
2.根据权利要求1所述的半导体结构,其中,所述栅极触点结构是自对准过孔。
3.根据权利要求1所述的半导体结构,其中,所述衬底的所述有源区是三维半导体基体。
4.根据权利要求3所述的半导体结构,其中,所述衬底是体硅衬底。
5.一种半导体结构,包括:
衬底,所述衬底包括有源区和隔离区;
多个栅极结构,所述多个栅极结构中的每一个栅极结构都具有布置在所述衬底的所述有源区之上的部分以及布置在所述衬底的所述隔离区之上的部分;
多个源极区或多个漏极区,所述多个源极区或所述多个漏极区被布置在所述衬底的所述有源区中,并且位于所述栅极结构的布置在所述有源区之上的部分之间;
多个沟槽触点,所述多个沟槽触点中的一个沟槽触点被布置在所述源极区或所述漏极区的每一个上;
栅极触点过孔,所述栅极触点过孔被布置在所述栅极结构中的一个栅极结构上,并且位于所述栅极结构的布置在所述衬底的所述有源区之上的部分上;以及
沟槽触点过孔,所述沟槽触点过孔被布置在所述沟槽触点中的一个沟槽触点上。
6.根据权利要求5所述的半导体结构,其中,所述栅极触点过孔和所述沟槽触点过孔被布置为在同一层间电介质层中实质上共面,所述层间电介质层被布置在所述衬底之上。
7.根据权利要求6所述的半导体结构,其中,所述层间电介质层是包括顶部低-k电介质层和底部蚀刻选择层的双层结构。
8.根据权利要求5所述的半导体结构,其中,所述栅极触点过孔和所述沟槽触点过孔实质上彼此共面。
9.根据权利要求5所述的半导体结构,其中,所述栅极结构中的每一个进一步包括侧壁间隔体对,并且其中,所述沟槽触点被布置为与相应的栅极结构的所述侧壁间隔体直接相邻。
10.根据权利要求9所述的半导体结构,其中,所述多个栅极结构的顶部表面与所述多个沟槽触点的顶部表面实质上共面。
11.根据权利要求10所述的半导体结构,其中,所述多个栅极结构的所述顶部表面和所述多个沟槽触点的所述顶部表面位于所述侧壁间隔体对中每一个侧壁间隔体的顶部表面之下。
12.根据权利要求11所述的半导体结构,其中,所述多个栅极结构中的每一个栅极结构都包括栅极帽盖电介质层或其残留物,所述栅极帽盖电介质层或其残留物位于所述栅极结构的顶部表面上并与所述相应的侧壁间隔体对实质上共面。
13.根据权利要求12所述的半导体结构,其中,所述多个沟槽触点中的每一个沟槽触点都包括沟槽帽盖电介质层或其残留物,所述沟槽帽盖电介质层或其残留物位于所述沟槽触点的顶部表面上并与所述相应的侧壁间隔体对实质上共面。
14.根据权利要求13所述的半导体结构,其中,所述栅极帽盖电介质层和所述沟槽帽盖电介质层具有相对于彼此的不同的蚀刻选择性。
15.根据权利要求9所述的半导体结构,其中,所述多个栅极结构的顶部表面与所述侧壁间隔体对中每一个侧壁间隔体的顶部表面大致共面。
16.根据权利要求5所述的半导体结构,其中,所述栅极触点过孔进一步被布置在第二栅极结构上,并且位于所述第二栅极结构的布置在所述衬底的所述有源区之上的部分上,其中,所述栅极触点过孔将所述多个栅极结构中的所述一个栅极结构与所述第二栅极结构耦合。
17.根据权利要求5所述的半导体结构,其中,所述沟槽触点过孔进一步被布置在第二沟槽触点上,并且将所述多个沟槽触点中的所述一个沟槽触点与所述第二沟槽触点耦合。
18.根据权利要求5所述的半导体结构,其中,所述栅极触点过孔是自对准过孔,并且所述沟槽触点过孔是自对准过孔。
19.根据权利要求5所述的半导体结构,其中,所述衬底的所述有源区是三维半导体基体,并且其中,所述衬底是体硅衬底。
20.根据权利要求5所述的半导体结构,其中,所述栅极结构包括高-k栅极电介质层和金属栅极电极。
CN201380043706.XA 2012-09-19 2013-08-28 有源栅极之上的栅极触点结构及其制造方法 Active CN104584222B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201711401705.4A CN107895712B (zh) 2012-09-19 2013-08-28 有源栅极之上的栅极触点结构及其制造方法
CN201710034693.XA CN107425065B (zh) 2012-09-19 2013-08-28 有源栅极之上的栅极触点结构及其制造方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/622,974 2012-09-19
US13/622,974 US9461143B2 (en) 2012-09-19 2012-09-19 Gate contact structure over active gate and method to fabricate same
PCT/US2013/057116 WO2014046856A1 (en) 2012-09-19 2013-08-28 Gate contact structure over active gate and method to fabricate same

Related Child Applications (2)

Application Number Title Priority Date Filing Date
CN201710034693.XA Division CN107425065B (zh) 2012-09-19 2013-08-28 有源栅极之上的栅极触点结构及其制造方法
CN201711401705.4A Division CN107895712B (zh) 2012-09-19 2013-08-28 有源栅极之上的栅极触点结构及其制造方法

Publications (2)

Publication Number Publication Date
CN104584222A true CN104584222A (zh) 2015-04-29
CN104584222B CN104584222B (zh) 2018-01-26

Family

ID=50273600

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201380043706.XA Active CN104584222B (zh) 2012-09-19 2013-08-28 有源栅极之上的栅极触点结构及其制造方法
CN201710034693.XA Active CN107425065B (zh) 2012-09-19 2013-08-28 有源栅极之上的栅极触点结构及其制造方法
CN201711401705.4A Active CN107895712B (zh) 2012-09-19 2013-08-28 有源栅极之上的栅极触点结构及其制造方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
CN201710034693.XA Active CN107425065B (zh) 2012-09-19 2013-08-28 有源栅极之上的栅极触点结构及其制造方法
CN201711401705.4A Active CN107895712B (zh) 2012-09-19 2013-08-28 有源栅极之上的栅极触点结构及其制造方法

Country Status (6)

Country Link
US (4) US9461143B2 (zh)
EP (4) EP4002485A1 (zh)
KR (5) KR102037278B1 (zh)
CN (3) CN104584222B (zh)
TW (1) TWI502745B (zh)
WO (1) WO2014046856A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106935510A (zh) * 2015-12-30 2017-07-07 台湾积体电路制造股份有限公司 半导体装置及其制造方法
CN112166498A (zh) * 2018-05-25 2021-01-01 超威半导体公司 单元中的有源区上方的栅极触点
US10916475B2 (en) 2015-12-30 2021-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same

Families Citing this family (114)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101853316B1 (ko) * 2012-03-29 2018-04-30 삼성전자주식회사 반도체 소자
US8877578B2 (en) * 2012-05-18 2014-11-04 Unisantis Electronics Singapore Pte. Ltd. Method for producing semiconductor device and semiconductor device
US9461143B2 (en) * 2012-09-19 2016-10-04 Intel Corporation Gate contact structure over active gate and method to fabricate same
CN105378897B (zh) 2013-08-21 2019-11-05 英特尔公司 用引导过孔来接触紧密间距的导电层的方法和结构
US9153483B2 (en) * 2013-10-30 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9397004B2 (en) * 2014-01-27 2016-07-19 GlobalFoundries, Inc. Methods for fabricating FinFET integrated circuits with simultaneous formation of local contact openings
US10700170B2 (en) 2014-04-29 2020-06-30 Globalfoundries Inc. Multiple fin finFET with low-resistance gate structure
US20160163646A1 (en) * 2014-12-05 2016-06-09 Qualcomm Incorporated Strapped contact in a semiconductor device
KR102327974B1 (ko) * 2014-12-22 2021-11-17 인텔 코포레이션 에어갭 통합 커패시턴스 이익을 갖는 비아 자체 정렬 및 단락 개선
US9799560B2 (en) * 2015-03-31 2017-10-24 Qualcomm Incorporated Self-aligned structure
US9425097B1 (en) * 2015-04-29 2016-08-23 Globalfoundries Inc. Cut first alternative for 2D self-aligned via
US9397049B1 (en) * 2015-08-10 2016-07-19 International Business Machines Corporation Gate tie-down enablement with inner spacer
TWI656566B (zh) * 2015-08-28 2019-04-11 聯華電子股份有限公司 半導體結構以及其製作方法
US10163879B2 (en) * 2015-10-05 2018-12-25 Samsung Electronics Co., Ltd. Semiconductor device having jumper pattern
US9793164B2 (en) * 2015-11-12 2017-10-17 Qualcomm Incorporated Self-aligned metal cut and via for back-end-of-line (BEOL) processes for semiconductor integrated circuit (IC) fabrication, and related processes and devices
US10269697B2 (en) 2015-12-28 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9761483B1 (en) * 2016-03-07 2017-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices, FinFET devices and methods of forming the same
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US10510599B2 (en) * 2016-04-13 2019-12-17 Taiwan Semiconductor Manufacturing Company Limited FinFET switch
US10096522B2 (en) * 2016-05-06 2018-10-09 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy MOL removal for performance enhancement
US10277227B2 (en) * 2016-05-31 2019-04-30 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device layout
US9893171B2 (en) 2016-06-03 2018-02-13 International Business Machines Corporation Fin field effect transistor fabrication and devices having inverted T-shaped gate
US9741613B1 (en) 2016-06-07 2017-08-22 Globalfoundries Inc. Method for producing self-aligned line end vias and related device
TWI695477B (zh) * 2016-07-07 2020-06-01 聯華電子股份有限公司 半導體結構及其製作方法
KR102517568B1 (ko) 2016-09-28 2023-04-03 삼성전자주식회사 반도체 장치
WO2018063402A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Metal oxide nanoparticles as fillable hardmask materials
KR102472135B1 (ko) 2016-10-06 2022-11-29 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9881926B1 (en) * 2016-10-24 2018-01-30 International Business Machines Corporation Static random access memory (SRAM) density scaling by using middle of line (MOL) flow
US9985109B2 (en) 2016-10-25 2018-05-29 International Business Machines Corporation FinFET with reduced parasitic capacitance
US9941162B1 (en) 2016-11-17 2018-04-10 Globalfoundries Inc. Self-aligned middle of the line (MOL) contacts
US10879120B2 (en) * 2016-11-28 2020-12-29 Taiwan Semiconductor Manufacturing Self aligned via and method for fabricating the same
DE102017118364B4 (de) 2016-11-29 2021-10-14 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren mit Herstellung von Source/Drain- und Gate-Kontakten und Struktur mit solchen
US10424664B2 (en) * 2016-12-14 2019-09-24 Globalfoundries Inc. Poly gate extension source to body contact
US9929048B1 (en) 2016-12-22 2018-03-27 Globalfoundries Inc. Middle of the line (MOL) contacts with two-dimensional self-alignment
CN110337715B (zh) 2016-12-23 2023-08-25 英特尔公司 高级光刻和自组装装置
CN110024103B (zh) * 2016-12-29 2023-06-30 英特尔公司 自对准通孔
US10026824B1 (en) 2017-01-18 2018-07-17 Globalfoundries Inc. Air-gap gate sidewall spacer and method
US10283406B2 (en) 2017-01-23 2019-05-07 International Business Machines Corporation Fabrication of self-aligned gate contacts and source/drain contacts directly above gate electrodes and source/drains
CN108573926B (zh) * 2017-03-09 2020-01-21 联华电子股份有限公司 半导体存储装置以及其制作方法
US11276767B2 (en) 2017-03-15 2022-03-15 International Business Machines Corporation Additive core subtractive liner for metal cut etch processes
KR102308779B1 (ko) 2017-04-10 2021-10-05 삼성전자주식회사 이종 컨택들을 구비하는 집적 회로 및 이를 포함하는 반도체 장치
US10304728B2 (en) * 2017-05-01 2019-05-28 Advanced Micro Devices, Inc. Double spacer immersion lithography triple patterning flow and method
US10269636B2 (en) * 2017-05-26 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10522392B2 (en) * 2017-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
KR102336827B1 (ko) * 2017-06-08 2021-12-09 삼성전자주식회사 반도체 장치
KR102307127B1 (ko) * 2017-06-14 2021-10-05 삼성전자주식회사 반도체 소자
US10211302B2 (en) * 2017-06-28 2019-02-19 International Business Machines Corporation Field effect transistor devices having gate contacts formed in active region overlapping source/drain contacts
US10128334B1 (en) 2017-08-09 2018-11-13 Globalfoundries Inc. Field effect transistor having an air-gap gate sidewall spacer and method
KR102360410B1 (ko) * 2017-08-30 2022-02-08 삼성전자주식회사 반도체 장치
US10515896B2 (en) 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
KR102469885B1 (ko) 2017-09-11 2022-11-22 삼성전자주식회사 반도체 장치
KR102494918B1 (ko) 2017-09-12 2023-02-02 삼성전자주식회사 반도체 소자
US10381480B2 (en) 2017-09-27 2019-08-13 International Business Machines Corporation Reliable gate contacts over active areas
TW201921498A (zh) * 2017-09-27 2019-06-01 美商微材料有限責任公司 選擇性氧化鋁蝕刻的使用
KR102343219B1 (ko) * 2017-11-15 2021-12-23 삼성전자주식회사 반도체 장치
US10910313B2 (en) * 2017-11-16 2021-02-02 Samsung Electronics Co., Ltd. Integrated circuit including field effect transistors having a contact on active gate compatible with a small cell area having a small contacted poly pitch
US10529624B2 (en) * 2017-11-21 2020-01-07 International Business Machines Corporation Simple contact over gate on active area
US10796968B2 (en) 2017-11-30 2020-10-06 Intel Corporation Dual metal silicide structures for advanced integrated circuit structure fabrication
US10756204B2 (en) 2017-11-30 2020-08-25 Intel Corporation Fin trim isolation with single gate spacing for advanced integrated circuit structure fabrication
US10707133B2 (en) * 2017-11-30 2020-07-07 Intel Corporation Trench plug hardmask for advanced integrated circuit structure fabrication
US10867833B2 (en) * 2017-11-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Buried metal for FinFET device and method
US10734379B2 (en) 2017-11-30 2020-08-04 Intel Corporation Fin end plug structures for advanced integrated circuit structure fabrication
DE102018126911A1 (de) 2017-11-30 2019-06-06 Intel Corporation Gate-Schnitt und Finnentrimmisolation für fortschrittliche Integrierter-Schaltkreis-Struktur-Fertigung
US11462436B2 (en) 2017-11-30 2022-10-04 Intel Corporation Continuous gate and fin spacer for advanced integrated circuit structure fabrication
KR20200083981A (ko) 2017-11-30 2020-07-09 인텔 코포레이션 진보된 집적 회로 구조체 제조를 위한 핀 패터닝
TWI817576B (zh) 2017-11-30 2023-10-01 美商英特爾股份有限公司 用於先進積體電路結構製造之異質金屬線組成
DE102018128925B4 (de) 2017-11-30 2024-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zur Herstellung einer Halbleitervorrichtung und Halbleitervorrichtung
US10796951B2 (en) 2017-11-30 2020-10-06 Intel Corporation Etch-stop layer topography for advanced integrated circuit structure fabrication
DE102018107721B4 (de) 2017-11-30 2023-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und Herstellungsverfahren
US10243053B1 (en) 2018-01-22 2019-03-26 Globalfoundries Inc. Gate contact structure positioned above an active region of a transistor device
TWI806638B (zh) * 2018-02-22 2023-06-21 美商英特爾股份有限公司 先進微影及自聚合裝置
TWI766949B (zh) * 2018-02-22 2022-06-11 美商英特爾股份有限公司 先進微影及自聚合裝置
JP7362268B2 (ja) * 2018-03-12 2023-10-17 アプライド マテリアルズ インコーポレイテッド 多色自己整合接点の選択的エッチング
US10388747B1 (en) 2018-03-28 2019-08-20 Globalfoundries Inc. Gate contact structure positioned above an active region with air gaps positioned adjacent the gate structure
US10573724B2 (en) 2018-04-10 2020-02-25 International Business Machines Corporation Contact over active gate employing a stacked spacer
US11152347B2 (en) 2018-04-13 2021-10-19 Qualcomm Incorporated Cell circuits formed in circuit cells employing offset gate cut areas in a non-active area for routing transistor gate cross-connections
US10685872B2 (en) * 2018-05-30 2020-06-16 International Business Machines Corporation Electrically isolated contacts in an active region of a semiconductor device
US10770388B2 (en) 2018-06-15 2020-09-08 International Business Machines Corporation Transistor with recessed cross couple for gate contact over active region integration
US20200020688A1 (en) * 2018-07-13 2020-01-16 Qualcomm Incorporated Integrated circuits employing varied gate topography between an active gate region(s) and a field gate region(s) in a gate(s) for reduced gate layout parasitic capacitance, and related methods
CN110739265B (zh) * 2018-07-18 2022-07-15 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法
KR102520599B1 (ko) 2018-07-23 2023-04-11 삼성전자주식회사 반도체 소자
US10593593B2 (en) 2018-07-27 2020-03-17 Globalfoundries Inc. Methods, apparatus, and system for protecting cobalt formations from oxidation during semiconductor device formation
US10672770B2 (en) * 2018-08-14 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure
US11437284B2 (en) * 2018-08-31 2022-09-06 Applied Materials, Inc. Contact over active gate structure
KR102609372B1 (ko) 2018-08-31 2023-12-06 삼성전자주식회사 반도체 소자
US10930556B2 (en) 2018-09-05 2021-02-23 Applied Materials, Inc. Contact over active gate structure
US10930555B2 (en) 2018-09-05 2021-02-23 Applied Materials, Inc. Contact over active gate structure
EP3624178A1 (en) * 2018-09-11 2020-03-18 IMEC vzw Gate, contact and fin cut method
US11393754B2 (en) 2018-09-28 2022-07-19 Intel Corporation Contact over active gate structures with etch stop layers for advanced integrated circuit structure fabrication
US10892338B2 (en) 2018-10-24 2021-01-12 Globalfoundries Inc. Scaled gate contact and source/drain cap
US10665692B2 (en) 2018-10-24 2020-05-26 International Business Machines Corporation Non-self aligned gate contacts formed over the active region of a transistor
US10943990B2 (en) 2018-10-25 2021-03-09 International Business Machines Corporation Gate contact over active enabled by alternative spacer scheme and claw-shaped cap
US10707127B2 (en) 2018-11-06 2020-07-07 International Business Machines Corporation Field effect transistor devices with self-aligned source/drain contacts and gate contacts positioned over active transistors
US10811319B2 (en) 2018-11-29 2020-10-20 Globalfoundries Inc. Middle of line structures
US10879400B2 (en) * 2018-12-24 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Field effect transistor and method of manufacturing the same
US11004687B2 (en) 2019-02-11 2021-05-11 Applied Materials, Inc. Gate contact over active processes
US11437273B2 (en) * 2019-03-01 2022-09-06 Micromaterials Llc Self-aligned contact and contact over active gate structures
US10832961B1 (en) 2019-04-22 2020-11-10 International Business Machines Corporation Sacrificial gate spacer regions for gate contacts formed over the active region of a transistor
CN111916391A (zh) * 2019-05-09 2020-11-10 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11031389B2 (en) 2019-06-11 2021-06-08 Globalfoundries U.S. Inc. Semiconductor structures over active region and methods of forming the structures
CN112151497B (zh) 2019-06-28 2023-08-22 台湾积体电路制造股份有限公司 半导体结构以及形成半导体结构的方法
US11004750B2 (en) 2019-09-16 2021-05-11 International Business Machines Corporation Middle of the line contact formation
CN114375493A (zh) 2019-09-19 2022-04-19 东京毅力科创株式会社 半导体装置的制作方法
US11205590B2 (en) 2019-09-21 2021-12-21 International Business Machines Corporation Self-aligned contacts for MOL
US20210090990A1 (en) * 2019-09-23 2021-03-25 Intel Corporation Contact over active gate structures with metal oxide layers to inhibit shorting
US10930568B1 (en) 2019-09-23 2021-02-23 International Business Machines Corporation Method and structure to improve overlay margin of non-self-aligned contact in metallization layer
US11239115B2 (en) 2019-10-30 2022-02-01 International Business Machines Corporation Partial self-aligned contact for MOL
US11264419B2 (en) * 2019-12-30 2022-03-01 Omnivision Technologies, Inc. Image sensor with fully depleted silicon on insulator substrate
US11164782B2 (en) 2020-01-07 2021-11-02 International Business Machines Corporation Self-aligned gate contact compatible cross couple contact formation
KR20210120718A (ko) 2020-03-27 2021-10-07 삼성전자주식회사 집적회로 소자
US11973121B2 (en) 2020-03-27 2024-04-30 Intel Corporation Device contacts in integrated circuit structures
US20210358807A1 (en) * 2020-05-15 2021-11-18 Tokyo Electron Limited Contact Openings in Semiconductor Devices
US11527614B2 (en) 2021-03-09 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure with conductive structure and method for manufacturing the same
US11855191B2 (en) 2021-10-06 2023-12-26 International Business Machines Corporation Vertical FET with contact to gate above active fin

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08181323A (ja) * 1994-12-27 1996-07-12 Hitachi Ltd 半導体装置及びその製造方法
US20050019993A1 (en) * 2003-07-24 2005-01-27 Deok-Hyung Lee Methods for fabricating fin field effect transistors using a protective layer to reduce etching damage
CN1290191C (zh) * 2003-02-03 2006-12-13 三星电子株式会社 集成电路器件及其制造方法
CN101996874A (zh) * 2009-08-20 2011-03-30 索尼公司 半导体器件及半导体器件制造方法
WO2011111133A1 (ja) * 2010-03-12 2011-09-15 パナソニック株式会社 半導体装置及びその製造方法
US8084311B1 (en) * 2010-11-17 2011-12-27 International Business Machines Corporation Method of forming replacement metal gate with borderless contact and structure thereof
CN102315218A (zh) * 2010-06-30 2012-01-11 三星电子株式会社 四晶体管电路布局、集成电路场效应晶体管和半导体器件
US20120032275A1 (en) * 2010-08-03 2012-02-09 International Business Machines Corporation Metal semiconductor alloy structure for low contact resistance
CN102468226A (zh) * 2010-11-18 2012-05-23 中国科学院微电子研究所 一种半导体结构及其制造方法

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6336564A (ja) 1986-07-31 1988-02-17 Nec Corp 半導体装置の製造方法
JP2778600B2 (ja) 1990-03-20 1998-07-23 富士通株式会社 半導体装置の製造方法
US5780339A (en) * 1997-05-02 1998-07-14 Vanguard International Semiconductor Corporation Method for fabricating a semiconductor memory cell in a DRAM
US8713641B1 (en) 1998-12-08 2014-04-29 Nomadix, Inc. Systems and methods for authorizing, authenticating and accounting users having transparent computer access to a network using a gateway device
JP2001102550A (ja) * 1999-09-02 2001-04-13 Samsung Electronics Co Ltd 自己整合コンタクトを有する半導体メモリ装置及びその製造方法
US6445050B1 (en) * 2000-02-08 2002-09-03 International Business Machines Corporation Symmetric device with contacts self aligned to gate
KR100363091B1 (ko) * 2000-06-27 2002-11-30 삼성전자 주식회사 자기정합 콘택을 갖는 반도체 메모리소자 및 그 제조방법
JP3833903B2 (ja) * 2000-07-11 2006-10-18 株式会社東芝 半導体装置の製造方法
JP3669919B2 (ja) 2000-12-04 2005-07-13 シャープ株式会社 半導体装置の製造方法
JP4907014B2 (ja) * 2001-06-22 2012-03-28 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置
JP2004266185A (ja) * 2003-03-04 2004-09-24 Renesas Technology Corp 半導体装置およびその製造方法
US7173338B2 (en) * 2004-03-06 2007-02-06 International Business Machines Corporation Suppression of localized metal precipitate formation and corresponding metallization depletion in semiconductor processing
US7701018B2 (en) * 2004-03-19 2010-04-20 Nec Corporation Semiconductor device and method for manufacturing same
JP4401874B2 (ja) * 2004-06-21 2010-01-20 株式会社ルネサステクノロジ 半導体装置
KR20060077063A (ko) * 2004-12-30 2006-07-05 매그나칩 반도체 유한회사 구리 공정을 이용한 씨모스이미지센서 및 그의 제조 방법
CN1855508A (zh) * 2005-04-18 2006-11-01 力晶半导体股份有限公司 非挥发性存储器及其制造方法以及其操作方法
US7335943B2 (en) 2005-05-06 2008-02-26 Atmel Corporation Ultrascalable vertical MOS transistor with planar contacts
DE102005052000B3 (de) * 2005-10-31 2007-07-05 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
JP4751705B2 (ja) * 2005-11-18 2011-08-17 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4917387B2 (ja) * 2006-08-28 2012-04-18 ラピスセミコンダクタ株式会社 不揮発性半導体記憶装置およびその製造方法
JP2008091638A (ja) * 2006-10-02 2008-04-17 Nec Electronics Corp 電子装置およびその製造方法
US8120128B2 (en) * 2007-10-12 2012-02-21 Panasonic Corporation Optical device
JP2009111200A (ja) * 2007-10-31 2009-05-21 Panasonic Corp 半導体装置及びその製造方法
US20090108359A1 (en) * 2007-10-31 2009-04-30 Agere Systems Inc. A semiconductor device and method of manufacture therefor
KR101408877B1 (ko) 2007-12-03 2014-06-17 삼성전자주식회사 트랜지스터, 고전압 트랜지스터 및 상기 고전압트랜지스터를 구비한 디스플레이 구동 집적회로
US7932577B2 (en) 2007-12-31 2011-04-26 Silicon Laboratories, Inc. Circuit device and method of forming a circuit device having a reduced peak current density
US7915659B2 (en) * 2008-03-06 2011-03-29 Micron Technology, Inc. Devices with cavity-defined gates and methods of making the same
JP2010219139A (ja) 2009-03-13 2010-09-30 Elpida Memory Inc 半導体装置及びその製造方法
US8754533B2 (en) * 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US7960282B2 (en) * 2009-05-21 2011-06-14 Globalfoundries Singapore Pte. Ltd. Method of manufacture an integrated circuit system with through silicon via
US8436404B2 (en) 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
CN102201409A (zh) * 2010-03-24 2011-09-28 万国半导体(开曼)股份有限公司 具有钨间隔层的功率mosfet器件及其制造方法
JP5542550B2 (ja) * 2010-07-08 2014-07-09 株式会社東芝 抵抗変化メモリ
KR101800438B1 (ko) * 2010-11-05 2017-11-23 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
JP5864054B2 (ja) * 2010-12-28 2016-02-17 株式会社半導体エネルギー研究所 半導体装置
US8536656B2 (en) * 2011-01-10 2013-09-17 International Business Machines Corporation Self-aligned contacts for high k/metal gate process flow
CN102593000B (zh) * 2011-01-13 2015-01-14 中国科学院微电子研究所 半导体器件及其制造方法
US9184286B2 (en) * 2011-02-02 2015-11-10 Rohm Co., Ltd. Semiconductor device having a breakdown voltage holding region
CN102420172B (zh) * 2011-05-13 2014-02-05 上海华力微电子有限公司 用于提高半导体器件性能的在浅沟槽上形成接触孔的方法
US8564030B2 (en) * 2011-06-10 2013-10-22 Advanced Micro Devices Self-aligned trench contact and local interconnect with replacement gate process
CN102437090B (zh) * 2011-07-12 2015-01-14 上海华力微电子有限公司 无金属阻挡层的铜后道互连工艺
US9716037B2 (en) 2011-12-22 2017-07-25 Intel Corporation Gate aligned contact and method to fabricate same
CN102543857A (zh) * 2012-02-28 2012-07-04 上海华力微电子有限公司 Sram共享接触孔的形成方法
US9461143B2 (en) * 2012-09-19 2016-10-04 Intel Corporation Gate contact structure over active gate and method to fabricate same

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08181323A (ja) * 1994-12-27 1996-07-12 Hitachi Ltd 半導体装置及びその製造方法
CN1290191C (zh) * 2003-02-03 2006-12-13 三星电子株式会社 集成电路器件及其制造方法
US20050019993A1 (en) * 2003-07-24 2005-01-27 Deok-Hyung Lee Methods for fabricating fin field effect transistors using a protective layer to reduce etching damage
CN101996874A (zh) * 2009-08-20 2011-03-30 索尼公司 半导体器件及半导体器件制造方法
WO2011111133A1 (ja) * 2010-03-12 2011-09-15 パナソニック株式会社 半導体装置及びその製造方法
CN102315218A (zh) * 2010-06-30 2012-01-11 三星电子株式会社 四晶体管电路布局、集成电路场效应晶体管和半导体器件
US20120032275A1 (en) * 2010-08-03 2012-02-09 International Business Machines Corporation Metal semiconductor alloy structure for low contact resistance
US8084311B1 (en) * 2010-11-17 2011-12-27 International Business Machines Corporation Method of forming replacement metal gate with borderless contact and structure thereof
CN102468226A (zh) * 2010-11-18 2012-05-23 中国科学院微电子研究所 一种半导体结构及其制造方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106935510A (zh) * 2015-12-30 2017-07-07 台湾积体电路制造股份有限公司 半导体装置及其制造方法
US10916475B2 (en) 2015-12-30 2021-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US11088030B2 (en) 2015-12-30 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
CN106935510B (zh) * 2015-12-30 2022-01-11 台湾积体电路制造股份有限公司 半导体装置及其制造方法
CN112166498A (zh) * 2018-05-25 2021-01-01 超威半导体公司 单元中的有源区上方的栅极触点

Also Published As

Publication number Publication date
US20190115257A1 (en) 2019-04-18
CN104584222B (zh) 2018-01-26
EP3174105A1 (en) 2017-05-31
EP2898532A1 (en) 2015-07-29
EP3514836A3 (en) 2019-09-25
KR20180125034A (ko) 2018-11-21
KR102149451B1 (ko) 2020-08-28
KR102221448B1 (ko) 2021-03-02
KR101996710B1 (ko) 2019-07-04
CN107895712B (zh) 2022-08-12
CN107895712A (zh) 2018-04-10
KR20150034191A (ko) 2015-04-02
US20140077305A1 (en) 2014-03-20
KR20200103864A (ko) 2020-09-02
EP3514836B1 (en) 2023-09-20
CN107425065A (zh) 2017-12-01
KR101682317B1 (ko) 2016-12-05
TW201417290A (zh) 2014-05-01
US11004739B2 (en) 2021-05-11
EP2898532A4 (en) 2016-06-15
EP4002485A1 (en) 2022-05-25
KR20160138592A (ko) 2016-12-05
US10192783B2 (en) 2019-01-29
US20170004998A1 (en) 2017-01-05
CN107425065B (zh) 2021-06-08
TWI502745B (zh) 2015-10-01
WO2014046856A1 (en) 2014-03-27
US20210210385A1 (en) 2021-07-08
EP3514836A2 (en) 2019-07-24
KR102037278B1 (ko) 2019-10-29
US9461143B2 (en) 2016-10-04
KR20190122886A (ko) 2019-10-30

Similar Documents

Publication Publication Date Title
CN104584222A (zh) 有源栅极之上的栅极触点结构及其制造方法
TWI501397B (zh) 閘極對齊接觸點及其製造方法
TW202034525A (zh) 半導體結構及系統晶片(SoC)積體電路及其製造方法
CN105870191B (zh) 栅极对准接触部及其制造方法
TW202414827A (zh) 半導體結構及系統晶片(SoC)積體電路及其製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant