CN104022148A - 具有AlSiN钝化层的异质结构功率晶体管 - Google Patents

具有AlSiN钝化层的异质结构功率晶体管 Download PDF

Info

Publication number
CN104022148A
CN104022148A CN201410059960.5A CN201410059960A CN104022148A CN 104022148 A CN104022148 A CN 104022148A CN 201410059960 A CN201410059960 A CN 201410059960A CN 104022148 A CN104022148 A CN 104022148A
Authority
CN
China
Prior art keywords
layer
contact point
ohmic contact
active layer
grid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410059960.5A
Other languages
English (en)
Other versions
CN104022148B (zh
Inventor
J·拉姆德尼
M·墨菲
J·P·爱德华兹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Power Integrations Inc
Original Assignee
Power Integrations Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Power Integrations Inc filed Critical Power Integrations Inc
Publication of CN104022148A publication Critical patent/CN104022148A/zh
Application granted granted Critical
Publication of CN104022148B publication Critical patent/CN104022148B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • H01L29/7787Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT with wide bandgap charge-carrier supplying layer, e.g. direct single heterostructure MODFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66462Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/201Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/201Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys
    • H01L29/205Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66431Unipolar field-effect transistors with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01028Nickel [Ni]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01042Molybdenum [Mo]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/1033Gallium nitride [GaN]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10344Aluminium gallium nitride [AlGaN]

Abstract

一种异质结构半导体器件,包含第一活性层和布置在该第一活性层上的第二活性层。二维电子气层被形成在该第一活性层与该第二活性层之间。AlSiN钝化层被布置在该第二活性层上。第一欧姆接触点和第二欧姆接触点电学连接到该第二活性层。该第一欧姆接触点和该第二欧姆接触点横向间隔开,栅极被布置在该第一欧姆接触点与该第二欧姆接触点之间。

Description

具有AlSiN钝化层的异质结构功率晶体管
技术领域
本发明总体涉及高电压场效应晶体管(FET),更具体地涉及高电子迁移率晶体管(HEMT)和异质结构场效应晶体管(HFET),且涉及制造这样的功率晶体管器件的方法。
背景技术
一个类型的高电压FET是异质结构FET(HFET),也被称为异质结或高电子迁移率晶体管(HEMT)。基于氮化镓(GaN)及其他宽带隙III-IV直接跃迁半导体材料的HFET,诸如碳化硅(SiC),因它们超越硅基器件的优越物理性质而被有利地用在某些电子器件中。例如,GaN和AlGaN/GaN晶体管一般因由GaN基材料和器件结构提供的高的电子迁移率、高的击穿电压、和高的饱和电子速率特性而被用在高速切换和高功率应用中(例如功率开关和功率转换器)。由于HFET的物理性质,HFET可以以远快于在相同电压传导相同电流的其他半导体开关的方式改变状态,且宽带隙可以改善HFET在高温的性能。
GaN基HFET器件典型地通过外延生长(epitaxial growth)被制造在形成为薄盘(thin disk)或晶圆(wafer)的衬底半导体材料(诸如硅、蓝宝石和碳化硅)上。用于直接在该半导体材料中形成电子器件(例如晶体管)的制造步骤常常被称为前道工序(FEOL)处理。在HFET的FEOL处理过程中,该晶圆可以被从多种机器移动,以建立该器件结构的各种材料层。但因为GaN是压电材料,所以GaN基HFET器件在FEOL处理期间易于发生电荷累积(正的或负的)。例如,电荷累积可以是由在半导体的表面上涉及沉积或生长电介质层的钝化工艺导致的。可以通过将该晶圆的表面与环境中的电学和化学状况隔离来利用钝化提供电学稳定性。比如,在HFET制造期间曝露到空气可以造成发生表面反应(诸如氧化),这可以影响该HFET器件的总体性能。
发明内容
本发明的目的是通过下述1的异质结构功率晶体管以及18的方法来实现的,2-17和19-28是本发明的优选方案:
1.一种异质结构功率晶体管,包括:
第一活性层;
第二活性层,被布置在该第一活性层上,二维电子气层形成在该第一活性层与该第二活性层之间;
包括氮化铝硅(AlSiN)的钝化层,被布置在该第二活性层上;
栅极;
第一欧姆接触点和第二欧姆接触点,它们电学连接到该第二活性层,该第一欧姆接触点和该第二欧姆接触点横向间隔开,该栅极被布置在该第一欧姆接触点与该第二欧姆接触点之间。
2.根据1所述的异质结构功率晶体管,进一步包括被布置在该钝化层上的第二栅极电介质层,该栅极被布置在该第二栅极电介质层上方。
3.根据2所述的异质结构功率晶体管,其中所述第二栅极电介质层包括氧化铝(Al2O3)。
4.根据2所述的异质结构功率晶体管,进一步包括被布置在该第二栅极电介质层之上的上钝化层,该栅极竖向延伸穿过该上钝化层,以接触该第二栅极电介质层。
5.根据2所述的异质结构功率晶体管,其中该钝化层具有第一厚度,且该第二栅极电介质层具有第二厚度,该第二厚度大于该第一厚度。
6.根据2所述的异质结构功率晶体管,其中该第一欧姆接触点和该第二欧姆接触点竖向延伸穿过该第二栅极电介质层和该钝化层。
7.根据4所述的异质结构功率晶体管,其中该第一欧姆接触点和该第二欧姆接触点竖向延伸穿过该上钝化层、该第二栅极电介质层和该钝化层。
8.根据1所述的异质结构功率晶体管,其中该钝化层具有在约1-5纳米厚的范围内的第一厚度。
9.根据2所述的异质结构功率晶体管,其中该第二栅极电介质具有在约10-20纳米厚的范围内的第二厚度。
10.根据1所述的异质结构功率晶体管,其中该第一欧姆接触点和该第二欧姆接触点分别包括源极欧姆接触点和漏极欧姆接触点。
11.根据4所述的异质结构功率晶体管,其中该上钝化层包括SiN。
12.根据1所述的异质结构功率晶体管,其中该第一活性层包括氮化镓(GaN)。
13.根据1所述的异质结构功率晶体管,其中该第二活性层包括氮化铝镓(AlGaN)。
14.根据1所述的异质结构功率晶体管,其中该第一活性层和该第二活性层被限定为隔离台面。
15.根据1所述的异质结构功率晶体管,其中该栅极包括镍金(NiAu)合金。
16.根据10所述的异质结构功率晶体管,其中该栅极包含朝着该漏极欧姆接触点延伸的栅极场板。
17.根据1所述的异质结构半导体器件,其中该栅极包括钛金(TiAu)合金或钼金(MoAu)合金。
18.一种用于制造异质结构半导体器件的方法,包括:
在衬底上形成第一活性层;
在该第一活性层上形成第二活性层,该第一活性层和该第二活性层具有不同的带隙,以使得在它们之间形成二维电子气层;
在该第二活性层上形成包括氮化铝硅(AlSiN)的钝化层,该钝化层具有第一厚度;
形成第一欧姆接触点和第二欧姆接触点,它们各自都竖向延伸穿过该钝化层,该第一欧姆接触点和该第二欧姆接触点横向间隔开,且电学连接到该第二活性层;以及
在该第一欧姆接触点与该第二欧姆接触点之间的横向位置处形成栅极。
19.根据19所述的方法,进一步包括:将第二栅极电介质层沉积在该钝化层之上,该第二栅极电介质层具有大于该第一厚度的第二厚度。
20.根据19所述的方法,进一步包括:将上钝化层沉积在该第二栅极电介质层之上。
21.根据19所述的方法,进一步包括:将该第二栅极电介质层退火。
22.根据19所述的方法,其中该第二栅极电介质层包括氧化铝。
23.根据18所述的方法,其中该第一活性层包括氮化镓。
24.根据18所述的方法,其中该第二活性层包括氮化铝镓。
25.根据18所述的方法,其中该钝化层是与该第一活性层和该第二活性层原位形成的。
26.根据18所述的方法,进一步包括:在该钝化层之上与该钝化层原位生长AlN层。
27.根据18所述的方法,其中该第一厚度在约1-5纳米厚的范围内。
28.根据19所述的方法,其中该第二厚度在约10-20纳米厚的范围内。
附图说明
参照下列图描述本发明的非限制性和非穷举性实施方案,其中在各个视图中,相同的参考数字指代相同的部分,除非另有规定。
图1是具有一个钝化结构的示例性半导体器件的截面侧视图。
图2是具有另一个钝化结构的示例性半导体器件的截面侧视图。
图3是具有又一个钝化结构的示例性半导体器件的截面侧视图。
图4是例示了用于制造半导体器件结构的一个示例性处理流程的流程图。
图5是例示了用于制造半导体器件结构的另一个示例性处理流程的流程图。
在这些附图的所有几个视图中,对应的参考字符指示对应的部件。技术人员应意识到,这些图中的元件是为了简化和清楚而例示的,且不必然按比例绘制。例如,这些图中的一些元件的尺寸相对于其他元件可以被夸大,以帮助增进对本发明的多种实施方案的理解。而且,经常没有描绘在商业上可行的实施方案中有用或必要的普通但广为理解的元件,以免妨碍观看本发明的这些多种实施方案。
具体实施方式
在下列描述中,阐述了众多具体细节,以提供对本发明的透彻理解。然而应明了,对于本领域普通技术人员,无需采用该具体细节来实践本发明。在其他情况下,没有详细描述广为知晓的材料或方法,以免模糊本发明。
本说明书通篇提及“一个实施方案”、“一实施方案”、“一个实施例”或“一实施例”意指,结合该实施方案或实施例描述的特定特征、结构或特性被包含在本发明的至少一个实施方案中。因而,在本说明书通篇各个地方出现的短语“在一个实施方案中”、“在一实施方案中”、“一个实施例”或“一实施例”不必然全都指代相同的实施方案或实施例。此外,该特定特征、结构或特性可以以任何合适的组合和/或子组合被结合在一个或更多个实施方案或实施例中。该特定特征、结构或特性可以被包含在集成电路、电子电路、组合逻辑电路或提供所描述的功能的其他合适的部件中。另外,应意识到,随此提供的这些图是为了向本领域普通人员解释,且绘图不必然按比例绘制。
在下面的描述中,为了解释而使用一个示例性HFET。然而,应意识到,本发明的实施方案可以与其他类型的FET(诸如金属氧化物半导体FET(MOSFET)或金属绝缘栅半导体FET(MISFET)器件)一起使用。
如上面提及的,在制造过程期间,表层电荷(sheet charge)可以积累在HFET器件的晶圆上。为了对抗所积累的表面电荷(surfacecharge)的作用,且为了保护该HFET器件不受其他环境状况影响,一个或更多个电介质材料层可以被用作保护该HFET的表面的钝化层。
根据本发明的一些实施方案,公开了一种GaN基HFET器件结构及其制造方法,它利用一种基于氮化铝硅(AlSiN)的新材料组合来钝化HFET器件的GaN表面。在一个实施方案中,该AlSiN层在该HFET器件中用作钝化层和栅极电介质。与传统的钝化材料相比,当用在本文描述的HFET结构中时,AlSiN的较宽带隙可以使切换期间的电流崩塌最小化、减少栅极泄漏以及提供增强的栅极可靠性和稳定性。
在一个实施方案中,该HFET器件具有第一活性层和第二活性层,它们之间形成有二维电子气层(two-dimensional electron gaslayer)。AlSiN(例如AlSi3N4)的钝化层被布置在该第二活性层上。该AlSiN钝化层也可以用作第一栅极电介质层。(在本公开内容中,这个双功能层也被称为钝化/第一栅极电介质层。)在又一个实施方案中,第二栅极电介质层被布置在该第一栅极电介质层上。在一个实施例中,氧化铝(Al2O3)被用于第二栅极电介质层。在另一些实施方案中,一个或多个附加的层被形成在该第二栅极电介质层之上。栅极构件被布置在该AlSiN钝化层上方。该器件的欧姆接触点(ohmiccontact)(源极和漏极)向下延伸到该第二活性层。
图1例示了示例性半导体器件(即HFET器件)100的截面侧视图,半导体器件100包含:第一活性层102,也被称为通道层;第二活性层106,也被称为阻挡层(barrier layer)或施主层(donor layer);钝化层108;栅极112;以及各自的源极欧姆接触点114和漏极欧姆接触点116。各自的源极欧姆接触点114和漏极欧姆接触点116被示出为竖向向下延伸穿过钝化层108,以电学连接到第二活性层106。如示出的,源极欧姆接触点114和漏极欧姆接触点116横向间隔开,且栅极114被布置在源极欧姆接触点114与漏极欧姆接触点116之间。
图1中进一步示出了电荷层104,它形成在第一活性层102与第二活性层106之间。电荷层104有时被称为二维电子气(2DEG)层104。2DEG层104限定了用于该HFET器件的横向导电通道。2DEG层104是因这两个活性层之间的带隙差异而形成的。尤其,2DEG层104是因这两个活性层之间的自发和压电的极化作用的变化而形成的。因而,被捕获在由各自的第一活性层102与第二活性层106之间的带隙差异造成的量子阱中的电子在两个(水平)维度上自由地横向移动,但被紧紧限制在第三(竖直)维度中。
在本公开内容的上下文中,术语“原位(in-situ)”是指在单个工具或反应腔内执行的处理,而不将该晶圆曝露到该工具或腔外部的环境。进一步,术语“非原位(ex-situ)”可以指不在单个工具中执行的处理。在另一个实施方案中,在分别形成第一活性层102和第二活性层106之后,可以使用金属-有机化学气相分解(MOCVD)来形成钝化层108。换言之,钝化层108可以分别与第一活性层102和第二活性层106原位沉积。
应意识到,第一活性层102典型地被布置在由若干不同材料(诸如蓝宝石(Al2O3)、硅(Si)、GaN或碳化硅(SiC))之中的任何一种形成的衬底(未示出)之上。在一个实施方案中,第一活性层102包括外延GaN层。为了避免可能的晶格失配和/或热膨胀系数差异的问题,一个或多个附加的层可以被布置在第一活性层102与下面的衬底之间。例如,可选的薄成核层可以被形成在该衬底与第一活性层102之间。在另一些实施例中,第一活性层102可以包括含有其他III族元素的多种氮化物的不同半导体材料。另外,在形成第二活性层106之前,可以在第一活性层102顶上形成AlN薄(约1nm)层。第一活性层102可以被生长或沉积在该衬底上。
第二活性层106被布置在第一活性层102上。在图1的实施例中,第二活性层106包括氮化铝镓(AlGaN)。在另一些实施例中,不同的III族氮化物半导体材料(诸如氮化铝铟(AlInN)和氮化铝铟镓(AlInGaN))可以被用于第二活性层106。在另一些实施方案中,第二活性层106的材料可以是非化学计量化合物(non-stoichiometriccompound)。在这样的材料中,各元素的比例不容易用普通整数来表达。例如,第二活性层106可以是III族非化学计量氮化物半导体材料,诸如AlXGa1-XN,其中0<X<1。在一个实施方式中,第二活性层106包括具有约20纳米(nm)厚的厚度的AlGaN(Al25%)。在形成钝化层108之前,可以可选地在第二活性层106顶上形成薄的(约1nm)GaN终止层。第二活性层106可以被生长或沉积在第一活性层102上。
如图1中示出的,钝化层108被布置在第二活性层106上。如上面讨论的,在一个实施方案中,钝化层108包括氮化铝硅(AlSiN)。在一个实施例中,钝化层108的厚度可以在1-10纳米(nm)厚的近似范围内。进一步,在一个实施方式中,钝化层108是基本5-10%的铝(Al)对氮化硅(SiN),且被形成为1-10nm厚。如先前讨论的,钝化层108可以分别与第一活性层102和第二活性层106原位沉积,且被用来钝化这些GaN基活性层。在一个实施例中,钝化层108具有与使用MOCVD原位生长的层相似的纯度、密度和强度特性。例如,与非原位生长的层相比,原位生长的层通常具有更高的纯度、更高的强度和更高的密度。进一步,钝化层108也可以被用作栅极电介质层。
钝化层108将栅极112与第二活性层106分隔。如示出的,栅极112被布置在钝化层108顶上。在一个实施方案中,栅极112包括金镍(NiAu)合金。在另一个实施方案中,栅极112包括钛金(TiAu)合金或钼金(MoAu)合金。在另一些实施例中,栅极112可以包括栅极电极和栅极场板。在运行中,栅极112控制各自的源极欧姆接触点114和漏极欧姆接触点116之间的向前导通路径。在图1的实施例中,在钝化层108上方且朝着欧姆漏极接触点116横向延伸的栅极112的部分用作栅极场板,它用以减轻边缘(最靠近欧姆漏极接触点116)处的电场强度。
欧姆接触点114和116被布置为穿过钝化电介质层108,以接触第二活性层106。欧姆接触点114是源极接触点的一个实例,而欧姆接触点116是漏极接触点的一个实例。在一个实施方案中,欧姆接触点114和116可以是通过在钝化层108中蚀刻开口然后进行金属沉积和退火步骤而形成的。
如示出的,图1例示了制造过程中刚刚在栅极112以及欧姆金属接触点114和116形成之后的一点处的器件结构,该器件结构分别包括GaN HFET器件100的源极电极和漏极电极。图1示出了直接形成在钝化层108上的欧姆金属接触点114和116。在另一些实施方案中,欧姆金属接触点114和116可以被形成在竖向向下延伸到第二活性层106中的凹陷中。在又一些实施方案中,欧姆金属接触点114和116可以被形成在竖向向下延伸穿过第二活性层106的凹陷中,以接触第一活性层102。
当半导体器件100被配置为用作功率开关时,栅极112以及欧姆接触点114和116典型地通过端子被联结,以形成到外部电路的电学连接。在运行中,2DEG层104中的电荷在欧姆接触点114与116之间横向流动,以成为外部电路中的电流。该电荷流,从而该电流,可以被来自电学连接在栅极112与欧姆接触点114之间的外部电路的电压控制。
如本公开内容中使用的,电学连接是欧姆连接。欧姆连接是这样的连接,其中电压与电流之间的关系是基本线性的,且在该电流的两个方向上是对称的。例如,仅通过金属彼此接触的两个金属图案被电学连接。相对比,欧姆接触点114和116在半导体器件100中没有电学连接到彼此,因为这两个接触之间的任何连接都是通过该半导体材料中的通道进行的,该传导路径被栅极112控制。相似地,栅极112没有电学连接到第二活性层106,因为钝化层108将栅极112与下面的活性层隔离。
如上面讨论的,利用AlSiN作为钝化层108帮助减轻在制造和/或操纵器件100期间积累的表面电荷的不利效应。另外,利用AlSiN作为本文描述的HFET结构中的钝化层108可以使切换期间的电流崩塌最小化、减少栅极泄漏以及提供增强的栅极可靠性和稳定性。进一步,钝化层108也可以被用作栅极电介质层。
图2例示了示例性半导体器件(HFET器件)200的截面侧视图,半导体器件200包含第一活性层202、第二活性层206以及形成在它们之间的2DEG层204。也示出了钝化/第一栅极电介质层208、第二栅极电介质210、栅极212以及各自的源极欧姆接触点214和漏极欧姆接触点216。图2中示出的半导体器件200与图1的半导体器件100相似,除了HFET器件200包含了钝化层218顶上的第二栅极电介质层210。第二栅极电介质层210被布置在钝化/第一栅极电介质层208上,且横向包围各自的源极欧姆接触点114和漏极欧姆接触点116,以及栅极112。此外,钝化/第一栅极电介质层208与钝化层108相似,然而被称为“钝化/第一栅极电介质层”,以强调钝化层208也可以被用作多个栅极电介质层的一个层。
如示出的,第二栅极电介质层210被布置在钝化/第一栅极电介质层208上。在一个实施例中,第二栅极电介质层210包括氧化铝(Al2O3)。在又一个实施方案中,其他氧化物材料,诸如ZrO、HfO、SiO2和GdO,可以被用于第二栅极电介质层210。在一个实施方案中,第二栅极电介质层210具有近似10-20nm厚的范围内的厚度。在一个实施方案中,第二栅极电介质层210厚于钝化/第一栅极电介质层208。例如,钝化/第一栅极电介质层208的厚度可以在近似1-10nm的范围内。在一个示例性制造过程中,可以利用原子层沉积(ALD)将第二栅极电介质层210与各自的第一活性层202和第二活性层206非原位沉积。
如示出的,钝化/第一栅极电介质层208和第二栅极电介质层210将栅极212与第二活性层206竖向分隔。在某些实施方案中,栅极212可以包括栅极电极和栅极场板构件。在图2的实施例中,在第二钝化层218上方且朝着漏极欧姆接触点216横向延伸的栅极212的部分用作栅极场板构件,它用以减轻边缘(最接近漏极欧姆接触点216)处的电场强度。
如示出的,源极欧姆接触点214和漏极欧姆接触点216分别被布置在栅极212的对立的横向侧上。欧姆接触点214和216竖向延伸第二栅极电介质层210和钝化/第一栅极电介质层208,以接触第二活性层206。在一个实施方案中,欧姆接触点214和216可以是通过在第二栅极电介质层210和钝化/第一栅极电介质层208中蚀刻开口然后进行金属沉积和退火步骤而形成的。在另一个示例性制造过程中,欧姆接触点214和216可以在沉积第二栅极电介质层210之前被形成。
进一步,钝化/第一栅极电介质层208可以连同第二栅极电介质层210被用作一个栅极电介质层。本领域从业者应意识到,以本文描述的方式利用多个栅极电介质层可以有利地产生所得到的HFET器件的更高的临界电压运行。该临界电压VCRIT被定义为栅极-源极电压VGS,在该电压处栅极泄漏电流中存在相对急剧的上升。另外,与仅仅利用单个栅极电介质层的器件相比,多个栅极电介质层的使用可以改进半导体器件200的热稳定性。热稳定性与该器件的栅极泄漏电流随着温度而增大多少有关。
图3例示了示例性半导体器件(HFET器件)300的截面侧视图,该半导体器件300包含第一活性层302、第二活性层306以及形成在它们之间的2DEG层304。也示出了钝化/第一栅极电介质层308、第二栅极电介质310、上钝化层318、栅极312以及各自的源极欧姆接触点314和漏极欧姆接触点316。图3中示出的半导体器件300与图1的半导体器件100和图2的半导体器件200相似,除了HFET器件300包含上钝化层318。上钝化层318被布置在第二栅极电介质310上,且横向围绕各自的源极欧姆接触点314和漏极欧姆接触点316以及栅极312。进一步,钝化/第一栅极电介质层308与钝化层108相似,然而被称为“钝化/第一栅极电介质层”,以强调钝化层/第一栅极电介质层308也可以被用作多个栅极电介质层的一个层。
在一个实施方案中,上钝化层318可以包括电介质材料,诸如氮化硅(SiN)。在另一些实施方案中,上钝化层318可以包括多个材料层。应意识到,上钝化层318通过将HFET器件300的表面与周围环境中的电学和化学污染物隔离,提供了该HFET器件300的电学特性的稳定性。上钝化层218可以通过化学气相沉积——诸如低压化学气相沉积(LPCVD)或等离子体增强化学气相沉积(PECVD)——被沉积。
如示出的,上钝化层318、钝化/第一栅极电介质层308和第二栅极电介质层310将栅极312与第二活性层306竖向分隔。在某些实施方案中,栅极312可以包括栅极电极和栅极场板构件。如示出的,栅极312竖向延伸穿过上钝化层318中形成的开口,以接触第二栅极电介质层210。在一个示例性制造过程中,栅极312可以是通过在上钝化层318中蚀刻开口然后进行栅极金属沉积而形成的。在图3的实施例中,在上钝化层318上方且朝着漏极欧姆接触点316横向延伸的栅极312的部分充当栅极场板构件,它用以减轻边缘(最靠近漏极欧姆接触点316)处的电场强度。
如示出的,源极欧姆接触点314和漏极欧姆接触点316分别被布置在栅极312的对立的横向侧上。欧姆接触点314和316竖向延伸穿过上钝化层318、第二栅极电介质层310和钝化/第一栅极电介质层308,以接触第二活性层306。在一个实施方案中,欧姆接触点314和316可以是通过在上钝化层318、第二栅极电介质层310和钝化/第一栅极电介质层308中蚀刻开口然后进行金属沉积和退火步骤而形成的。在另一个示例性制造过程中,欧姆接触点314和316可以是在沉积第二栅极电介质层310和上钝化层318之前形成的。
图4例示了用于建构半导体器件(诸如图1、图2和图3中分别示出的HFET器件100、200或300)的示例性处理流程400。在所示出的实施例中,该处理在完成第一活性层和第二活性层在该衬底上的外延生长或沉积之后开始。包括AlSiN的层(在上文也被称为钝化/第一栅极电介质层)的形成,在GaN/AlGaN活性层的生长之后被原位执行(框402)。在一个实施方案中,该钝化层是使用在800-900℃之间范围的温度以约100托的反应器压力执行的MOCVD技术来沉积的。在一个实施方案中,包括AlSiN的钝化层是以MOCVD技术生长的,使用硅烷(SiH4)、氨(NH3)和三甲基铝(TMAl)作为用于AlSiN的前体。氢(H2)和氮(N2)可以被用作载气(carrier gas)。约50l/min.的总流,具有1-10l/min.范围内的NH3流、约1l/min.的SiH4和约5-20sccm范围内的TMAl流。该NH3流被维持,以使得综合的N成分被维持在如该AlSiN膜的总体折射率和密度监测的Si3N4与AlN之间的化学定量关系(stoichiometry)。
该钝化层被形成到近似1-10nm的范围内的厚度,且在该晶圆的表面之上是连续的。在一个实施方案中,该钝化层的厚度是约5nm。在再另一个实施方案中,该钝化层是与该第一活性层和该第二活性层原位形成的。例如,被用来形成该第一活性层和该第二活性层的相同的MOCVD机器也可以被用来形成该钝化/第一栅极电介质层。在一个实施例中,该钝化层/第一栅极电介质层至使用MOCVD原位生长的层具有纯度、密度和强度特性。例如,原位生长的层通常具有比非原位生长的层更高的纯度、更高的强度以及更高的密度。
在该钝化层的生长之后,该钝化层的表面经历台面隔离蚀刻,以限定该欧姆接触点的活性区(框404)。该台面隔离可以是利用反应离子蚀刻(RIE)系统来执行的。在另一些制造方法中,该台面隔离可以是使用电感耦合等离子体(ICP)RIE来执行。在该处理流程中的这一点,可以可选地穿过该钝化层形成欧姆通孔开口,然后进行欧姆金属化和退火(框306)。一种示例性欧姆接触金属是TiAlMoAu。该金属欧姆接触点可以利用RTA工具在近似600-900℃的温度范围被退火长达大约一分钟。
接下来,第二栅极电介质层(可以由Al2O3构成)可以被可选地布置在该钝化层上(框408)。该第二栅极电介质层也可以被沉积在该源极欧姆接触点和该漏极欧姆接触点之上。在一个实施方案中,该第二栅极电介质层在300℃使用ALD被沉积在晶圆表面上。该第二栅极电介质层可以被生长到近似10-20nm范围内的厚度。
在一个实施方案中,该第二栅极电介质层的形成的沉积,可以是与该第一活性层和该第二活性层以及该钝化/第一栅极电介质层非原位执行的。例如,该钝化/第一和第二栅极电介质层可以使用相同的ALD腔或者其他机器或系统被沉积在晶圆表面上。
在已经沉积该第二栅极电介质层之后可以执行高温退火(框410),以改善该第二栅极电介质层的膜和界面品质。例如,该退火步骤可以在炉子中在450-750℃的温度范围被执行长达近似5-10分钟。也可以使用若干不同工具(诸如快速温度退火(RTA)工具)来执行退火。应意识到,框408和框410被认为是可选的,因为这些框应用到图2和图3中示出的HFET器件(例示了第二栅极电介质层)。
在退火之后,可以可选地在该第二栅极电介质层之上沉积上钝化层(框412)。在一个实施方案中,该上钝化层可以使用PECVD被沉积。该上钝化层也可以使用LPCVD被沉积。该上钝化层典型地被形成到在近似100-150nm范围内的厚度。如上文讨论的,该上钝化层可以包括氮化硅(SiN)或具有相似性质的其他材料。
栅极通孔形成,在框314中被示出。这个步骤可选地当上钝化层已经被形成在多个栅极电介质层的堆叠之上时被执行。栅极通孔形成包括对该上钝化层进行掩模和蚀刻,以使得穿过该上钝化层形成开口,由此曝露下面的第二栅极电介质层。在一个实施方案中,可以用气体(诸如CF4或SF6)利用干蚀刻,以蚀刻穿过该上钝化层。在该蚀刻处理曝露该第二栅极电介质层之后,栅极金属或金属合金沉积(框316)被执行,以填充所蚀刻的开口。在一个实施例中,NiAu被用作该栅极金属。如图1、图2和图3中示出的,可以通过对该栅极金属进行掩模或蚀刻来形成该栅极的场板部分,以使得顶部部分在该上钝化层之上朝着最远的(漏极)欧姆接触点横向延伸。应意识到,框412和414被认为是可选的,因为这些框应用到图3中示出的HFET器件300(例示了上钝化层)。
半导体领域普通技术人员应理解,可以执行其他标准的制造后(post-fabrication)或后道(back-end)处理步骤,包含:在该晶圆的表面上形成金属(例如有图案的线路或迹线);晶圆背磨(backgrinding),也被称为背研磨(backlapping)或晶圆打薄(waferthinning);芯粒分离;以及封装。
图5是例示了用于构建HFET器件(诸如图2和图3中示出的半导体器件200或300)的另一个示例性处理流程400的图表。图5中示出的处理与参照图4讨论的相同,其中相同编号的步骤与上文描述的相同,除了处理流程500包含插在框502与框504之间的附加的框503。在该AlSiN钝化/第一栅极电介质层的原位生长之后,氮化铝(AlN)被生长在该AlSiN层的顶上(框503)。在一个实施方案中,该AlN层与该AlSiN钝化/第一栅极电介质层以及该第一活性层和该第二活性层原位生长。该AlN层的厚度可以是约1nm厚。在框504和506中的台面隔离蚀刻以及欧姆金属化和退火之后,该AlN层被有效地用作籽晶层,以形成该Al2O3第二栅极电介质层(框508)。
上面对所例示的示例性实施方案的描述,包含摘要中的描述,不旨在是穷举性的或限于所公开的精确形式。尽管本文描述的主题的具体实施方案和实施例是为了例示目的,但在不脱离本发明的较宽泛的精神和范围的前提下,多种等同修改是可能的。事实上,应意识到,具体的示例性的厚度、材料类型、温度、电压、时间等等是为了解释目的而提供的,且在根据本发明的教导的其他实施方案和实施例中也可以采用其他值。

Claims (28)

1.一种异质结构功率晶体管,包括:
第一活性层;
第二活性层,被布置在该第一活性层上,二维电子气层形成在该第一活性层与该第二活性层之间;
包括氮化铝硅(AlSiN)的钝化层,被布置在该第二活性层上;
栅极;
第一欧姆接触点和第二欧姆接触点,它们电学连接到该第二活性层,该第一欧姆接触点和该第二欧姆接触点横向间隔开,该栅极被布置在该第一欧姆接触点与该第二欧姆接触点之间。
2.根据权利要求1所述的异质结构功率晶体管,进一步包括被布置在该钝化层上的第二栅极电介质层,该栅极被布置在该第二栅极电介质层上方。
3.根据权利要求2所述的异质结构功率晶体管,其中所述第二栅极电介质层包括氧化铝(Al2O3)。
4.根据权利要求2所述的异质结构功率晶体管,进一步包括被布置在该第二栅极电介质层之上的上钝化层,该栅极竖向延伸穿过该上钝化层,以接触该第二栅极电介质层。
5.根据权利要求2所述的异质结构功率晶体管,其中该钝化层具有第一厚度,且该第二栅极电介质层具有第二厚度,该第二厚度大于该第一厚度。
6.根据权利要求2所述的异质结构功率晶体管,其中该第一欧姆接触点和该第二欧姆接触点竖向延伸穿过该第二栅极电介质层和该钝化层。
7.根据权利要求4所述的异质结构功率晶体管,其中该第一欧姆接触点和该第二欧姆接触点竖向延伸穿过该上钝化层、该第二栅极电介质层和该钝化层。
8.根据权利要求1所述的异质结构功率晶体管,其中该钝化层具有在约1-5纳米厚的范围内的第一厚度。
9.根据权利要求2所述的异质结构功率晶体管,其中该第二栅极电介质具有在约10-20纳米厚的范围内的第二厚度。
10.根据权利要求1所述的异质结构功率晶体管,其中该第一欧姆接触点和该第二欧姆接触点分别包括源极欧姆接触点和漏极欧姆接触点。
11.根据权利要求4所述的异质结构功率晶体管,其中该上钝化层包括SiN。
12.根据权利要求1所述的异质结构功率晶体管,其中该第一活性层包括氮化镓(GaN)。
13.根据权利要求1所述的异质结构功率晶体管,其中该第二活性层包括氮化铝镓(AlGaN)。
14.根据权利要求1所述的异质结构功率晶体管,其中该第一活性层和该第二活性层被限定为隔离台面。
15.根据权利要求1所述的异质结构功率晶体管,其中该栅极包括镍金(NiAu)合金。
16.根据权利要求10所述的异质结构功率晶体管,其中该栅极包含朝着该漏极欧姆接触点延伸的栅极场板。
17.根据权利要求1所述的异质结构半导体器件,其中该栅极包括钛金(TiAu)合金或钼金(MoAu)合金。
18.一种用于制造异质结构半导体器件的方法,包括:
在衬底上形成第一活性层;
在该第一活性层上形成第二活性层,该第一活性层和该第二活性层具有不同的带隙,以使得在它们之间形成二维电子气层;
在该第二活性层上形成包括氮化铝硅(AlSiN)的钝化层,该钝化层具有第一厚度;
形成第一欧姆接触点和第二欧姆接触点,它们各自都竖向延伸穿过该钝化层,该第一欧姆接触点和该第二欧姆接触点横向间隔开,且电学连接到该第二活性层;以及
在该第一欧姆接触点与该第二欧姆接触点之间的横向位置处形成栅极。
19.根据权利要求19所述的方法,进一步包括:将第二栅极电介质层沉积在该钝化层之上,该第二栅极电介质层具有大于该第一厚度的第二厚度。
20.根据权利要求19所述的方法,进一步包括:将上钝化层沉积在该第二栅极电介质层之上。
21.根据权利要求19所述的方法,进一步包括:将该第二栅极电介质层退火。
22.根据权利要求19所述的方法,其中该第二栅极电介质层包括氧化铝。
23.根据权利要求18所述的方法,其中该第一活性层包括氮化镓。
24.根据权利要求18所述的方法,其中该第二活性层包括氮化铝镓。
25.根据权利要求18所述的方法,其中该钝化层是与该第一活性层和该第二活性层原位形成的。
26.根据权利要求18所述的方法,进一步包括:在该钝化层之上与该钝化层原位生长AlN层。
27.根据权利要求18所述的方法,其中该第一厚度在约1-5纳米厚的范围内。
28.根据权利要求19所述的方法,其中该第二厚度在约10-20纳米厚的范围内。
CN201410059960.5A 2013-02-28 2014-02-21 具有AlSiN钝化层的异质结构功率晶体管 Active CN104022148B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/780,192 2013-02-28
US13/780,192 US8928037B2 (en) 2013-02-28 2013-02-28 Heterostructure power transistor with AlSiN passivation layer

Publications (2)

Publication Number Publication Date
CN104022148A true CN104022148A (zh) 2014-09-03
CN104022148B CN104022148B (zh) 2020-03-20

Family

ID=50114308

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410059960.5A Active CN104022148B (zh) 2013-02-28 2014-02-21 具有AlSiN钝化层的异质结构功率晶体管

Country Status (7)

Country Link
US (3) US8928037B2 (zh)
EP (1) EP2772940B1 (zh)
JP (1) JP6498865B2 (zh)
KR (2) KR101960031B1 (zh)
CN (1) CN104022148B (zh)
IN (1) IN2014DE00384A (zh)
TW (1) TWI656644B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105720096A (zh) * 2013-12-27 2016-06-29 电力集成公司 高电子迁移率晶体管
CN110023088A (zh) * 2017-01-31 2019-07-16 惠普发展公司,有限责任合伙企业 流体喷射装置中的原子层沉积氧化层

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8633094B2 (en) 2011-12-01 2014-01-21 Power Integrations, Inc. GaN high voltage HFET with passivation plus gate dielectric multilayer structure
CN102723358B (zh) * 2012-05-30 2015-01-07 苏州能讯高能半导体有限公司 绝缘栅场效应晶体管及其制造方法
JP2014072377A (ja) * 2012-09-28 2014-04-21 Fujitsu Ltd 化合物半導体装置及びその製造方法
US8928037B2 (en) 2013-02-28 2015-01-06 Power Integrations, Inc. Heterostructure power transistor with AlSiN passivation layer
US9443737B2 (en) * 2013-04-03 2016-09-13 Texas Instruments Incorporated Method of forming metal contacts in the barrier layer of a group III-N HEMT
JP6284140B2 (ja) * 2013-06-17 2018-02-28 株式会社タムラ製作所 Ga2O3系半導体素子
US9455341B2 (en) * 2013-07-17 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having a back-barrier layer and method of making the same
US9978844B2 (en) 2013-08-01 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. HEMT-compatible lateral rectifier structure
US9806158B2 (en) 2013-08-01 2017-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. HEMT-compatible lateral rectifier structure
US10325988B2 (en) 2013-12-13 2019-06-18 Power Integrations, Inc. Vertical transistor device structure with cylindrically-shaped field plates
US9640620B2 (en) * 2014-11-03 2017-05-02 Texas Instruments Incorporated High power transistor with oxide gate barriers
US9613908B2 (en) * 2014-12-15 2017-04-04 Applied Materials, Inc. Ultra-thin dielectric diffusion barrier and etch stop layer for advanced interconnect applications
FR3030886B1 (fr) * 2014-12-22 2017-03-10 Centre Nat Rech Scient Dispositif de modulation comportant une nano-diode
JP6879662B2 (ja) * 2014-12-23 2021-06-02 パワー・インテグレーションズ・インコーポレーテッド 高電子移動度トランジスタ
JP6401053B2 (ja) 2014-12-26 2018-10-03 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
TWI626742B (zh) * 2015-06-18 2018-06-11 台達電子工業股份有限公司 半導體裝置
US9941384B2 (en) * 2015-08-29 2018-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for fabricating the same
CN106935640A (zh) * 2015-12-31 2017-07-07 北京大学 高电子迁移率晶体管和存储器芯片
US10932684B2 (en) * 2016-03-10 2021-03-02 Epitronic Holdings Pte Ltd. Microelectronic sensor for air quality monitoring
US9812562B1 (en) * 2016-06-03 2017-11-07 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure, HEMT structure and method of forming the same
US10741682B2 (en) * 2016-11-17 2020-08-11 Semiconductor Components Industries, Llc High-electron-mobility transistor (HEMT) semiconductor devices with reduced dynamic resistance
JP7034739B2 (ja) * 2017-02-20 2022-03-14 クアーズテック株式会社 窒化物半導体基板およびその製造方法
EP3364463A3 (en) 2017-02-20 2018-11-14 CoorsTek KK Nitride semiconductor substrate and method for manufactuing the same
JP6917160B2 (ja) * 2017-02-26 2021-08-11 住友化学株式会社 半導体基板、電子デバイス、半導体基板の検査方法および電子デバイスの製造方法
CN109659361B (zh) 2017-10-12 2022-03-04 电力集成公司 用于异质结器件的栅极堆叠体
TWI748233B (zh) * 2018-08-29 2021-12-01 美商高效電源轉換公司 具有降低導通電阻之橫向功率元件
TWI725433B (zh) 2019-05-24 2021-04-21 大陸商聚力成半導體(重慶)有限公司 半導體裝置的製作方法
TWI753759B (zh) * 2020-02-03 2022-01-21 美商應用材料股份有限公司 具有整合化氮化鋁種晶或波導層的超導奈米線單光子偵測器
US20220336600A1 (en) * 2021-04-20 2022-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Ohmic electrode for two-dimensional carrier gas (2dcg) semiconductor device
TWI762346B (zh) * 2021-06-04 2022-04-21 瑞礱科技股份有限公司 一種iii族氮化物半導體元件之歐姆接觸製造方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102484067A (zh) * 2009-06-26 2012-05-30 康奈尔大学 包括铝-硅氮化物钝化的用于形成iii-v半导体结构的方法
CN102723358A (zh) * 2012-05-30 2012-10-10 程凯 绝缘栅场效应晶体管及其制造方法
US20120319169A1 (en) * 2011-06-20 2012-12-20 Imec Cmos compatible method for manufacturing a hemt device and the hemt device thereof

Family Cites Families (164)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL167277C (nl) 1970-08-29 1981-11-16 Philips Nv Halfgeleiderinrichting met een plaatvorming half- geleiderlichaam met over althans een deel van de dikte van het halfgeleiderlichaam afgeschuinde randen, dat is voorzien van een metalen elektrode die een gelijkrichtende overgang vormt met het halfgeleider- lichaam en werkwijze ter vervaardiging van de halfgeleiderinrichting.
US4142195A (en) 1976-03-22 1979-02-27 Rca Corporation Schottky barrier semiconductor device and method of making same
US4408216A (en) 1978-06-02 1983-10-04 International Rectifier Corporation Schottky device and method of manufacture using palladium and platinum intermetallic alloys and titanium barrier for low reverse leakage over wide temperature range
NL184551C (nl) 1978-07-24 1989-08-16 Philips Nv Veldeffekttransistor met geisoleerde stuurelektrode.
FR2517883A1 (fr) 1981-12-09 1983-06-10 Thomson Csf Dispositif semi-conducteur a faible capacite parasite muni de connexions externes prises au moyen de poutres
US4543595A (en) 1982-05-20 1985-09-24 Fairchild Camera And Instrument Corporation Bipolar memory cell
GB2137412B (en) 1983-03-15 1987-03-04 Standard Telephones Cables Ltd Semiconductor device
US4967243A (en) 1988-07-19 1990-10-30 General Electric Company Power transistor structure with high speed integral antiparallel Schottky diode
US4946547A (en) 1989-10-13 1990-08-07 Cree Research, Inc. Method of preparing silicon carbide surfaces for crystal growth
US5200022A (en) 1990-10-03 1993-04-06 Cree Research, Inc. Method of improving mechanically prepared substrate surfaces of alpha silicon carbide for deposition of beta silicon carbide thereon and resulting product
DE69229265T2 (de) 1991-03-18 1999-09-23 Univ Boston Verfahren zur herstellung und dotierung hochisolierender dünner schichten aus monokristallinem galliumnitrid
US5221413A (en) 1991-04-24 1993-06-22 At&T Bell Laboratories Method for making low defect density semiconductor heterostructure and devices made thereby
US5602418A (en) 1992-08-07 1997-02-11 Asahi Kasei Kogyo Kabushiki Kaisha Nitride based semiconductor device and manufacture thereof
EP0622858B2 (en) 1993-04-28 2004-09-29 Nichia Corporation Gallium nitride-based III-V group compound semiconductor device and method of producing the same
EP0690517B1 (en) 1994-05-30 2003-10-01 Canon Kabushiki Kaisha Rechargeable lithium battery
JP3495814B2 (ja) 1994-05-30 2004-02-09 キヤノン株式会社 電池用電極及び該電極を有するリチウム二次電池
US6078090A (en) 1997-04-02 2000-06-20 Siliconix Incorporated Trench-gated Schottky diode with integral clamping diode
WO1996041906A1 (en) 1995-06-13 1996-12-27 Advanced Technology Materials, Inc. Bulk single crystal gallium nitride and method of making same
US5689128A (en) 1995-08-21 1997-11-18 Siliconix Incorporated High density trenched DMOS transistor
US5874747A (en) 1996-02-05 1999-02-23 Advanced Technology Materials, Inc. High brightness electroluminescent device emitting in the green to ultraviolet spectrum and method of making the same
JP3742144B2 (ja) 1996-05-08 2006-02-01 ソニー株式会社 非水電解液二次電池及び非水電解液二次電池用の平面状集電体
US5612567A (en) 1996-05-13 1997-03-18 North Carolina State University Schottky barrier rectifiers and methods of forming same
JP3326371B2 (ja) * 1996-10-21 2002-09-24 東芝電子エンジニアリング株式会社 化合物半導体装置の製造方法
US5741724A (en) 1996-12-27 1998-04-21 Motorola Method of growing gallium nitride on a spinel substrate
JP3491492B2 (ja) 1997-04-09 2004-01-26 松下電器産業株式会社 窒化ガリウム結晶の製造方法
US5785606A (en) 1997-05-02 1998-07-28 Marquez; Ruben L. Method of playing multiple hand card game
US6239033B1 (en) 1998-05-28 2001-05-29 Sony Corporation Manufacturing method of semiconductor device
DE19723176C1 (de) 1997-06-03 1998-08-27 Daimler Benz Ag Leistungshalbleiter-Bauelement und Verfahren zu dessen Herstellung
KR20010021494A (ko) 1997-07-03 2001-03-15 추후제출 에피택셜 증착에 의한 프리 스탠딩 기판의 제조를 위한열적 부정합 보정
JP3505357B2 (ja) 1997-07-16 2004-03-08 株式会社東芝 窒化ガリウム系半導体素子およびその製造方法
JP4453111B2 (ja) 1997-10-27 2010-04-21 三菱化学株式会社 負極材料とその製造方法、負極活物質、および非水系二次電池
JP3500281B2 (ja) 1997-11-05 2004-02-23 株式会社東芝 窒化ガリウム系半導体素子およびその製造方法
JP3036495B2 (ja) 1997-11-07 2000-04-24 豊田合成株式会社 窒化ガリウム系化合物半導体の製造方法
US6608327B1 (en) 1998-02-27 2003-08-19 North Carolina State University Gallium nitride semiconductor structure including laterally offset patterned layers
JP2948205B1 (ja) 1998-05-25 1999-09-13 花王株式会社 二次電池用負極の製造方法
JP4352473B2 (ja) 1998-06-26 2009-10-28 ソニー株式会社 半導体装置の製造方法
JP2000150535A (ja) 1998-11-09 2000-05-30 Fujitsu Quantum Device Kk 電界効果トランジスタとその製造方法
US6331450B1 (en) 1998-12-22 2001-12-18 Toyoda Gosei Co., Ltd. Method of manufacturing semiconductor device using group III nitride compound
US6252288B1 (en) 1999-01-19 2001-06-26 Rockwell Science Center, Llc High power trench-based rectifier with improved reverse breakdown characteristic
US20010001494A1 (en) 1999-04-01 2001-05-24 Christopher B. Kocon Power trench mos-gated device and process for forming same
US6389051B1 (en) 1999-04-09 2002-05-14 Xerox Corporation Structure and method for asymmetric waveguide nitride laser diode
US6291298B1 (en) 1999-05-25 2001-09-18 Advanced Analogic Technologies, Inc. Process of manufacturing Trench gate semiconductor device having gate oxide layer with multiple thicknesses
US7084456B2 (en) 1999-05-25 2006-08-01 Advanced Analogic Technologies, Inc. Trench MOSFET with recessed clamping diode using graded doping
US6252258B1 (en) 1999-08-10 2001-06-26 Rockwell Science Center Llc High power rectifier
US6211018B1 (en) 1999-08-14 2001-04-03 Electronics And Telecommunications Research Institute Method for fabricating high density trench gate type power device
JP4412827B2 (ja) 1999-08-20 2010-02-10 シャープ株式会社 窒化物半導体厚膜基板
JP3702224B2 (ja) 1999-10-22 2005-10-05 三洋電機株式会社 リチウム二次電池用電極の製造方法
JP2002083594A (ja) 1999-10-22 2002-03-22 Sanyo Electric Co Ltd リチウム電池用電極並びにこれを用いたリチウム電池及びリチウム二次電池
CN1260841C (zh) 1999-10-22 2006-06-21 三洋电机株式会社 锂电池和可再充电锂电池中用的电极
US6184570B1 (en) 1999-10-28 2001-02-06 Ericsson Inc. Integrated circuit dies including thermal stress reducing grooves and microelectronic packages utilizing the same
WO2001043174A2 (en) 1999-12-13 2001-06-14 North Carolina State University Fabrication of gallium nitride layers on textured silicon substrates
US6380108B1 (en) 1999-12-21 2002-04-30 North Carolina State University Pendeoepitaxial methods of fabricating gallium nitride semiconductor layers on weak posts, and gallium nitride semiconductor structures fabricated thereby
US6573537B1 (en) 1999-12-22 2003-06-03 Lumileds Lighting, U.S., Llc Highly reflective ohmic contacts to III-nitride flip-chip LEDs
US6586781B2 (en) 2000-02-04 2003-07-01 Cree Lighting Company Group III nitride based FETs and HEMTs with reduced trapping and method for producing the same
KR100348269B1 (ko) 2000-03-22 2002-08-09 엘지전자 주식회사 루데니움 산화물을 이용한 쇼트키 콘택 방법
JP2001357855A (ja) 2000-06-14 2001-12-26 Shin Kobe Electric Mach Co Ltd 非水電解液二次電池
US6344665B1 (en) 2000-06-23 2002-02-05 Arima Optoelectronics Corp. Electrode structure of compound semiconductor device
US20020015833A1 (en) 2000-06-29 2002-02-07 Naotomi Takahashi Manufacturing method of electrodeposited copper foil and electrodeposited copper foil
JP4022708B2 (ja) 2000-06-29 2007-12-19 日本電気株式会社 半導体装置
JP2003101036A (ja) 2001-09-25 2003-04-04 Sanyo Electric Co Ltd ショットキーバリアダイオードおよびその製造方法
JP2002064201A (ja) 2000-08-18 2002-02-28 Toshiba Corp 半導体電界効果トランジスタ及び電力増幅器
US6518079B2 (en) 2000-12-20 2003-02-11 Lumileds Lighting, U.S., Llc Separation method for gallium nitride devices on lattice-mismatched substrates
JP2002305309A (ja) 2001-02-01 2002-10-18 Hitachi Ltd 半導体装置およびその製造方法
JP4073176B2 (ja) 2001-04-02 2008-04-09 新電元工業株式会社 半導体装置およびその製造方法
US6437374B1 (en) 2001-05-07 2002-08-20 Xerox Corporation Semiconductor device and method of forming a semiconductor device
TW492202B (en) 2001-06-05 2002-06-21 South Epitaxy Corp Structure of III-V light emitting diode (LED) arranged in flip chip configuration having structure for preventing electrostatic discharge
US20020197835A1 (en) 2001-06-06 2002-12-26 Sey-Ping Sun Anti-reflective coating and methods of making the same
US20030015708A1 (en) 2001-07-23 2003-01-23 Primit Parikh Gallium nitride based diodes with low forward voltage and low reverse current operation
US7230284B2 (en) 2001-07-24 2007-06-12 Cree, Inc. Insulating gate AlGaN/GaN HEMT
US6524900B2 (en) 2001-07-25 2003-02-25 Abb Research, Ltd Method concerning a junction barrier Schottky diode, such a diode and use thereof
US20050179106A1 (en) 2001-07-27 2005-08-18 Sanyo Electric Company, Ltd. Schottky barrier diode
JP4064085B2 (ja) 2001-10-18 2008-03-19 三菱電機株式会社 半導体装置及びその製造方法
US6768146B2 (en) 2001-11-27 2004-07-27 The Furukawa Electric Co., Ltd. III-V nitride semiconductor device, and protection element and power conversion apparatus using the same
JP3871607B2 (ja) 2001-12-14 2007-01-24 松下電器産業株式会社 半導体素子およびその製造方法
US6515308B1 (en) 2001-12-21 2003-02-04 Xerox Corporation Nitride-based VCSEL or light emitting diode with p-n tunnel junction current injection
US6855970B2 (en) 2002-03-25 2005-02-15 Kabushiki Kaisha Toshiba High-breakdown-voltage semiconductor device
US6624444B1 (en) 2002-03-28 2003-09-23 Intel Corporation Electrical-optical package with capacitor DC shunts and associated methods
DE10217235A1 (de) 2002-04-18 2003-10-30 Philips Intellectual Property Schaltungsanordnung zur Erzeugung von Gleichspannungen
JP4221697B2 (ja) 2002-06-17 2009-02-12 日本電気株式会社 半導体装置
US7323402B2 (en) 2002-07-11 2008-01-29 International Rectifier Corporation Trench Schottky barrier diode with differential oxide thickness
JP3790500B2 (ja) 2002-07-16 2006-06-28 ユーディナデバイス株式会社 電界効果トランジスタ及びその製造方法
US20040021152A1 (en) 2002-08-05 2004-02-05 Chanh Nguyen Ga/A1GaN Heterostructure Field Effect Transistor with dielectric recessed gate
JP2004087587A (ja) 2002-08-23 2004-03-18 Mitsubishi Electric Corp 窒化物半導体装置およびその製造方法
FR2844099B1 (fr) 2002-09-03 2005-09-02 Commissariat Energie Atomique Dispositif semiconducteur de puissance quasi-vertical sur substrat composite
US7115896B2 (en) 2002-12-04 2006-10-03 Emcore Corporation Semiconductor structures for gallium nitride-based devices
JP4748498B2 (ja) 2002-12-05 2011-08-17 古河電気工業株式会社 電流遮断器付きGaN系半導体装置
TWI240434B (en) 2003-06-24 2005-09-21 Osram Opto Semiconductors Gmbh Method to produce semiconductor-chips
FR2857982B1 (fr) 2003-07-24 2007-05-18 Soitec Silicon On Insulator Procede de fabrication d'une couche epitaxiee
JP4249184B2 (ja) 2003-08-12 2009-04-02 日本電信電話株式会社 窒化物半導体成長用基板
DE102004041622A1 (de) 2003-08-29 2005-03-24 Fuji Electric Holdings Co. Ltd., Kawasaki Halbleiterbauteil
US7026665B1 (en) 2003-09-19 2006-04-11 Rf Micro Devices, Inc. High voltage GaN-based transistor structure
US7041579B2 (en) 2003-10-22 2006-05-09 Northrop Grumman Corporation Hard substrate wafer sawing process
JP2005129696A (ja) 2003-10-23 2005-05-19 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP4288592B2 (ja) 2004-01-20 2009-07-01 ソニー株式会社 電池
JP4449467B2 (ja) 2004-01-28 2010-04-14 サンケン電気株式会社 半導体装置
US7253015B2 (en) 2004-02-17 2007-08-07 Velox Semiconductor Corporation Low doped layer for nitride-based semiconductor device
US7084475B2 (en) 2004-02-17 2006-08-01 Velox Semiconductor Corporation Lateral conduction Schottky diode with plural mesas
JP4610207B2 (ja) 2004-02-24 2011-01-12 三洋電機株式会社 半導体装置およびその製造方法
US7229866B2 (en) 2004-03-15 2007-06-12 Velox Semiconductor Corporation Non-activated guard ring for semiconductor devices
WO2005104780A2 (en) 2004-04-28 2005-11-10 Verticle, Inc Vertical structure semiconductor devices
JP4398780B2 (ja) 2004-04-30 2010-01-13 古河電気工業株式会社 GaN系半導体装置
US20050277292A1 (en) 2004-05-28 2005-12-15 Chao-Hsien Peng Method for fabricating low resistivity barrier for copper interconnect
US7417266B1 (en) 2004-06-10 2008-08-26 Qspeed Semiconductor Inc. MOSFET having a JFET embedded as a body diode
US7238976B1 (en) 2004-06-15 2007-07-03 Qspeed Semiconductor Inc. Schottky barrier rectifier and method of manufacturing the same
US7118970B2 (en) 2004-06-22 2006-10-10 Cree, Inc. Methods of fabricating silicon carbide devices with hybrid well regions
US7547928B2 (en) 2004-06-30 2009-06-16 Interuniversitair Microelektronica Centrum (Imec) AlGaN/GaN high electron mobility transistor devices
EP2273553B1 (en) 2004-06-30 2020-02-12 IMEC vzw A method for fabricating AlGaN/GaN HEMT devices
JP2006100645A (ja) 2004-09-30 2006-04-13 Furukawa Electric Co Ltd:The GaN系半導体集積回路
KR100889362B1 (ko) * 2004-10-19 2009-03-18 삼성전자주식회사 다층 유전체막으로 이루어진 트랜지스터 및 그 제조 방법
US7456443B2 (en) 2004-11-23 2008-11-25 Cree, Inc. Transistors having buried n-type and p-type regions beneath the source region
JP4609048B2 (ja) 2004-11-25 2011-01-12 ソニー株式会社 二次電池用負極および二次電池
US7116567B2 (en) 2005-01-05 2006-10-03 Velox Semiconductor Corporation GaN semiconductor based voltage conversion device
US7436039B2 (en) 2005-01-06 2008-10-14 Velox Semiconductor Corporation Gallium nitride semiconductor device
US20060151868A1 (en) 2005-01-10 2006-07-13 Zhu Tinggang Package for gallium nitride semiconductor devices
US7429534B2 (en) 2005-02-22 2008-09-30 Sensor Electronic Technology, Inc. Etching a nitride-based heterostructure
JP2006245317A (ja) 2005-03-03 2006-09-14 Fujitsu Ltd 半導体装置およびその製造方法
DE102005012217B4 (de) 2005-03-15 2007-02-22 Infineon Technologies Austria Ag Lateraler MISFET und Verfahren zur Herstellung desselben
JP4912604B2 (ja) 2005-03-30 2012-04-11 住友電工デバイス・イノベーション株式会社 窒化物半導体hemtおよびその製造方法。
US7615774B2 (en) 2005-04-29 2009-11-10 Cree.Inc. Aluminum free group III-nitride based high electron mobility transistors
US8482035B2 (en) 2005-07-29 2013-07-09 International Rectifier Corporation Enhancement mode III-nitride transistors with single gate Dielectric structure
EP2312634B1 (en) 2005-09-07 2019-12-25 Cree, Inc. Transistors with fluorine treatment
US8026568B2 (en) 2005-11-15 2011-09-27 Velox Semiconductor Corporation Second Schottky contact metal layer to improve GaN Schottky diode performance
US7696598B2 (en) 2005-12-27 2010-04-13 Qspeed Semiconductor Inc. Ultrafast recovery diode
WO2007075996A2 (en) 2005-12-27 2007-07-05 Qspeed Semiconductor Inc. Apparatus and method for a fast recovery rectifier structure
US7592211B2 (en) 2006-01-17 2009-09-22 Cree, Inc. Methods of fabricating transistors including supported gate electrodes
JP4705482B2 (ja) * 2006-01-27 2011-06-22 パナソニック株式会社 トランジスタ
US7964514B2 (en) 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
JP5231719B2 (ja) 2006-03-30 2013-07-10 富士通株式会社 電界効果トランジスタの製造方法
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
US8399911B2 (en) 2006-06-07 2013-03-19 Imec Enhancement mode field effect device and the method of production thereof
JP5099008B2 (ja) 2006-07-26 2012-12-12 富士通株式会社 SiC基板を用いた化合物半導体装置とその製造方法
US7939853B2 (en) 2007-03-20 2011-05-10 Power Integrations, Inc. Termination and contact structures for a high voltage GaN-based heterojunction transistor
JP5242068B2 (ja) * 2007-03-23 2013-07-24 古河電気工業株式会社 GaN系半導体デバイスおよびその製造方法
WO2009012536A1 (en) 2007-07-20 2009-01-29 Interuniversitair Microelektronica Centrum Damascene contacts on iii-v cmos devices
JP2009032796A (ja) 2007-07-25 2009-02-12 Rohm Co Ltd 窒化物半導体素子および窒化物半導体素子の製造方法
US20090278233A1 (en) 2007-07-26 2009-11-12 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
JP4584293B2 (ja) 2007-08-31 2010-11-17 富士通株式会社 窒化物半導体装置、ドハティ増幅器、ドレイン電圧制御増幅器
CN100594591C (zh) 2007-10-17 2010-03-17 中国科学院微电子研究所 一种提高氮化镓基场效应晶体管性能的方法
CN101459080B (zh) 2007-12-12 2010-04-14 中国科学院微电子研究所 一种制作氮化镓基场效应晶体管的方法
FR2926672B1 (fr) 2008-01-21 2010-03-26 Soitec Silicon On Insulator Procede de fabrication de couches de materiau epitaxie
US8309987B2 (en) 2008-07-15 2012-11-13 Imec Enhancement mode semiconductor device
US7985986B2 (en) 2008-07-31 2011-07-26 Cree, Inc. Normally-off semiconductor devices
KR101233105B1 (ko) 2008-08-27 2013-02-15 소이텍 선택되거나 제어된 격자 파라미터들을 갖는 반도체 물질층들을 이용하여 반도체 구조물들 또는 소자들을 제조하는 방법
US8168486B2 (en) 2009-06-24 2012-05-01 Intersil Americas Inc. Methods for manufacturing enhancement-mode HEMTs with self-aligned field plate
US8384129B2 (en) 2009-06-25 2013-02-26 The United States Of America, As Represented By The Secretary Of The Navy Transistor with enhanced channel charge inducing material layer and threshold voltage control
CN102484070B (zh) * 2009-06-26 2014-12-10 康奈尔大学 用于铝-硅氮化物的化学气相沉积处理
EP2317542B1 (en) 2009-10-30 2018-05-23 IMEC vzw Semiconductor device and method of manufacturing thereof
US9105703B2 (en) * 2010-03-22 2015-08-11 International Rectifier Corporation Programmable III-nitride transistor with aluminum-doped gate
JP5635803B2 (ja) 2010-05-07 2014-12-03 トランスフォーム・ジャパン株式会社 化合物半導体装置の製造方法及び化合物半導体装置
US9299821B2 (en) * 2010-06-23 2016-03-29 Cornell University Gated III-V semiconductor structure and method
CN103081080B (zh) * 2010-08-25 2016-01-13 日本碍子株式会社 半导体元件用外延基板、半导体元件、半导体元件用外延基板的制作方法、以及半导体元件的制作方法
JP5758132B2 (ja) 2011-01-26 2015-08-05 株式会社東芝 半導体素子
JP5648523B2 (ja) 2011-02-16 2015-01-07 富士通株式会社 半導体装置、電源装置、増幅器及び半導体装置の製造方法
US9076853B2 (en) * 2011-03-18 2015-07-07 International Rectifie Corporation High voltage rectifier and switching circuits
US8604486B2 (en) * 2011-06-10 2013-12-10 International Rectifier Corporation Enhancement mode group III-V high electron mobility transistor (HEMT) and method for fabrication
US8633094B2 (en) 2011-12-01 2014-01-21 Power Integrations, Inc. GaN high voltage HFET with passivation plus gate dielectric multilayer structure
US8940620B2 (en) 2011-12-15 2015-01-27 Power Integrations, Inc. Composite wafer for fabrication of semiconductor devices
GB201203161D0 (en) * 2012-02-23 2012-04-11 Epigan Nv A device comprising a III-N layer stack with improved passivation layer and associated manufacturing method
US8803246B2 (en) * 2012-07-16 2014-08-12 Transphorm Inc. Semiconductor electronic components with integrated current limiters
US20140077266A1 (en) 2012-09-14 2014-03-20 Power Integrations, Inc. Heterostructure Transistor with Multiple Gate Dielectric Layers
CN102856370B (zh) * 2012-09-18 2016-04-13 苏州晶湛半导体有限公司 一种增强型开关器件
US8913972B2 (en) 2012-10-11 2014-12-16 Nokia Siemens Networks Oy Antenna clustering for multi-antenna aperture selection
US8928037B2 (en) * 2013-02-28 2015-01-06 Power Integrations, Inc. Heterostructure power transistor with AlSiN passivation layer
US20150235209A1 (en) 2014-02-19 2015-08-20 Bank Of America Corporation Location based transaction liability allocation

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102484067A (zh) * 2009-06-26 2012-05-30 康奈尔大学 包括铝-硅氮化物钝化的用于形成iii-v半导体结构的方法
US20120319169A1 (en) * 2011-06-20 2012-12-20 Imec Cmos compatible method for manufacturing a hemt device and the hemt device thereof
CN102723358A (zh) * 2012-05-30 2012-10-10 程凯 绝缘栅场效应晶体管及其制造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105720096A (zh) * 2013-12-27 2016-06-29 电力集成公司 高电子迁移率晶体管
CN105720096B (zh) * 2013-12-27 2021-06-11 电力集成公司 高电子迁移率晶体管
CN110023088A (zh) * 2017-01-31 2019-07-16 惠普发展公司,有限责任合伙企业 流体喷射装置中的原子层沉积氧化层

Also Published As

Publication number Publication date
KR102014328B1 (ko) 2019-08-27
JP6498865B2 (ja) 2019-04-10
JP2014170934A (ja) 2014-09-18
IN2014DE00384A (zh) 2015-06-12
CN104022148B (zh) 2020-03-20
EP2772940A2 (en) 2014-09-03
KR20190031455A (ko) 2019-03-26
US9761704B2 (en) 2017-09-12
KR20140108147A (ko) 2014-09-05
EP2772940B1 (en) 2020-10-14
US20140239309A1 (en) 2014-08-28
TW201442230A (zh) 2014-11-01
US10446676B2 (en) 2019-10-15
US8928037B2 (en) 2015-01-06
US20150076510A1 (en) 2015-03-19
TWI656644B (zh) 2019-04-11
EP2772940A3 (en) 2017-11-29
US20180026126A1 (en) 2018-01-25
KR101960031B1 (ko) 2019-07-04

Similar Documents

Publication Publication Date Title
KR102014328B1 (ko) AlSiN 패시베이션층을 갖는 헤테로-구조 전력 트랜지스터
US10157994B2 (en) High electron mobility transistor and method of forming the same
US9985103B2 (en) Method of forming high electron mobility transistor
CN103094335B (zh) 高电子迁移率晶体管及其形成方法
CN103681835B (zh) 具有多个栅极电介质层的异质结构晶体管
CN105938799B (zh) 半导体器件的制造方法和半导体器件
US8624296B1 (en) High electron mobility transistor including an embedded flourine region
US8748942B2 (en) High electron mobility transistor and method of forming the same
US10115813B2 (en) Semiconductor structure and method of forming the same
CN103000516B (zh) 形成半导体结构的方法
CN103050511B (zh) 半导体结构及形成半导体结构的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant