CN103219380A - 鳍式场效应晶体管及其形成方法 - Google Patents

鳍式场效应晶体管及其形成方法 Download PDF

Info

Publication number
CN103219380A
CN103219380A CN2012105458854A CN201210545885A CN103219380A CN 103219380 A CN103219380 A CN 103219380A CN 2012105458854 A CN2012105458854 A CN 2012105458854A CN 201210545885 A CN201210545885 A CN 201210545885A CN 103219380 A CN103219380 A CN 103219380A
Authority
CN
China
Prior art keywords
face
isolated area
semiconductor
gate electrode
sti district
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2012105458854A
Other languages
English (en)
Other versions
CN103219380B (zh
Inventor
莫亦先
陈筱筑
江木吉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN103219380A publication Critical patent/CN103219380A/zh
Application granted granted Critical
Publication of CN103219380B publication Critical patent/CN103219380B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本发明公开一种器件,所述器件包括半导体鳍状件,在半导体鳍状件的侧壁上的栅极介电层,在栅极介电层上的栅电极以及隔离区。隔离区包括在半导体鳍状件的一侧的第一部分,其中第一部分在部分栅电极下面并且与该部分栅电极对准。半导体鳍状件在隔离区的第一部分的第一顶面上方,隔离区进一步包括所述部分栅电极的相对侧的第二部分。隔离区的第二部分的第二顶面高于隔离区的第一顶面。本发明还公开了一种鳍式场效应晶体管及其形成方法。

Description

鳍式场效应晶体管及其形成方法
技术领域
本发明涉及半导体技术领域,更具体地,涉及一种鳍式场效应晶体管及其形成方法。
背景技术
随着日益缩减的集成电路尺寸以及日益增长的对集成电路速度的需求,晶体管需要具有较小尺寸以及较高的驱动电流。因此开发了鳍式场效应晶体管(FinFET)。FinFET晶体管具有增大的沟道宽度。通过形成包括鳍状件侧壁上的部分和鳍状件顶面上的部分的沟道实现了沟道宽度的增大。由于晶体管的驱动电流与沟道宽度成比例,因此增大了FinFET的驱动电流。
在现有FinFET形成工艺中,首先,在硅衬底中形成浅沟槽隔离(STI)区。然后,对STI区开槽以形成硅鳍状件,所述硅鳍状件包括在被开槽的STI区上方的硅衬底的部分。接着,形成栅极介电层、栅电极以及源极区和漏极区以完成FinFET的形成。在电熔丝和FinFET形成在同一硅衬底上的情况下,还可在形成栅极介电层和栅电极的同时形成电熔丝。
发明内容
为了解决现有技术中所存在的问题,根据本发明的一个方面,提供了一种器件,包括:
半导体鳍状件;
在所述半导体鳍状件的侧壁上的栅极介电层;
在所述栅极介电层上的栅电极;以及
隔离区,包括:
在所述半导体鳍状件的一侧的第一部分,其中所述第一部分在所述栅电极的部分下面并且与所述栅电极的所述部分对准,并且其中所述半导体鳍状件在所述隔离区的所述第一部分的第一顶面上;以及
在所述栅电极的所述部分的相对侧的第二部分,其中所述隔离区的所述第二部分的第二顶面高于所述隔离区的所述第一顶面。
在可选实施方式中,所述隔离区的所述第一部分和所述第二部分形成连续的隔离区。
在可选实施方式中,所述器件进一步包括连接至所述半导体鳍状件的端部的源极/漏极区,其中所述隔离区包括在所述源极/漏极区的相对侧的第三部分,并且其中所述隔离区的所述第三部分包括与所述隔离区的所述第二顶面齐平的顶面。
在可选实施方式中,所述栅电极包括低于所述隔离区的所述第二顶面的部分。
在可选实施方式中,所述器件进一步包括在所述隔离区上方的电熔丝,其中在所述电熔丝下面的所述隔离区的部分的顶面与所述隔离区的所述第二顶面大体齐平,并且其中所述电熔丝的整个底面基本上是平的。
在可选实施方式中,所述半导体鳍状件的顶面与所述隔离区的所述第二顶面大体齐平。
在可选实施方式中,所述栅极介电层和所述栅电极形成替换栅堆叠件,所述栅极介电层的顶边与所述栅电极的顶边齐平。
根据本发明的另一个方面,还提供了一种器件,包括:
半导体衬底;
延伸入所述半导体衬底的浅沟槽隔离(STI)区,其中所述STI区包括具有第一顶面的第一部分,以及具有第二顶面的第二部分,并且其中所述第一顶面低于所述第二顶面;
半导体鳍状件,具有低于所述STI区的所述第二顶面的底面,以及基本不高于所述STI区的所述第二顶面的顶面;以及
包括栅电极的鳍式场效应晶体管(FinFET),其中所述栅电极包括低于所述STI区的所述第二顶面的部分。
在可选实施方式中,所述STI区的所述第一顶面在所述栅电极的部分的下面并且与所述栅电极的所述部分对准。
在可选实施方式中,所述STI区的所述第二部分在所述栅电极的所述部分的一侧,并且其中所述STI区的所述第一部分和所述第二部分彼此连接从而形成连续的STI区。
在可选实施方式中,所述FinFET包括源极/漏极区,并且其中所述STI区包括在所述源极/漏极区的相对侧的第三部分,并且其中所述STI区的所述第三部分具有与所述STI区的所述第二顶面齐平的顶面。
在可选实施方式中,所述鳍状件的所述顶面与所述STI区的所述第二顶面大体齐平。
在可选实施方式中,所述FinFET包括在所述栅电极下面的栅极介电层,并且其中所述栅极介电层包括在所述STI区的所述第一顶面上方并且与所述第一顶面对准的水平部分。
在可选实施方式中,所述器件进一步包括在所述STI区上方并且与所述STI区对准的电熔丝,其中在所述电熔丝下面的所述STI区的部分的顶面与所述STI区的所述第二顶面大体齐平,并且其中所述电熔丝的整个底面基本上是平的。
根据本发明的又一个方面,还提供了一种方法,包括:
形成从半导体衬底的顶面延伸入所述半导体衬底的隔离区,其中所述隔离区具有第一顶面,并且其中在相邻两个所述隔离区之间的所述半导体衬底的部分形成半导体带;
在所述半导体带上方形成伪栅极带,其中所述伪栅极带的纵长方向垂直于所述半导体带的纵长方向;并且其中部分所述伪栅极带与部分所述半导体带对准;
去除所述伪栅极带;以及
在去除所述栅极带步骤之后,对在所述伪栅极带下面的所述隔离区的部分开槽以形成凹槽,其中所述隔离区的被开槽部分具有低于所述第一顶面的第二顶面,并且其中通过所述凹槽暴露的所述半导体带的部分形成在所述隔离区的所述第二顶面上方的半导体鳍状件。
在可选实施方式中,所述的方法进一步包括:在形成所述伪栅极带步骤之后和去除所述伪栅极带步骤之前,对所述半导体带的另外部分开槽以形成在所述隔离区的相邻部分之间的另外的凹槽;以及实施外延以形成外延的半导体区域,其中所述外延的半导体区域延伸入所述另外的凹槽。
在可选实施方式中,对所述隔离区的所述部分开槽的步骤包括各向异性蚀刻。
在可选实施方式中,所述方法进一步包括在对所述隔离区的所述部分开槽的步骤之前在所述伪栅极带的相对侧形成层间电介质(ILD)。
在可选实施方式中,所述方法进一步包括形成包括多晶硅带的电熔丝,其中在形成伪栅极带的步骤中形成所述多晶硅带。
在可选实施方式中,在所述电熔丝下面的所述隔离区的部分具有与所述第一顶面齐平的顶面。
附图说明
为了更完整理解实施方式及其优点,现将结合附图所进行的以下描述作为参考,其中:
图1至图9B是根据各种示例性实施方式的在FinFET制造的中间阶段的透视图和剖视图。
图10A和10B示出了根据示例性实施方式的电熔丝。
具体实施方式
下面详细讨论了公开的实施方式的制造和使用。然而,应当理解所述实施方式提供了可体现在各种各样具体情形中的很多可应用的发明概念。所讨论的具体实施方式仅是示例说明,并不限制本发明的范围。
根据各种实施方式提供了鳍式场效应晶体管(FinFET)、电熔丝以及它们的形成方法。示例了形成FinFET和电熔丝的中间阶段。讨论了实施方式的变形。贯穿各种视图和示例性实施方式,相似标号被用于指代相似元件。
图1至图9B是根据一些示例性实施方式的在制造鳍式场效应晶体管(FinFET)的中间阶段的剖视图和透视图。图1示出了初始结构的透视图。初始结构包括衬底20。衬底20可以是半导体衬底,衬底20还可以是硅衬底,锗衬底或者由其他半导体材料形成的衬底。衬底20可以掺杂p型或者n型杂质。隔离区例如浅沟槽隔离(STI)区22可以形成在衬底20中。在相邻STI区22之间的衬底20部分形成半导体带21。半导体带21的顶面和STI区22的顶面可大体上相互齐平。在一些示例性实施方式中,STI区22的顶面和半导体带21的顶面之间的高度差小于
Figure BDA00002592007300051
参照图2,沉积多晶硅层26。然后可以实施化学机械抛光(CMP)以平坦化多晶硅层26的顶面。然后,在多晶硅层26上方形成硬掩模层28。硬掩模层28可由诸如氮化硅形成,然而也可以使用其他材料(例如氧化硅)。
在图3中,硬掩模层28被图案化,并且硬掩模层28的剩余部分包括硬掩模图案28A和28B。为了图案化硬掩模层28,首先,可以形成和图案化光刻胶(未示出),并且将图案化的光刻胶用作蚀刻掩模以图案化硬掩模层28。然后去除图案化的光刻胶。
接着,也如图3中所示,硬掩模图案28A和28B用作蚀刻掩模以蚀刻下面的多晶硅层26。结果得到的多晶硅层26的剩余部分可包括多晶硅带26A和26B。多晶硅带26A在部分半导体带21上,并且可跨越一个或者多个半导体带21。多晶硅带26A还可具有与半导体带21的纵长方向大体垂直的纵长方向。多晶硅带26B在部分STI区22上,并且可不在任何半导体带21上方并且不与任何半导体带21对准。由于半导体带21的顶面和STI区22的顶面可以大体上相互齐平,因此多晶硅带26A和26B的底面大体上也可相互齐平。另外,多晶硅带26B的底面大体上为平面。
接着,如图4所示,在多晶硅带26A的侧壁上形成密封层30和栅极间隔件32。同时,密封层30和栅极间隔件32还形成在图3中所示的多晶硅带26B的侧壁上,尽管图4没有示出多晶硅带26B。注意到图4至图6仅示例了部分图3中示出的结构,其中示例了单硅带21。在一些实施方式中,密封层30由诸如氮化硅的介电材料形成,然而也可以使用其他介电材料,例如,氧化硅,氮氧化硅,碳化硅,它们的组合以及它们的多层。栅极间隔件32可以包括氧化硅,氮化硅,或类似物,并且可具有多层结构。
参照图5,实施蚀刻步骤以蚀刻半导体带21的没有被硬掩模图案28A和28B、密封层30以及栅极间隔件32覆盖的部分。从而,结果得到的被开槽的半导体带21的顶面21A低于STI区22的顶面22A。这样在STI区22之间形成凹槽。凹槽包括在多晶硅带26A的相对侧的部分。接着,如图6A中所示,通过在凹槽中选择性生长半导体材料形成外延区36。在一些示例性实施方式中,外延区36包括硅锗或者碳硅。可选地,外延区36由硅形成。在外延区36填充凹槽之后,进一步外延生长外延区36使得外延区36水平扩展,并且开始形成面。而且,由于外延区36的水平生长,使得STI区22的一些顶面22A在部分外延区36的下方以及在部分外延区36的上方。在外延步骤之后,外延区36可以被注入以形成源极区和漏极区,源极区和漏极区也用标号36指示。源极区和漏极区36在多晶硅带26A的相对侧,并且可以覆盖和重叠部分的STI区22表面22A。形成源极区和漏极区36之后,可以通过硅化外延区36的顶部形成源极和漏极硅化物区38。
图6B示出了与图6A中示出的步骤基本上相同的步骤,除了多个外延区36可以自STI区22之间的多个凹槽生长之外。外延区36包括在STI区22顶面之上水平生长的部分。横向扩展的部分可以相互结合从而形成大的外延区,该外延区可以被注入以形成大的源极区和漏极区36。然后可形成硅化物区38。
图7示出了层间电介质(ILD)40的形成。在一些实施方式中,ILD40可包括含碳氧化物或者其它介电材料。可以填充ILD直到其顶面高于多晶硅带26A的顶面,或者高于硬掩模图案28A和28B(图7中未示出,请参照图3和图4)。然后实施CMP以去除多余的ILD40。在一些实施方式中,多晶硅带26A和26B(图7中未示出,请参照图3)被用作CMP停止层,使得ILD40的顶面与多晶硅带26的顶面齐平。在可选的实施方式中,硬掩模图案28A和28B(图7中未示出,请参照图3)也可用作CMP停止层。在硬掩模图案28A和28B用作CMP停止层的实施方式中,在CMP之后,可实施蚀刻步骤以去除硬掩模图案28A。为了清楚地示出ILD40后面的结构,在图7和图8A中,将多晶硅带26A前面的ILD40、密封层30以及间隔件32绘制成透明部分。此外,外延区36和硅化物区38绘制在ILD40的旁边,然而外延区36和硅化物区38实际在ILD40下面。
接着,参照图8A,多晶硅26A在蚀刻步骤被去除,因此在相对的密封层30,栅极间隔件32和ILD区40之间形成凹槽44。因此,多晶硅带26A在整个说明书中还被称为伪多晶硅带。在去除伪多晶硅带26A之后,继续蚀刻以进一步蚀刻在所去除的虚拟多晶带26A下面的STI区22部分,使得凹槽44向下延伸入STI区22。蚀刻步骤可包括各向异性蚀刻,使得凹槽44的侧壁大体上是平直和垂直的,并且与密封层30的相对应侧壁对准(请参考图8C)。结果,STI区22具有由蚀刻步骤生成的顶面22B。顶面22B低于STI区22的顶面22A。在去除多晶硅带26A以及向下蚀刻STI区22期间,半导体带21的部分暴露在凹槽44中。暴露的半导体带21的部分没有被蚀刻。
图8B和8C示出了图8A中示出的结构的剖视图,其中图8B和8C分别是沿图8A中的剖面线8B-8B和8C-8C获得的剖视图。参照图8B,部分半导体带21在STI区22的顶面22B上方,这以后该部分半导体带21被称为半导体鳍状件48。半导体鳍状件48的顶面48A可与STI区22的顶面22A大体齐平,然而半导体鳍状件48的顶面48A也可以稍高于或者低于STI区22的顶面22A。由于顶面22A没有在图8B中示出的平面中,因此顶面22A使用虚线图示。
图8C还示出了STI区22的顶面22A和22B。在一些实施方式中,顶面22A和22B之间的高度差H(其也接近鳍状件48的鳍高度)可以在大约0.15μm和大约0.4μm之间。然而,可意识到在整个说明书中描述的尺寸仅是实例,并且可以变换成不同的数值。由于半导体鳍状件48没有在图8C中示出的平面中,因此半导体鳍状件48的顶面48A在图8C中使用虚线表示。
图9A和9B示出了栅极介电层50和电极52的形成。剖视图分别沿图8A中的相同剖面线8B-8B和8C-8C获得。首先,栅极介电层50形成在凹槽44中(图8A)以及半导体鳍状件48的顶面和侧壁上。根据一些实施方式,栅极介电层50包括氧化硅,氮化硅或者它们的多层。在可选的实施方式中,栅极介电层50包括高k介电材料,因此在整个说明书中可选地称为高k介电层50。高k介电层50可以具有大于大约7.0的k值,并且可以包括Hf,Al,Zr,La,Mg,Ba,Ti,Pb的金属氧化物或者硅化物,以及它们的组合。栅极介电层50的形成方法可以包括分子束沉积(MBD),原子层沉积(ALD),物理气相沉积(PVD)或类似方式。
接着,导电材料52形成在栅极介电层50上方,并且填满余下的凹槽44(图8A)。导电材料52可以包括含金属的材料,例如,TiN,TaN,TaC,Co,Ru,Al,它们的组合,以及它们的多层。导电材料52的功函数可以或者可以不是能带边缘功函数,这取决于各个FinFET是p型FinFET还是n型FinFET。在填充导电材料52之后,可以实施CMP以去除在ILD40顶面上方的栅极介电层50和导电材料52的多余部分。这样,最后得到的导电材料和栅极介电层50的剩余部分形成最后得到的FinFET60的替换栅极,其分别包括栅电极52和栅极介电层50。
参照图9B,由于替换栅极形成工艺,栅极介电层50的顶边50A与栅电极52的顶边52A齐平。在后续的工艺步骤中,附加的ILD(未示出)可以形成在ILD40上方,并且可以形成穿过附加的ILD以及ILD40以电连接栅电极52以及硅化物区38(图6A和图6B)的接触塞(未示出)。就此,FinFET60的形成结束。
注意到,在最后得到的FinFET60中,在同一栅电极52(图9B)的相对侧的STI区22的顶面22A高于位于栅电极52下面(并且与栅电极52对准)的顶面22B。这不同于传统的FinFET。在传统的FinFET中,在栅电极的相对侧的STI区22的顶面与位于栅电极下面(并且与栅电极对准)的部分STI区的顶面齐平。另外,在同一外延源极和漏极区36(图8A)的相对侧上的STI区22的顶面22A与如图8B中所示的半导体鳍状件48的顶面大体齐平。这也不同于现有的FinFET,其中在相同外延源极和漏极区的相对侧上的STI区的顶面低于各FinFET的各个半导体鳍状件。
图10A和10B示出了使用图1至图9B表示的工艺步骤形成的电熔丝70。图10A中的剖视图是沿图3中的剖面线10A-10A获得,除了图10A中的剖视图在FinFET60(图9A和图9B)形成后时得到之外。电熔丝70可以包括可以在图3中同一步骤形成的多晶硅带26B。在后续步骤中,硬掩模图案28B被去除。硅化物区72可以形成在多晶硅带26B上,例如,以形成图6A中硅化物区38的相同步骤。接触塞74形成在硅化物区72的相对端上方,并且电连接至该硅化物区72的相对端。可以通过在接触塞74之间施加电流和/或电压来程序化电熔丝70以烧毁硅化物区72的中间部分,从而从接触塞74测量到的阻抗从低阻抗增加为高阻抗。低阻抗和高阻抗表示电熔丝70的“0”和“1”状态。
图10B示出了图10A中示出的结构的剖视图。同样,剖视图沿图3中的剖面线10B-10B获得,除了剖视图在FinFET60和电熔丝70形成之后得到之外。示意性地示出了表面22A和22B的位置。从图10A和图10B,注意到电熔丝70形成在STI区22的顶面22A上。这之所以成为可能是由于在STI区22开槽(如图8A中所示)之前形成多晶硅带26B(如图3中所示)。相比较地,在传统的与FinFET形成合并的电熔丝形成工艺中,电熔丝形成在被开槽的STI区上。结果,与传统电熔丝形成工艺对比,在该实施方式中减少了电熔丝的高度。由于电熔丝高度的减少,根据实施方式的电熔丝阻抗因此增大
根据实施方式,一种器件包括半导体鳍状件,在半导体鳍状件的侧壁上的栅极介电层,在栅极介电层上方的栅电极,以及隔离区。隔离区包括在半导体鳍状件的一侧的第一部分,其中第一部分在部分栅电极下面并且与该部分栅电极对准。半导体鳍状件在隔离区的第一部分的第一顶面上方。隔离区还包括在所述部分栅电极的相对侧的第二部分。隔离区的第二部分的第二顶面高于隔离区的第一顶面。
根据其他实施方式,一种器件包括半导体衬底,以及延伸入半导体衬底的STI区。STI区包括具有第一顶面的第一部分,具有第二顶面的第二部分。第一顶面低于第二顶面。半导体鳍状件具有低于STI区的第二顶面的底面,以及实质上不高于STI区的第二顶面的顶面。一种FinFET包括栅电极,该栅电极包括低于STI区的第二顶面的一部分。
根据另外的其他实施方式,一种方法包括形成从半导体衬底的顶面延伸入半导体衬底的隔离区,其中隔离区具有第一顶面,并且在相邻两个隔离区之间的半导体衬底的部分形成半导体带。伪栅极带形成在半导体带上方,其中伪栅极带的纵长方向垂直于半导体带的纵长方向。伪栅极带的一部分与半导体带的一部分对准。然后,去除伪栅极带。在去除伪栅极带的步骤之后,对在伪栅极带下面的隔离区的部分开槽以形成凹槽。隔离区的被开槽部分具有低于第一顶面的第二顶面。通过凹槽暴露的半导体带的部分形成在隔离区的第二顶面上方的半导体鳍状件。
尽管已经详细地描述了本发明及其优势,但应该理解,可以在不背离所附权利要求限定的本发明主旨和范围的情况下,做各种不同的改变,替换和更改。而且,本申请的范围并不仅限于本说明书中描述的工艺、机器、制造、材料组分、装置、方法和步骤的特定实施例。作为本领域普通技术人员通过本公开内容将容易理解,现有的或今后开发的用于实现与在此描述的相应实施例基本相同的功能或获得基本相同结果的工艺、机器、制造,材料组分、装置、方法或步骤根据本发明可以被使用。因此,所附权利要求应该将这样的工艺、机器、制造、材料组分、装置、方法或步骤包括在它们的范围内。此外,每项权利要求构成单独的实施例,并且多个权利要求和实施例的组合在本发明的范围内。

Claims (10)

1.一种器件,包括:
半导体鳍状件;
在所述半导体鳍状件的侧壁上的栅极介电层;
在所述栅极介电层上的栅电极;以及
隔离区,包括:
在所述半导体鳍状件的一侧的第一部分,其中所述第一部分在所述栅电极的部分下面并且与所述栅电极的所述部分对准,并且其中所述半导体鳍状件在所述隔离区的所述第一部分的第一顶面上;以及
在所述栅电极的所述部分的相对侧的第二部分,其中所述隔离区的所述第二部分的第二顶面高于所述隔离区的所述第一顶面。
2.如权利要求1所述的器件,其中所述隔离区的所述第一部分和所述第二部分形成连续的隔离区。
3.如权利要求1所述的器件,进一步包括连接至所述半导体鳍状件的端部的源极/漏极区,其中所述隔离区包括在所述源极/漏极区的相对侧的第三部分,并且其中所述隔离区的所述第三部分包括与所述隔离区的所述第二顶面齐平的顶面。
4.如权利要求1所述的器件,其中所述栅电极包括低于所述隔离区的所述第二顶面的部分。
5.一种器件,包括:
半导体衬底;
延伸入所述半导体衬底的浅沟槽隔离(STI)区,其中所述STI区包括具有第一顶面的第一部分,以及具有第二顶面的第二部分,并且其中所述第一顶面低于所述第二顶面;
半导体鳍状件,具有低于所述STI区的所述第二顶面的底面,以及基本不高于所述STI区的所述第二顶面的顶面;以及
包括栅电极的鳍式场效应晶体管(FinFET),其中所述栅电极包括低于所述STI区的所述第二顶面的部分。
6.如权利要求5所述的器件,其中所述STI区的所述第一顶面在所述栅电极的部分的下面并且与所述栅电极的所述部分对准。
7.如权利要求6所述的器件,其中所述STI区的所述第二部分在所述栅电极的所述部分的一侧,并且其中所述STI区的所述第一部分和所述第二部分彼此连接从而形成连续的STI区。
8.一种方法,包括:
形成从半导体衬底的顶面延伸入所述半导体衬底的隔离区,其中所述隔离区具有第一顶面,并且其中在相邻两个所述隔离区之间的所述半导体衬底的部分形成半导体带;
在所述半导体带上方形成伪栅极带,其中所述伪栅极带的纵长方向垂直于所述半导体带的纵长方向;并且其中部分所述伪栅极带与部分所述半导体带对准;
去除所述伪栅极带;以及
在去除所述栅极带步骤之后,对在所述伪栅极带下面的所述隔离区的部分开槽以形成凹槽,其中所述隔离区的被开槽部分具有低于所述第一顶面的第二顶面,并且其中通过所述凹槽暴露的所述半导体带的部分形成在所述隔离区的所述第二顶面上方的半导体鳍状件。
9.如权利要求8所述的方法,进一步包括:
在形成所述伪栅极带步骤之后和去除所述伪栅极带步骤之前,对所述半导体带的另外部分开槽以形成在所述隔离区的相邻部分之间的另外的凹槽;以及
实施外延以形成外延的半导体区域,其中所述外延的半导体区域延伸入所述另外的凹槽。
10.如权利要求8所述的方法,其中对所述隔离区的所述部分开槽的步骤包括各向异性蚀刻。
CN201210545885.4A 2012-01-24 2012-12-14 鳍式场效应晶体管及其形成方法 Active CN103219380B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/356,769 US9466696B2 (en) 2012-01-24 2012-01-24 FinFETs and methods for forming the same
US13/356,769 2012-01-24

Publications (2)

Publication Number Publication Date
CN103219380A true CN103219380A (zh) 2013-07-24
CN103219380B CN103219380B (zh) 2016-05-04

Family

ID=48796533

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210545885.4A Active CN103219380B (zh) 2012-01-24 2012-12-14 鳍式场效应晶体管及其形成方法

Country Status (3)

Country Link
US (1) US9466696B2 (zh)
KR (1) KR20130086272A (zh)
CN (1) CN103219380B (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104952924A (zh) * 2014-03-28 2015-09-30 台湾积体电路制造股份有限公司 具有低源极/漏极接触电阻的FinFET
CN105047715A (zh) * 2014-04-30 2015-11-11 三星电子株式会社 半导体器件
CN105283961A (zh) * 2013-06-25 2016-01-27 英特尔公司 Cmos可兼容的多晶硅化物熔丝结构及其制造方法
CN106158969A (zh) * 2015-04-23 2016-11-23 三星电子株式会社 具有非对称源极/漏极的半导体器件
CN107481993A (zh) * 2016-06-08 2017-12-15 中芯国际集成电路制造(上海)有限公司 半导体结构的制造方法
CN109427669A (zh) * 2017-08-30 2019-03-05 台湾积体电路制造股份有限公司 减少半导体制造中接触件深度变化的方法
WO2022241667A1 (zh) * 2021-05-19 2022-11-24 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法与工作方法

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9281378B2 (en) 2012-01-24 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Fin recess last process for FinFET fabrication
US9171925B2 (en) 2012-01-24 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate devices with replaced-channels and methods for forming the same
US20130267073A1 (en) * 2012-04-08 2013-10-10 Huaxiang Yin Method of Manufacturing Fin Field Effect Transistor
US8703556B2 (en) * 2012-08-30 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US9349837B2 (en) 2012-11-09 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase Fin height in Fin-first process
US9443962B2 (en) 2012-11-09 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase fin height in fin-first process
US8936986B2 (en) * 2013-03-12 2015-01-20 Globalfoundries Inc. Methods of forming finfet devices with a shared gate structure
KR102017625B1 (ko) * 2013-05-10 2019-10-22 삼성전자주식회사 반도체 장치 및 그 제조방법
EP2866264A1 (en) * 2013-10-22 2015-04-29 IMEC vzw Method for manufacturing a field effect transistor of a non-planar type
WO2015099692A1 (en) 2013-12-23 2015-07-02 Intel Corporation Tensile source drain iii-v transistors for mobility improved n-mos
KR102155181B1 (ko) 2014-01-28 2020-09-11 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9590105B2 (en) * 2014-04-07 2017-03-07 National Chiao-Tung University Semiconductor device with metal alloy over fin, conductive layer over channel region of fin, and semiconductive layer over conductive layer and formation thereof
KR102158961B1 (ko) 2014-05-13 2020-09-24 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9490365B2 (en) * 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US9490346B2 (en) 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US9659827B2 (en) 2014-07-21 2017-05-23 Samsung Electronics Co., Ltd. Methods of manufacturing semiconductor devices by forming source/drain regions before gate electrode separation
KR102264656B1 (ko) 2014-10-17 2021-06-14 삼성전자주식회사 게이트 코어들 및 핀 액티브 코어를 포함하는 반도체 소자 및 그 제조 방법
US9490176B2 (en) 2014-10-17 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET isolation
KR20160072476A (ko) * 2014-12-15 2016-06-23 삼성전자주식회사 반도체 장치 및 이의 제조 방법
KR102262834B1 (ko) * 2014-12-24 2021-06-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9397162B1 (en) * 2014-12-29 2016-07-19 Globalfoundries Inc. FinFET conformal junction and abrupt junction with reduced damage method and device
US9991384B2 (en) 2015-01-15 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9899268B2 (en) 2015-03-11 2018-02-20 Globalfoundries Inc. Cap layer for spacer-constrained epitaxially grown material on fins of a FinFET device
KR102432268B1 (ko) 2015-04-14 2022-08-12 삼성전자주식회사 반도체 소자 및 그 제조 방법.
US9768276B2 (en) 2015-04-23 2017-09-19 International Business Machines Corporation Method and structure of forming FinFET electrical fuse structure
US10269968B2 (en) * 2015-06-03 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9831116B2 (en) 2015-09-15 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. FETS and methods of forming FETs
CN106571312B (zh) * 2015-10-13 2020-01-03 中芯国际集成电路制造(上海)有限公司 一种FinFET器件接触电阻的测量结构及测量方法、电子装置
US10177143B2 (en) 2015-10-28 2019-01-08 Taiwan Semiconductor Manufacturing Company Limited FinFET device and method for fabricating the same
US9601586B1 (en) 2015-11-02 2017-03-21 Samsung Electronics Co., Ltd. Methods of forming semiconductor devices, including forming a metal layer on source/drain regions
EP3437120B1 (en) * 2016-03-28 2020-11-18 INTEL Corporation Aligned pitch-quartered patterning for lithography edge placement error advanced rectification
US11088033B2 (en) 2016-09-08 2021-08-10 International Business Machines Corporation Low resistance source-drain contacts using high temperature silicides
DE102017126881B4 (de) * 2016-12-15 2024-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET-Strukturen und Verfahren zu ihrer Ausbildung
US11476349B2 (en) * 2016-12-15 2022-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US9972495B1 (en) * 2016-12-22 2018-05-15 Globalfoundries Inc. Low-K dielectric spacer for a gate cut
US11289483B2 (en) 2016-12-23 2022-03-29 Intel Corporation Metal fuse and self-aligned gate edge (SAGE) architecture having a metal fuse
US10354997B2 (en) 2017-04-28 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing semiconductor device with replacement gates
US10483372B2 (en) * 2017-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure with high plasma resistance for semiconductor devices
US10680084B2 (en) 2017-11-10 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial structures for fin-like field effect transistors
KR102492304B1 (ko) 2018-10-01 2023-01-27 삼성전자주식회사 반도체 소자
US11424245B2 (en) * 2019-03-06 2022-08-23 Intel Corporation Self-aligned gate endcap (SAGE) architecture having gate contacts
US20220059552A1 (en) * 2020-08-24 2022-02-24 Intel Corporation Integrated fuse in self-aligned gate endcap for finfet architectures and methods of fabrication

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6720619B1 (en) * 2002-12-13 2004-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating partially-depleted, fully-depleted, and multiple-gate devices
US20070102763A1 (en) * 2003-09-24 2007-05-10 Yee-Chia Yeo Multiple-gate transistors formed on bulk substrates
CN1992255A (zh) * 2005-12-27 2007-07-04 台湾积体电路制造股份有限公司 半导体结构、电熔线及其形成方法
CN101261991A (zh) * 2007-03-06 2008-09-10 台湾积体电路制造股份有限公司 半导体结构与芯片
CN101677085A (zh) * 2008-09-20 2010-03-24 台湾积体电路制造股份有限公司 在鳍式场效应晶体管器件中提高迁移率的金属栅应力膜

Family Cites Families (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100335999B1 (ko) 2000-07-25 2002-05-08 윤종용 자기정렬된 셸로우 트렌치 소자분리 방법 및 이를 이용한불휘발성 메모리 장치의 제조방법
JP2002151688A (ja) 2000-08-28 2002-05-24 Mitsubishi Electric Corp Mos型半導体装置およびその製造方法
JP2002100762A (ja) 2000-09-22 2002-04-05 Mitsubishi Electric Corp 半導体装置およびその製造方法
KR100471189B1 (ko) 2003-02-19 2005-03-10 삼성전자주식회사 수직채널을 갖는 전계효과 트랜지스터 및 그 제조방법
US7172943B2 (en) 2003-08-13 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors formed on bulk substrates
US7211864B2 (en) 2003-09-15 2007-05-01 Seliskar John J Fully-depleted castellated gate MOSFET device and method of manufacture thereof
US7714384B2 (en) 2003-09-15 2010-05-11 Seliskar John J Castellated gate MOSFET device capable of fully-depleted operation
KR100555518B1 (ko) 2003-09-16 2006-03-03 삼성전자주식회사 이중 게이트 전계 효과 트랜지스터 및 그 제조방법
KR101249555B1 (ko) 2003-11-10 2013-04-01 스태츠 칩팩, 엘티디. 범프-온-리드 플립 칩 인터커넥션
US6936516B1 (en) 2004-01-12 2005-08-30 Advanced Micro Devices, Inc. Replacement gate strained silicon finFET process
KR100598099B1 (ko) 2004-02-24 2006-07-07 삼성전자주식회사 다마신 게이트를 갖는 수직 채널 핀 전계효과 트랜지스터 및 그 제조방법
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
KR100528486B1 (ko) 2004-04-12 2005-11-15 삼성전자주식회사 불휘발성 메모리 소자 및 그 형성 방법
KR100625175B1 (ko) 2004-05-25 2006-09-20 삼성전자주식회사 채널층을 갖는 반도체 장치 및 이를 제조하는 방법
KR100612718B1 (ko) 2004-12-10 2006-08-17 경북대학교 산학협력단 안장형 플래시 메모리 소자 및 제조방법
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
KR100618893B1 (ko) 2005-04-14 2006-09-01 삼성전자주식회사 반도체 소자 및 그 제조방법
KR100621888B1 (ko) * 2005-07-19 2006-09-11 삼성전자주식회사 소자 분리막 형성 방법 및 이를 이용 핀형 전계 효과트랜지스터의 제조방법
JP2007035957A (ja) 2005-07-27 2007-02-08 Toshiba Corp 半導体装置とその製造方法
KR100763330B1 (ko) * 2005-12-14 2007-10-04 삼성전자주식회사 활성 핀들을 정의하는 소자분리 방법, 이를 이용하는반도체소자의 제조방법 및 이에 의해 제조된 반도체소자
JP4635897B2 (ja) 2006-02-15 2011-02-23 株式会社東芝 半導体装置及びその製造方法
JP2007242737A (ja) 2006-03-06 2007-09-20 Toshiba Corp 半導体装置
JP2007250665A (ja) 2006-03-14 2007-09-27 Toshiba Corp 半導体装置及びその製造方法
JP4271210B2 (ja) 2006-06-30 2009-06-03 株式会社東芝 電界効果トランジスタ、集積回路素子、及びそれらの製造方法
US7799592B2 (en) 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
US7544994B2 (en) 2006-11-06 2009-06-09 International Business Machines Corporation Semiconductor structure with multiple fins having different channel region heights and method of forming the semiconductor structure
US7943469B2 (en) 2006-11-28 2011-05-17 Intel Corporation Multi-component strain-inducing semiconductor regions
TW200847292A (en) * 2007-05-29 2008-12-01 Nanya Technology Corp Method of manufacturing a self-aligned FinFET device
US7812370B2 (en) 2007-07-25 2010-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Tunnel field-effect transistor with narrow band-gap channel and strong gate coupling
US7910994B2 (en) 2007-10-15 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for source/drain contact processing
JP4591525B2 (ja) 2008-03-12 2010-12-01 ソニー株式会社 半導体装置
JP5159413B2 (ja) 2008-04-24 2013-03-06 株式会社東芝 半導体装置及びその製造方法
US7700449B2 (en) 2008-06-20 2010-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Forming ESD diodes and BJTs using FinFET compatible processes
US8153493B2 (en) 2008-08-28 2012-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET process compatible native transistor
DE102008059500B4 (de) 2008-11-28 2010-08-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Mehr-Gatetransistors mit homogen silizidierten Stegendbereichen
US8120073B2 (en) 2008-12-31 2012-02-21 Intel Corporation Trigate transistor having extended metal gate electrode
US7893492B2 (en) 2009-02-17 2011-02-22 International Business Machines Corporation Nanowire mesh device and method of fabricating same
US8053299B2 (en) 2009-04-17 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabrication of a FinFET element
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
JP2011040458A (ja) 2009-08-07 2011-02-24 Renesas Electronics Corp 半導体装置およびその製造方法
US8653608B2 (en) 2009-10-27 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET design with reduced current crowding
US8530971B2 (en) 2009-11-12 2013-09-10 International Business Machines Corporation Borderless contacts for semiconductor devices
US8598003B2 (en) 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
US8211772B2 (en) 2009-12-23 2012-07-03 Intel Corporation Two-dimensional condensation for uniaxially strained semiconductor fins
US8313999B2 (en) 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain
CN102117829B (zh) 2009-12-30 2012-11-21 中国科学院微电子研究所 鳍式晶体管结构及其制作方法
US8557692B2 (en) 2010-01-12 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET LDD and source drain implant technique
US8395195B2 (en) 2010-02-09 2013-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Bottom-notched SiGe FinFET formation using condensation
US8263451B2 (en) 2010-02-26 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxy profile engineering for FinFETs
US8278179B2 (en) 2010-03-09 2012-10-02 Taiwan Semiconductor Manufacturing Co., Ltd. LDD epitaxy for FinFETs
US8466034B2 (en) 2010-03-29 2013-06-18 GlobalFoundries, Inc. Method of manufacturing a finned semiconductor device structure
DE102010029527B4 (de) 2010-05-31 2012-04-05 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung eines selbstjustierenden Transistors mit Mehrfachgate auf einem Vollsubstrat
US8278173B2 (en) 2010-06-30 2012-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating gate structures
US8558279B2 (en) 2010-09-23 2013-10-15 Intel Corporation Non-planar device having uniaxially strained semiconductor body and method of making same
US9166022B2 (en) 2010-10-18 2015-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8367498B2 (en) 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
DE102010064283B4 (de) 2010-12-28 2012-12-27 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zur Herstellung eines selbstjustierten Steg-Transistors auf einem Vollsubstrat durch eine späte Stegätzung
US8901676B2 (en) 2011-01-03 2014-12-02 International Business Machines Corporation Lateral extended drain metal oxide semiconductor field effect transistor (LEDMOSFET) having a high drain-to-body breakdown voltage (Vb), a method of forming an LEDMOSFET, and a silicon-controlled rectifier (SCR) incorporating a complementary pair of LEDMOSFETs
US8461634B2 (en) 2011-04-14 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Divot engineering for enhanced device performance
JP5325932B2 (ja) 2011-05-27 2013-10-23 株式会社東芝 半導体装置およびその製造方法
US9761666B2 (en) 2011-06-16 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel field effect transistor
US8994123B2 (en) 2011-08-22 2015-03-31 Gold Standard Simulations Ltd. Variation resistant metal-oxide-semiconductor field effect transistor (MOSFET)
US8624326B2 (en) 2011-10-20 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8735232B2 (en) * 2011-11-29 2014-05-27 GlobalFoundries, Inc. Methods for forming semiconductor devices
JP2013115272A (ja) 2011-11-29 2013-06-10 Toshiba Corp 半導体装置とその製造方法
US8759184B2 (en) 2012-01-09 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US9698229B2 (en) * 2012-01-17 2017-07-04 United Microelectronics Corp. Semiconductor structure and process thereof
US9171925B2 (en) 2012-01-24 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate devices with replaced-channels and methods for forming the same
US20130200459A1 (en) 2012-02-02 2013-08-08 International Business Machines Corporation Strained channel for depleted channel semiconductor devices
US8697523B2 (en) 2012-02-06 2014-04-15 International Business Machines Corporation Integration of SMT in replacement gate FINFET process flow
US20130200455A1 (en) 2012-02-08 2013-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Dislocation smt for finfet device
US8828813B2 (en) 2012-04-13 2014-09-09 Taiwan Semiconductor Manufacturing Co., Ltd. Replacement channels

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6720619B1 (en) * 2002-12-13 2004-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating partially-depleted, fully-depleted, and multiple-gate devices
US20070102763A1 (en) * 2003-09-24 2007-05-10 Yee-Chia Yeo Multiple-gate transistors formed on bulk substrates
CN1992255A (zh) * 2005-12-27 2007-07-04 台湾积体电路制造股份有限公司 半导体结构、电熔线及其形成方法
CN101261991A (zh) * 2007-03-06 2008-09-10 台湾积体电路制造股份有限公司 半导体结构与芯片
CN101677085A (zh) * 2008-09-20 2010-03-24 台湾积体电路制造股份有限公司 在鳍式场效应晶体管器件中提高迁移率的金属栅应力膜

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105283961A (zh) * 2013-06-25 2016-01-27 英特尔公司 Cmos可兼容的多晶硅化物熔丝结构及其制造方法
CN105283961B (zh) * 2013-06-25 2019-11-05 英特尔公司 Cmos可兼容的多晶硅化物熔丝结构及其制造方法
US10714597B2 (en) 2014-03-28 2020-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with low source/drain contact resistance
CN104952924A (zh) * 2014-03-28 2015-09-30 台湾积体电路制造股份有限公司 具有低源极/漏极接触电阻的FinFET
CN104952924B (zh) * 2014-03-28 2018-09-25 台湾积体电路制造股份有限公司 具有低源极/漏极接触电阻的FinFET
US10164064B2 (en) 2014-03-28 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with low source/drain contact resistance
US11695061B2 (en) 2014-03-28 2023-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with low source/drain contact resistance
US11271095B2 (en) 2014-03-28 2022-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with low source/drain contact resistance
CN105047715A (zh) * 2014-04-30 2015-11-11 三星电子株式会社 半导体器件
CN105047715B (zh) * 2014-04-30 2019-11-19 三星电子株式会社 半导体器件
CN106158969A (zh) * 2015-04-23 2016-11-23 三星电子株式会社 具有非对称源极/漏极的半导体器件
CN106158969B (zh) * 2015-04-23 2018-08-07 三星电子株式会社 具有非对称源极/漏极的半导体器件
CN107481993A (zh) * 2016-06-08 2017-12-15 中芯国际集成电路制造(上海)有限公司 半导体结构的制造方法
CN107481993B (zh) * 2016-06-08 2019-09-27 中芯国际集成电路制造(上海)有限公司 半导体结构的制造方法
CN109427669B (zh) * 2017-08-30 2020-10-30 台湾积体电路制造股份有限公司 减少半导体制造中接触件深度变化的方法
CN109427669A (zh) * 2017-08-30 2019-03-05 台湾积体电路制造股份有限公司 减少半导体制造中接触件深度变化的方法
WO2022241667A1 (zh) * 2021-05-19 2022-11-24 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法与工作方法

Also Published As

Publication number Publication date
US9466696B2 (en) 2016-10-11
CN103219380B (zh) 2016-05-04
US20130187206A1 (en) 2013-07-25
KR20130086272A (ko) 2013-08-01

Similar Documents

Publication Publication Date Title
CN103219380B (zh) 鳍式场效应晶体管及其形成方法
US11682697B2 (en) Fin recess last process for FinFET fabrication
CN103208517B (zh) 控制FinFET结构中的鳍状件高度
US20200295051A1 (en) Mechanisms for forming finfet device
US10157920B2 (en) Multi-fin device and method of making same
US10014223B2 (en) Multi-gate devices with replaced-channels and methods for forming the same
US9929269B2 (en) FinFET having an oxide region in the source/drain region
US10026727B2 (en) FinFET-based ESD devices and methods for forming the same
US9647066B2 (en) Dummy FinFET structure and method of making same
US8174073B2 (en) Integrated circuit structures with multiple FinFETs
CN101414632B (zh) 鳍式场效应晶体管
US8865560B2 (en) FinFET design with LDD extensions
US8546891B2 (en) Fin profile structure and method of making same
US10158020B2 (en) Method of forming a semiconductor device with multiple etch stop layers and inter-layer dielectrics
US9196540B2 (en) FinFET structure with novel edge fins
US20140306297A1 (en) Recessing sti to increase fin height in fin-first process
CN107527801A (zh) 半导体器件及其形成方法
US8227304B2 (en) Semiconductor-on-insulator (SOI) structure and method of forming the SOI structure using a bulk semiconductor starting wafer
US20180012992A1 (en) Semiconductor device and method of forming the same
CN104217948B (zh) 半导体制造方法
CN106549014A (zh) 一种半导体器件及其制造方法
CN107978599B (zh) 半导体结构及其形成方法、测量电容的方法
CN103985752A (zh) 半导体设置及其制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant