CN102832117B - 用于形成多结光生伏打结构的剥离方法和光生伏打器件 - Google Patents

用于形成多结光生伏打结构的剥离方法和光生伏打器件 Download PDF

Info

Publication number
CN102832117B
CN102832117B CN201210195820.1A CN201210195820A CN102832117B CN 102832117 B CN102832117 B CN 102832117B CN 201210195820 A CN201210195820 A CN 201210195820A CN 102832117 B CN102832117 B CN 102832117B
Authority
CN
China
Prior art keywords
germanium
layer
stress
tin alloy
alloy layers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201210195820.1A
Other languages
English (en)
Other versions
CN102832117A (zh
Inventor
S·W·贝德尔
D·A·萨达纳
D·沙杰迪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN102832117A publication Critical patent/CN102832117A/zh
Application granted granted Critical
Publication of CN102832117B publication Critical patent/CN102832117B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/072Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN heterojunction type
    • H01L31/074Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN heterojunction type comprising a heterojunction with an element of Group IV of the Periodic Table, e.g. ITO/Si, GaAs/Si or CdTe/Si solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02535Group 14 semiconducting materials including tin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76254Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/0304Inorganic materials including, apart from doping materials or other impurities, only AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/0312Inorganic materials including, apart from doping materials or other impurities, only AIVBIV compounds, e.g. SiC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/068Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells
    • H01L31/0687Multiple junction or tandem solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/068Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells
    • H01L31/0687Multiple junction or tandem solar cells
    • H01L31/06875Multiple junction or tandem solar cells inverted grown metamorphic [IMM] multiple junction solar cells, e.g. III-V compounds inverted metamorphic multi-junction cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/072Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN heterojunction type
    • H01L31/0725Multiple junction or tandem solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/072Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN heterojunction type
    • H01L31/0745Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN heterojunction type comprising a AIVBIV heterojunction, e.g. Si/Ge, SiGe/Si or Si/SiC solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/075Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PIN type, e.g. amorphous silicon PIN solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/075Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PIN type, e.g. amorphous silicon PIN solar cells
    • H01L31/076Multiple junction or tandem solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table
    • H01L31/1808Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table including only Ge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table
    • H01L31/1812Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table including only AIVBIV alloys, e.g. SiGe
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/184Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof the active layers comprising only AIIIBV compounds, e.g. GaAs, InP
    • H01L31/1852Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof the active layers comprising only AIIIBV compounds, e.g. GaAs, InP comprising a growth substrate not being an AIIIBV compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1892Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof methods involving the use of temporary, removable substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1892Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof methods involving the use of temporary, removable substrates
    • H01L31/1896Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof methods involving the use of temporary, removable substrates for thin-film semiconductors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/544Solar cells from Group III-V materials
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/548Amorphous silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Manufacturing & Machinery (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Energy (AREA)
  • Sustainable Development (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Photovoltaic Devices (AREA)

Abstract

本发明公开涉及用于形成多结光生伏打结构的剥离方法和光生伏打器件。具体地,提供了一种包括设置具有锗和锡合金层的锗基板的切割半导体材料的方法。应力体层被沉积于锗基板的表面上。来自应力体层的应力被施加到锗基板,其中,应力切割锗基板以提供切割表面。然后对于锗基板的锗和锡合金层选择锗基板的切割表面。在另一实施例中,锗和锡合金层可用作剥离方法中的断裂面。

Description

用于形成多结光生伏打结构的剥离方法和光生伏打器件
技术领域
本公开涉及光生伏打器件制造,并且尤其是,涉及用于控制通过利用剥离(spalling)从基板去除表面层的方法。
背景技术
光生伏打器件是将入射光子的能量转换成电动势(e.f.m.)的器件。典型的光生伏打器件包括被配置为将来自太阳的电磁辐射中的能量转换成电能的太阳能电池。包含化合物半导体的多结太阳能电池由于其高的效率和辐射稳定性因而可被用于空间中的发电。由于锗(Ge)的固有强(IR)的吸收性能,因此,主要在锗(Ge)基板上制造多结太阳能电池。锗(Ge)还包含可与III-V化合物半导体晶格匹配的晶体结构,这允许在锗(Ge)基板上集成III-V子电池。锗(Ge)基板会构成最终的太阳能电池的最终成本的近50%~70%。
在光生伏打工业中存在在使能量转换效率最大化的同时不断使用于制造太阳能电池的半导体材料的量最小化的趋势。与半导体材料的制造相关的高成本使给定光生伏打技术的以每瓦为度量的成本劣化。并且,可以认为,在器件水平上,无助于能量转换的昂贵的半导体材料是一种浪费。
发明内容
在一个实施例中,本公开提供了一种切割(cleave)诸如锗基板的半导体材料以提供光生伏电池的至少一个部件的方法。在一个实施例中,所述切割半导体材料的方法包括设置锗基板,其中,锗和锡合金层存在于锗基板内。可在存在于锗基板上的表面上沉积应力体层。来自应力体层的应力可被施加到锗基板,其中,应力切割锗基板以提供切割表面。锗和锡合金层存在于锗基板的上面形成有应力体层的表面与锗基板的切割表面之间。然后,可对于锗基板的锗和锡合金层选择性地进行锗基板的切割表面的蚀刻。在一些实施例中,上述的方法使用锗和锡合金层作为去除切割表面的蚀刻终止(etch stop),并且去除源自切割表面的锗基板中的厚度变化。
在另一实施例中,提供一种包括设置锗基板的切割半导体材料的方法,其中,锗和锡合金层存在于锗基板内。锗锡合金层可被弱化。可在存在于锗基板上的表面上沉积应力体层。来自应力体层的应力被施加到锗基板,其中,应力沿锗和锡合金层切割锗基板。在一些实施例中,上述的方法使用锗和锡合金层作为切割层以表示可以剥离锗基板的深度。
在另一方面,提供一种光生伏打器件,该光生伏打器件包括:具有第一导电性和1微米~10微米的厚度的锗层,其中,锗层在第一锗层的整个宽度上具有小于的厚度变化。半导体上存在于锗层上,其中,半导体层具有与第一导电性相反的第二导电性。
附图说明
结合附图将最好地理解以下的详细描述,其作为例子被给出而不是要将公开仅限于此,其中,类似的附图标记表示类似的要素和部分,其中:
图1是示出根据本公开的在形成光生伏打器件的方法的一个实施例中使用的包含存在于其中的锗和锡合金层的锗基板的侧面断面图。
图2A是示出根据本公开的一个实施例的直接向锗基板的表面沉积应力体层的侧面断面图。
图2B是示出根据本公开的一个实施例的在沉积应力体层之前在锗基板上沉积钝化层(passivation layer)、后表面场层、隧道层和/或III-V太阳能电池中的至少一个的侧面断面图。
图3A和图3B是示出根据本公开的一个实施例的从应力体层向锗基板施加应力的侧面断面图,其中,应力切割锗基板以提供切割表面。
图4A和图4B是示出根据本公开的一个实施例的对于锗和锡合金层10选择性地进行锗基板的切割表面的蚀刻的侧面断面图。
图5A和图5B是示出根据本公开的一个实施例的去除锗和锡合金层的侧面断面图。
图6A和图6B是示出根据本公开的一个实施例的在从应力体层向锗基板施加应力之前弱化锗和锡合金层的侧面断面图,其中,在本实施例中,锗和锡合金层提供断裂面。
图7A和图7B是示出根据本公开的一个实施例的从应力体层向在图6A和图6B中示出的锗基板施加应力的侧面断面图,其中,应力沿锗和锡合金层切割锗基板。
图8A和图8B是示出根据本公开的一个实施例的从图7A和图7B所示的结构去除锗和锡合金层的剩余部分的侧面断面图。
图9A和图9B是示出根据本公开的一个实施例的在源自图1~8B中示出的方法序列的锗基板的剩余部分上形成光生伏打器件的侧面断面图。
具体实施方式
这里公开了要求权利的结构和方法的详细实施例;但是,应当理解,公开的实施例仅是为了解释可以以各种形式体现的要求权利的结构和方法。另外,结合各种实施例给出的例子中的每一个意图是解释性的,而不是限制性的。此外,附图未必按比例,一些特征可被夸大以表示特定部件的细节。因此,这里公开的特定的结构和功能细节不被解释为限制,而仅被解释为教导本领域技术人员以使其以各种方式使用本公开的方法和结构的代表性基础。
说明书中提到“一个实施例”、“实施例”、“示例性实施例”等表示:所描述的实施例可包含特定的特征、结构或特性,但每个实施例可能未必包含该特定的特征、结构或特性。此外,这些短语未必指的是同一实施例。并且,当结合某一实施例描述特定的特征、结构或特性时,都认为它在本领域技术人员结合其它实施例实现这些特征、结构或特性的知识内,而不管是否被明确描述。
出于以下的描述的目的,由于术语“上”、“下”、“右”、“左”、“垂直”、“水平”、“顶部”、“底部”和它们的派生词是附图中的取向,因此它们应与本发明有关。术语“在…上”、“重叠”、“在…顶上”、“位于…上”或“位于…顶上”意味着诸如第一结构的第一要素存在于诸如第二结构的第二要素上,其中,可在第一要素和第二要素之间存在诸如界面结构的居间要素,例如,界面层。术语“直接在…上”、“直接接触”意味着诸如第一结构的第一要素和诸如第二结构的第二要素在两个要素的界面上没有任何中间的导电、绝缘或半导体层的情况下被连接。
本公开涉及在光生伏打器件的制造中使用剥离方法,其中,为了进一步控制裂纹起始、裂纹扩展以及增加诸如锗(Ge)基板的半导体层中的剥离深度的选择性,所公开的剥离方法在锗基板内引入锡和锗的合金层。如这里使用的那样,“光生伏打器件”是当暴露于诸如光的辐射时产生自由电子和/或电子空洞(即,空穴)并导致电流的产生的器件,诸如太阳能电池。光生伏打器件一般包含共享界面以提供异质结的p型导电性和n型导电性的各层。
用于光生伏打器件应用的更有效的材料中的一种是诸如砷化镓(GaAs)的III-V化合物半导体材料。通过层叠化合物半导体薄层以提供不同成分(和带隙)的PN结,可使得可由光生伏打器件捕获太阳能光谱的更宽部分,这导致更高的效率。可使用锗作为上面生长诸如III-V化合物半导体的化合物半导体层的基板。锗一般用作光生伏打器件的最底结,并且,由于其小的带隙,因此捕获太阳能光谱的更长波长部分。
锗单晶基板制造起来是能量密集的,并且是昂贵的。一旦在锗基板上形成了光生伏打电池的一部分,锗基板的被处理以提供光生伏打电池的部分就可被转印到便宜的基板上,其中,可随后在另一光生伏打电池的形成中使用锗基板的没有被处理的部分。剥离是一种用于切割锗基板的方法。剥离包含沉积可通过断裂来切割锗基板的沉积于锗基板的表面上的应力引起层。但是,已经确定,通过剥离在多结光生伏打器件的形成中从锗基板转印锗层不利地导致转印的锗层的厚度变化。例如,包含锗的基板的转印部分的厚度变化可以为几微米的量级。由于为了得到最佳效率由每个电池产生的电流应相同,因此锗基板的转印部分的厚度变化不利地影响提供光生伏打器件的整个多结叠层(multi-junction stack)的电流匹配。电流将随着残留锗层厚度改变,电池的总效率将类似地改变。本公开提供包括具有可控制并且均匀的厚度的锗层(即,锗基板的转印部分)的多结光生伏打器件结构的制造方法。更特别地,并且,在一个实施例中,这里公开的方法使用锗和锡合金层,即二元GsSn合金层或硅、锗和锡合金层即三元SiGeSn合金层,以限定残留锗层(锗基板的转印部分)的最终厚度。
图1~5B示出本公开的一个实施例,其中使用锗和锡合金层和/或硅锗和锡的层作为蚀刻终止以限定剥离之后的残留锗厚度。图1示出包含锗和锡合金层10的锗基板1。在一个实施例中,锗基板1可包含从底部到顶部包含第一锗层5、存在于第一锗层5上的锗和锡合金层10和存在于锗和锡合金层10上的第二锗层15的材料叠层。
在一个实施例中,第一锗层5可具有大于95原子%(即,at.%)的锗含量。在另一实施例中,第一锗层5可具有大于99at.%的锗含量。在一个示例中,第一锗层5可具有为100at.%的锗含量。可通过使用单晶(单晶体)方法形成第一锗层5。用于形成提供锗基板的第一锗层5的单晶方法的一个例子是Czochralski(CZ)方法。Czochralski(CZ)方法包括取得单晶锗的晶种并使其与熔融的锗的顶面接触。随着晶种缓慢地上升(或被提拉),熔融的锗的原子以晶种的模式凝固并且扩展单晶结构。单晶结构然后被锯成可提供第一锗层5的晶片,即基板。
第一锗层5可被掺杂成n型或p型导电性,并且可以为本征半导体层。“本征半导体层”是基本上纯的半导体材料层,即没有掺杂n型或p型掺杂剂的本征半导体层。如这里使用的那样,“p型”指的是向本征半导体添加产生价电子空缺(即空穴)的杂质。如这里使用的那样,“n型”指的是向本征半导体添加有助于自由电子的杂质。第一锗层5的厚度可以为50μm~10cm。在另一实施例中,第一锗层5的厚度可以为80μm~1mm。
注意,第一锗层5的以上厚度仅出于解释的目的被提供,并且,不是要限制本公开,例如,只要第一锗层5的厚度使得第一锗层5的至少残留部分在剥离之后残留使得在第一锗层5的切割表面与随后形成的第二锗层15之间存在随后形成的锗和锡合金层10,就可使用第一锗层5的其它厚度。
提供蚀刻终止层并且可包含光生伏打器件的底部电池的一部分的锗和锡合金层10可以是直接沉积于第一锗层5的表面上的外延形成层。术语“外延形成”、“外延生长”和/或“外延沉积”意味着在半导体材料的沉积表面上生长半导体材料,其中,生长的半导体材料具有与沉积表面的半导体材料相同的结晶特性。因此,在第一锗层5具有单晶晶体结构的实施例中,外延生长的锗和锡合金层10也具有单晶晶体结构。并且,在第一锗层5具有多晶结构的实施例中,外延生长的锗和锡合金层10也具有多晶结构。
锗和锡合金层10可由0.5at.%~20at.%的锡和余量的锗组成。在另一实施例中,锗和锡合金层10可由5at.%~20at.%的锡和80at.%~95at.%的锗组成。在另一实施例中,锗和锡合金层10可由10at.%~15at.%的锡和85at.%~90at.%的锗组成。注意,以上的浓度仅出于解释性的目的被提供,并且不是要限制本公开。例如,只要锡的浓度足够大使得可对于锗和锡合金层10选择性地进行第一锗层5的蚀刻,就可使用锡的其它浓度。
在另一实施例中,锗和锡合金层10还可包含硅,其中,硅被引入以抵消由锡引入的应力。更具体地,锡增加外延生长的锗和锡合金层10的晶格尺寸,使得它比第一锗层5的晶格尺寸大。因此,随着锗和锡合金层10中的锡浓度的增加,存在于锗和锡合金层10中的压缩应力增加。在锗和锡合金层10中引入应力会导致缺陷形成。为了减少压缩应变(compressive strain),可向锗和锡合金层10引入硅以减小外延生长的锗和锡合金层10的晶格尺寸。更具体地,在一个实施例中,锗和锡合金层10可由0.5at.%~20at.%的锡、少于50at.%的硅和余量的锗组成。在另一实施例中,锗和锡合金层10可由分别为0at.%~10at.%的锡、0at.%~38at.%的硅和100at.%~52at.%的锗组成。如果Si:Sn的摩尔比在Ge(SiSn)合金中为约3.8,那么晶格参数将与块状Ge(bulk Ge)相同。因此,可在Ge基板上无应变地生长这些合金。
注意,锗和锡合金层10的以上成分仅出于解释的目的被提供,并且不是要限制本公开。例如,只要锡的浓度足够大使得可对于锗和锡合金层10选择性地进行第一锗层5的材料的蚀刻,就可使用锡的其它浓度。
在一个实施例中,可通过使用分子束外延(MBE)沉积来沉积锗和锡合金层10。例如,用于锗和锡合金层10的MBE沉积的锡和锗源可包含锡和锗的固体源材料。在锗和锡合金层10还包含硅的实施例中,用于锗和锡合金层的MBE沉积的源可包含锡、锗和硅的固体源材料。
在10-8Pa的量级的真空中进行分子束外延(MBE)。在固体源MBE中,诸如锡、锗和硅(任选)的固体源材料在单独的准渗出(quasi-effusion)电池中被加热,直到它们开始缓慢升华。气体元素然后在沉积表面,即第一锗层5,上凝结,在这里它们可相互反应。术语“束”表示:由于原子的长的平均自由程,因此蒸发原子在到达沉积表面之前不相互或与真空室气体交互作用。
在另一实施例中,可通过使用化学气相沉积来沉积锗和锡合金层10。化学气相沉积(CVD)是作为气体反应物与室温或更高温度下的基板表面之间的化学反应的结果而形成沉积物质从而导致在基板表面上沉积膜的沉积过程。CVD处理的变化包括但不限于大气压力CVD(APCVD)、低压力CVD(LPCVD)、等离子增强CVD(PECVD)、金属有机CVD(MOCVD)、超高真空CVD(UHV-CVD)和它们的组合。用于沉积含有锗的硅层3的处理的其它例子包括原子层沉积(ALD)、蒸镀、化学溶液沉积和其它类型的沉积处理。
可通过CVD对于锗和锡合金层10的沉积使用大量的不同源。在一些实施例中,用于通过CVD外延生长锗和锡合金层10的源气体包含诸如锗烷(germane)气体(GeH4)的锗源气体和诸如锡烷(SnH4)、Stannane-d4(SnD4)或它们的组合的锡源气体。在除了锗和锡以外锗和锡合金层10包括硅的实施例中,用于沉积锗和锡合金层10的源气体包含诸如锗烷气体(GeH4)的锗源气体、诸如锡烷(SnH4)、Stannane-d4(SnD4)或它们的组合的锡源气体和诸如四氯化硅、二氯甲硅烷(SiH2Cl2)、硅烷(SiH4)和诸如乙硅烷(Si2H6)和丙硅烷(Si3H8)的高次硅烷的硅源气体。用于外延沉积的温度一般为300℃~800℃。尽管由于Sn在Ge或GeSi晶格中的低溶度因此低温生长一般是有利的。
锗和锡合金层10可被掺杂为n型或p型导电性,或者可以是本征半导体层。提供锗和锡合金层10的导电类型的掺杂剂可被原位沉积。术语“导电类型”表示p型或n型掺杂剂。“原位”意味着在正在形成或沉积材料层时引入提供材料层的导电类型的掺杂剂。在一个实施例中,当锗和锡合金层10被掺杂成p型导电性时,在CVD处理中使用的源气体还可包含p型掺杂剂源。例如,乙硼烷(B2H6)气体可与用于锡、锗和任选的硅的源气体同时地被引入到处理室中。在一个实施例中,当锗和锡合金层被掺杂为n型导电性时,在CVD处理中使用的源气体还可包含n型掺杂剂源。例如,可以与锡、锗和任选的硅的源气体同时地将磷化氢(PH3)气体或砷化三氢(AsH3)气体引入到处理室内。
也可通过使用等离子掺杂、离子注入和/或从一次性扩散源(例如,硼硅酸盐玻璃)的向外扩散中的至少一种,在锗和锡合金层10的沉积之后引入用于锗和锡合金层10的p型和/或n型掺杂剂。
锗和锡合金层10的厚度可以为1nm~500nm。在另一实施例中,锗和锡合金层10的厚度可以为5nm~50nm。注意,锗和锡合金层10的以上厚度仅出于解释的目的被提供,并且,不是要限制本公开。例如,只要锗和锡合金层10的厚度足够大使得锗和锡合金层10可在用于在切割表面4的蚀刻之后去除第一锗层5的剩余部分的蚀刻处理中用作蚀刻终止,就可使用锗和锡合金层10的其它厚度。
当被用于光生伏打器件的下部电池中并被掺杂为p型导电性时,锗和锡合金层10中的p型掺杂剂的浓度为5×1017原子/cm3~5×1020原子/cm3。当被用于光生伏打器件的下部电池中并被掺杂成n型导电性时,锗和锡合金层10中的n型掺杂剂的浓度为5×1017原子/cm3~1×1020原子/cm3
仍然参照图1,并且,在一个实施例中,在锗基板1的锗和锡合金层10上存在第二锗层15。在一个实施例中,第二锗层15可具有大于95at.%的锗含量。在另一实施例中,第二锗层15可具有比99at.%大的锗含量。在一个例子中,第二锗层15可具有100%的锗含量。可通过使用诸如CVD的沉积处理在锗和锡合金层10上沉积第二锗层15。在一个实施例中,用于形成第二锗层15的CVD处理选自包括大气压力CVD(APCVD)、低压力CVD(LPCVD)、等离子增强CVD(PECVD)、金属有机CVD(MOCVD)、超高真空CVD(UHV-CVD)和它们的组合的组。在一个实施例中,第二锗层15可以是外延沉积层,其中外延沉积处理的锗源包含锗烷(GeH4)气体。还可设想,第二锗层15还可包含Sn和Si以提高光生伏打器件的性能(例如,与纯Ge相比,增加更长波长上的吸收)。
与锗和锡合金层10类似,第二锗层15可被掺杂成p型导电性或n型导电性。可在提供第二锗层15的形成处理中原位引入提供第二锗层15的导电类型的掺杂剂,或者可在沉积第二锗层15之后通过使用离子注入或等离子掺杂引入提供第二锗层15的导电类型的掺杂剂。第二锗层15的厚度可以为100nm~15μm。在另一实施例中,第二锗层15的厚度可以为500nm~10μm。在一些实施例中,第二锗层15可用作光生伏打器件的底部电池的部件。
图2A示出直接在锗基板1的表面上(例如,直接在第二锗层15的表面上)沉积应力体层20的一个实施例。图2B示出在存在于锗基板1上(例如,存在于第二锗层15上)的后表面材料层14上沉积应力体层20的一个实施例。后表面材料层14可以是单材料层或多层材料层。在一个实施例中,提供锗基板1的表面的后表面材料层14可以是后表面场层、钝化层、隧道层、后太阳能电池结或它们的组合中的至少一个。可通过后表面场层、钝化层、隧道层和后太阳能电池的任意组合提供后表面材料层14。
参照图2B,可通过在第二锗层15的表面上形成的后表面场区域提供后表面材料层14。“后表面场(BSF)区域”是具有比第二锗层15高的掺杂剂浓度的掺杂区域。后表面场区域和第二锗层15一般具有相同的导电类型,例如,p型或n型导电性。高度掺杂的后表面场(BSF)区域与具有比后表面场(BSF)区域低的掺杂剂浓度的第二锗层15之间的界面的行为类似于p-n结,并且,在对于少数载流子向后表面的流动引入势垒的界面处形成电场。少数载流子浓度由此在第二锗层15中保持在更高的水平上,并且,后表面场(BSF)区域具有钝化太阳能电池的后表面的净效果。
仍然参照图2B,后表面材料层14也可包含可存在于第二锗层15的后表面场区域上的钝化层。在另一实施例中,可以省略后表面材料层,其中,后表面材料层14是由钝化层提供的单材料层。钝化层是在提供随后形成的光生伏打器件的下部电池的第二锗层15的后表面上形成的材料层,其中,钝化层降低光生伏打器件的底部电池的后表面上的悬空键(dangling bond)的浓度。在一个实施例中,钝化层由氢化非晶硅(a-Si:H)组成。一般地,氢化非晶硅是本征半导体层。通过PECVD沉积包含氢化非晶硅的材料包括至少一种含有半导体材料的反应剂气体和至少一种含氢反应剂气体。在一个实施例中,用于产生含有氢化非晶硅的材料的包含半导体材料的反应剂气体包含至少一个硅原子。例如,为了提供氢化非晶硅的硅成分,包含半导体材料的反应剂气体可包含SiH4、Si2H6、SiH2Cl2、SiHCl3和SiCl4中的至少一种。用于通过PECVD沉积含有氢化非晶硅的材料的含氢反应剂气体可以是氢气(H2)。
仍然参照图2B,后表面材料层14还可包含可在钝化层上形成的后太阳能电池结。在另一实施例中,可以省略后表面场层和钝化层,其中,可通过在第二锗层15的露出表面上形成的至少一个后太阳能电池结提供后表面材料层14。后太阳能电池结可由任意数量的p/n结组成,并且可由任意数量的材料组成。在一个实施例中,提供后太阳能电池结的材料层可以是化合物半导体材料。适于后太阳能电池结的化合物半导体材料的例子包括但不限于锑化铝(AlSb)、砷化铝(AlAs)、氮化铝(AlN)、磷化铝(AlP)、氮化硼(BN)、磷化硼(BP)、砷化硼(BAs)、砷化镓(GaAs)、磷化镓(GaP)、锑化铟(InSb)、砷化铟(InAs)、氮化铟(InN)、磷化铟(InP)、砷化铝镓(AlGaAs)、磷化铟镓(InGaP)、砷化铝铟(AlInAs)、锑化铝铟(AlInSb)、氮化镓砷(GaAsN)、锑化镓砷(GaAsSb)、氮化铝镓(AlGaN)、磷化铝镓(AlGaP)、氮化铟镓(InGaN)、锑化铟砷(InAsSb)、锑化铟镓(InGaSb)、磷化铝镓铟(AlGaInP)、磷化铝镓砷(AlGaAsP)、磷化铟镓砷(InGaAsP)、磷化铟砷锑(InArSbP)、磷化铝铟砷(AlInAsP)、氮化铝镓砷(AlGaAsN)、氮化铟镓砷(InGaAsN)、氮化铟铝砷(InAlAsN)、氮化镓砷锑(GaAsSbN)、氮化砷化锑化镓铟(gallium indium nitride arsenide aluminum antimonide,GaInNAsSb)、砷化锑化磷化镓铟(gallium indium arsenideantimonide phosphide,GaInAsSbP)和它们的组合。
如上所述,并且,如图2A和图2B所示,可如图2A所示的那样直接在锗基板1的表面上或者可如图2B所示的那样在存在于锗基板1上的后表面材料层14上沉积应力体层20。在一个实施例中,应力体层20由含有金属的层、聚合物层、粘接带或它们的组合组成。在本公开的一些实施例中,可在进一步的处理之前清洗上面沉积有应力体层20的表面,以从中去除表面氧化物和/或其它的污染物。在本公开的一个实施例中,可通过施加诸如例如丙酮和异丙醇的溶剂,清洗上面沉积有应力体层20的表面。
在一个实施例中,在形成应力体层20之前,如图2A所示的那样在锗基板1的表面上或者如2B所示的那样在后表面材料层14的表面上形成任选的含有金属的粘接剂层16。在应力体层20对于上面要形成应力体层20的表面具有较差的粘接性的实施例中,使用任选的含有金属的粘接剂层16。一般地,当使用包含金属的应力体层20时,使用含有金属的粘接剂层16。
在本公开中使用的任选的含有金属的粘接剂层16包含诸如但不限于Ti/W、Ti、Cr、Ni或它们的任意组合的任何金属粘接剂材料。任选的含有金属的粘接剂层16可包含单层,或者它可包含含有不同的金属粘接剂材料的至少两个层的多层结构。
可在室温(15℃~40℃)或更高的温度下形成含有金属的粘接剂层16。在一个实施例中,在20℃~180℃的温度下形成任选的含有金属的粘接剂层16。在另一实施例中,在20℃~60℃的温度下形成任选的含有金属的粘接剂层16。
可通过利用沉积技术形成可任选地使用的含有金属的粘接剂层16。例如,可通过溅射、化学气相沉积、等离子增强化学气相沉积、化学溶液沉积、物理气相沉积和电镀,形成任选的含有金属的粘接剂层16。当使用溅射沉积时,在沉积之前,溅射沉积处理还可包含原位溅射清洗处理。
在被使用时,任选的含有金属的粘接剂层16一般具有5nm~200nm的厚度,100nm~150nm的厚度是更典型的。在本公开中,也可使用低于和/或高于上述厚度范围的任选的含有金属的粘接剂层16的其它厚度。
在一些实施例中,在任选的含有金属的粘接剂层16的露出表面上形成应力体层20。在不存在任选的含有金属的粘接剂层16的一些实施例中,直接在锗基板1上或者直接在存在于锗基板1上的后表面材料层14上形成应力体层20。这些特定的实施例在附图中没有被示出,但是可很容易地从在本申请中示出的附图被导出。
在一个实施例中,在本公开中使用的应力体层20可包含在剥离温度下在锗基板10上处于拉伸应力下的任何材料。当在锗基板1的顶部施加时处于拉伸应力下的这些材料的解释性例子包含但不限于金属、诸如引起剥离的带子层的聚合物或它们的组合。可以使用可包含单个应力体层的应力体层20或包含不同的应力体材料的至少两个层的多层应力体结构。
在一个实施例中,应力体层20是金属,并且,在任选的含有金属的粘接剂层16的上表面上形成金属。在另一实施例中,应力体层20是引起剥离的带子,并且,可如图2A所示的那样直接向锗基板1的表面施加引起剥离的带子,或者可如图2B所示的那样直接向后表面材料层14施加它。在另一实施例中,例如,应力体层20可包含含有下部和上部的两部分应力体层。两部分应力体层的上部可由引起剥离的带子层构成。
当使用金属作为应力体层20时,金属可包含例如Ni、Ti、Cr、Fe或W。也可使用这些和其它金属的合金。在一个实施例中,应力体层20包含至少一个含有Ni的层。当使用聚合物作为应力体层20时,聚合物是由重复结构单元构成的大的高分子。这些子单元一般被共价化学键连接。可被用作应力体层20的聚合物的解释性例子包含但不限于聚酰亚胺(polyimide)、聚酯(polyester)、聚烯烃(polyolefin)、聚丙烯酸酯(polyacrylate)、聚亚安酯(polyurethane)、聚乙烯醋酸酯(polyvinylacetate)和聚氯乙烯(polyvinyl chloride)。
当使用引起剥离的带子层作为应力体层20时,引起剥离的带子层包含在第一温度下具有柔软性并且没有应力的任何压力敏感带,其用于形成在基底基板的上部的去除中使用的在第二温度下仍然具有强度、韧性和伸展性的带子。“压力敏感带”意味着通过压力的施加而不需要溶剂、加热或水激活来粘贴的粘接带。带子中的拉伸应力主要是由于锗基板1的第一锗层15(具有低的热膨胀系数)与带子(具有高的热膨胀系数)之间的热膨胀不匹配。
一般地,在本公开中用作应力体层20的压力敏感带至少包含粘接层和基层。用于压力敏感带的粘接层和基层的材料包含具有或没有适当增塑剂的诸如例如丙烯酸树脂(acrylics)、聚酯(polyester)、烯烃(olefin)和聚乙烯(vinyl)的聚合物材料。增塑剂是可增加添加它们的聚合物材料的可塑性的添加剂。
在一个实施例中,在室温(15℃~40℃)下形成在本公开中使用的应力体层20。在另一实施例中,当使用带子层时,可以在15℃~60℃的温度下形成带子层。
当应力体层20是金属或聚合物时,可通过使用本领域技术人员公知的沉积技术形成应力体层20,这些沉积技术包括例如浸渍涂敷、旋转涂敷、刷涂、溅射、化学气相沉积、等离子增强化学气相沉积、化学溶液沉积、物理气相沉积和电镀。
当应力体层20是引起剥离的带子层时,可通过手或通过机械手段向结构施加带子层。可通过利用本领域技术人员公知的技术形成引起剥离的带子,或者可在商业上从任何公知的粘接剂带子制造商购买它们。可在本公开中用作应力体层20的引起剥离的带子的一些例子包括例如Nitto Denko 3193MS热释放带、Kapton KPT-1、和DiversifiedBoitech的CLEAR-170(丙烯酸粘接剂,乙烯基)。
在一个实施例中,可如图2A所示的那样直接在锗基板1的表面上形成两部分应力体层20,或者如图2B所示的那样直接在后表面材料层14的表面上形成它,其中,两部分应力体层20的下部在处于室温下或稍高(例如,15℃~60℃)的第一温度下形成,其中,两部分应力体层20的上部在处于室温下的辅助温度下包含引起剥离的带子层。
如果应力体层20具有金属性质,那么它一般具有3μm~50μm的厚度,4μm~8μm的厚度是更典型的。在本公开中,也可使用低于/高于上述厚度范围的应力体层20的其它厚度。
如果应力体层20具有聚合物性质,那么它一般具有10μm~200μm的厚度,50μm~100μm的厚度是更典型的。在本公开中,也可使用低于和/或高于上述厚度范围的应力体层20的其它厚度。
仍然参照图2A和图2B,可在应力体层20的顶上形成任选的操作基板25。在本公开中使用的任选的操作基板25包含具有小于30cm的最小曲率半径的任何柔性材料。可用作任选的操作基板25的柔性材料的解释性例子包含金属箔或聚酰亚胺箔。
任选的操作基板25可被用于在锗基板1的剥离部分的操作中提供更好的断裂控制和更好的多功能性。并且,任选的操作基板25可被用于在本公开的剥离过程中引导裂纹扩展。本公开的任选的操作基板25一般但未必必需在室温(15℃~40℃)下形成。可通过利用本领域技术人员公知的沉积技术形成任选的操作基板25,这些沉积技术包括例如浸渍涂敷、旋转涂敷、刷涂、溅射、化学气相沉积、等离子增强化学气相沉积、化学溶液沉积、物理气相沉积、电镀、机械粘接、热压缩接合或焊接。任选的操作基板25一般具有1μm到几mm的厚度,70μm~120μm的厚度是更典型的。在本公开中,也可使用低于和/或高于上述厚度范围的任选的操作基板25的其它厚度。
图3A和图3B示出从应力体层20向锗基板1施加应力的情况,其中,应力切割锗基板1,以在第一锗层的剩余部分5a上提供切割表面4。图3A示出从直接沉积于锗基板1上的应力体层20向锗基板1施加应力的情况。图3B示出从沉积于存在于锗基板1上的后表面材料层14上的应力体层20向锗基板1施加应力的情况。锗和锡合金层10存在于在上面形成有应力体层20的表面与锗基板1的切割表面4之间。在图3A和图3B中,附图标记5a表示附着于应力体层20上的第一锗层5的剩余部分,而附图标记5b表示被去除的第一锗层5的剥离部分。
导致锗基板1剥离的条件与应力体层20厚度值和应力体层20的应力值的组合以及锗基板1的机械属性有关。在给定的应力体层20厚度值上,将存在这样一种应力值,即,在高于该应力值时,将自发地出现剥离。类似地,在给定的应力体层20应力值上,将存在这样一种厚度值,即,在大于该厚度值时,将自发地出现剥离。
对于应力体层20基本上由拉伸应力Ni构成的情况剥离变得可能的应力体层20厚度值的适当指导由关系式t*=[(2.5x106)(KIC 3/2)]/σ2给出,这里,t*是受控的剥离变得可能的应力体层20的厚度值(单位是微米),K1C是锗基板1的断裂韧度值(单位是MPa*m1/2),例如,第一锗层5的断裂韧度值,而σ是应力体层20中的应力值的大小(单位是MPa或兆帕)。如果拉伸应力层20厚度比由t*给出的值大大约50%,那么会出现自发的剥离。另一方面,应力体层20的厚度可以为约1μm~约50μm或约3μm~30μm或约4μm~约20μm厚的任意值。
应力体层20的选择不必如现有技术那样为了促进自发剥离而基于应力体层20的热膨胀系数与锗基板1的热膨胀系数之间的差值,在现有技术中,通过将结构从高温(约900℃)冷却到较低的温度实现剥离。本公开不依赖地自发剥离,而是依赖于机械力的使用和基本上室温(约20℃)下的受控断裂,以使各单独的一层或多个层与锗基板1分离,例如,使第一锗层5的第一部分5a与锗基板1分离。从锗基板1附着于应力体层20上的第一锗层5的剩余部分5a的厚度大致为应力体层20的厚度值的两倍或到三倍。通过控制应力体层20中的应力量,可以选择应力体层20的可操作厚度值(t*)以去除第一锗层5的受控厚度。
例如,如果希望在剥离之后包含第二锗层15、锗和锡合金层10和第一锗层的剩余部分5a的锗基板1的剩余部分的厚度为约10μm,那么镍的应力体层20会需要为约4μm厚。通过使用Ge<111>的K1C值(0.59MPa*m1/2),可使用以上的t*的表达式以算出需要约600MPa的应力值。
虽然应力体层20中的应力的来源是固有的(源自微结构)并且不是由于热膨胀系数(CTE)应力,但是,加热应力体层20常常具有增加应力值的效果。这是由于在退火时出现并且不可逆的应力体层20内的微结构变化。因此,设想局部加热以启动要被层转印的区域的周边的断裂。换句话说,可使得自发剥离在小的选定区域中出现,以例如通过增加这些小的选定区域中的应力层的厚度来帮助启动断裂。可通过使用激光、远程感应加热或直接接触加热执行局部加热。
具有通过上述的受控剥离过程形成的切割表面4的第一锗层5的第一部分5a一般具有1000nm~几十μm的厚度,5μm~50μm的厚度是更典型的。通过上述的剥离过程从锗基板去除的第一锗层5的第二部分5b一般具有1000nm~几十μm的厚度,5μm~50μm的厚度是更典型的。
在本公开的一些实施例中,从锗基板1去除任选的操作基板25、应力体层20和任选的含有金属的粘接剂层16。例如,并且在一个实施例中,可对于去除任选的操作基板25、应力体层20和任选的含有金属的粘接剂层16使用王水(HNO3/HCl)。在另一例子中,使用UV或热处理以去除任选的操作基板25,然后是通过化学蚀刻去除拉伸应力层20,然后是通过不同的化学蚀刻去除任选的含有金属的粘接剂层16。
图4A和图4B示出对于锗和锡合金层10选择性地进行锗基板1的切割表面4的蚀刻的一些实施例。图4A示出蚀刻图3A所示的结构的切割表面4的情况,而图4B示出蚀刻图3B所示的结构的切割表面4的情况。在一些实施例中,锗和锡合金层10用作去除切割表面4的蚀刻终止,并且去除源自切割表面4的锗基板1中的厚度的变化。如这里使用的那样,在参照材料去除过程时使用的术语“选择性”表示第一材料的材料去除率比向其施加材料去除过程的结构的至少另一材料的去除率大。在一些例子中,选择性可大于100:1,例如,1000:1。
在一个实施例中,去除第一锗层5的剩余部分5a的切割表面4的蚀刻处理是包含对于锗和锡合金层10具有选择性的蚀刻化学品的湿蚀刻。在一个实施例中,蚀刻化学品包含过氧化氢(H2O2)。在另一实施例中,用于相对于锗和锡合金层10选择性地去除第一锗层5的剩余部分5a的蚀刻化学品包含过氧化氢(H2O2)、氢氟酸(HF)和水(H2O)的溶液或诸如二氟化氙(XeF2)蚀刻的干蚀刻技术。注意,以上的蚀刻化学品仅出于解释的目的被提供,并且不是要限制本公开。例如,只要蚀刻化学品在不去除锗和锡合金层10的情况下去除第一锗层5的剩余部分5a,就可使用其它的蚀刻化学品。可在本公开的该点上使用的其它蚀刻处理包括反应离子蚀刻、离子束蚀刻、等离子蚀刻或激光烧蚀。
在切割表面4的蚀刻和第一锗层5的剩余部分5a的去除之后,锗基板1,即第二锗层15和锗和锡合金层10的厚度可以为0.1微米~20微米。在另一例子中,锗基板,即第二锗层15与锗和锡合金层10的厚度可以为0.5微米~5微米。在本公开的该点上的锗基板1的整个宽度上的厚度变化小于
图5A和图5B示出去除锗和锡合金层10的一些实施例。图5A示出从图4A所示的结构去除锗和锡合金层10的情况,而图5B示出从图4B所示的结构去除锗和锡合金层10的情况。在一个实施例中,对于第二锗层15选择性地去除锗和锡合金层10。在一个实施例中,去除锗和锡合金层10的蚀刻处理是包含对于第二锗层15具有选择性的蚀刻化学品的湿蚀刻。在一个实施例中,用于对于第二锗层15选择性地去除锗和锡合金层10的蚀刻化学品包含氢氧化铵(NH4OH)、氢氧化钾(KOH)、氢氧化四甲基铵(TMAH)和它们的混合物或稀释物。注意,以上的蚀刻化学品仅出于解释的目的被提供,并且不是要限制本公开。例如,只要蚀刻化学品在不去除第二锗层15的情况下去除锗和锡合金层10,就可使用其它的蚀刻化学品。可在本公开的该点上使用的其它蚀刻处理包含反应离子蚀刻、离子束蚀刻、等离子蚀刻或激光烧蚀。
在去除锗和锡合金层10之后,锗基板1,即第二锗层15的厚度可以为0.1微米~20微米。在另一例子中,第二锗层15的厚度可以为0.5微米~5微米。在本公开的该点上在第二锗层15的整个宽度上的厚度变化小于
图1~5B示出剥离方法的一个实施例,其中锗和锡合金层10用作蚀刻终止层以提供在其整个宽度上具有均匀厚度的锗层。在本公开的另一实施例中,锗和锡合金层10在与受控剥离技术结合使用时被用作断裂引导层。在一些实施例中,为了有利于锗和锡合金层10内的断裂,在被用作断裂引导层时锗和锡合金层10可暴露于氢气以改变锗和锡合金层10的接合结构。
图6A和图6B示出其中示出了在从应力体层10向锗基板1施加应力之前弱化锗和锡合金层10的一些实施例,其中,在本实施例中,锗和锡合金层10提供断裂面。图6A和图6B所示的锗基板1与图1所示的锗基板1类似。因此,包含图1所示的第一锗层5、锗和锡合金层10和第二锗层15的锗基板1的以上描述适于描述包含图6A和图6B所示的第一锗层5、锗和锡合金层10和第二锗层15的锗基板1。并且,图2A和图3B所示的后表面材料层14、应力体层20、操作基板25和任选的含有金属的粘接剂层16的描述适于图6A和图6B所示的后表面材料层14、应力体层20、操作基板25和任选的含有金属的粘接剂层16。
在锗和锡合金层10提供断裂面的实施例中,选择锗和锡合金层10的成分,使得锗和锡合金层10处于固有应力下。具体而言,当在诸如第一锗层5的锗材料之上形成时,锗和锡合金层10一般是压缩应变材料。当锗和锡合金层10包含硅并且硅以比存在于锗和锡合金层10中的锡大的浓度存在于锗和锡合金层10中时,锗和锡合金层10是拉伸应变材料。由于具有约4:1的Si:Sn的摩尔比的Ge(SiSn)三元合金导致与Ge类似的晶格参数,因此,导致压缩应力的最一般的合金范围由Gex(Si1-ySny)1-x给出,这里,(0≤x≤1)并且(0.2≤y≤1)。类似地,当(0≤y≤0.2)时,将导致拉伸应力。例如,拉伸应变的锗和锡合金层10可包含50at.%的锗、5at.%的锡和45at.%的硅。作为比较,压缩应变的锗和锡合金层10可包含50at.%的锗、15at.%的锡和35at.%的硅。
在一个实施例中,通过用含氢气体和/或等离子或含氢酸处理锗基板1的至少一个表面,使锗和锡合金层10弱化。可在向锗基板1沉积应力体层20之前进行通过含氢气体和/或等离子或含氢酸的对于锗基板1的处理,或者,可在向锗基板1沉积应力体层20之后进行通过含氢气体和/或等离子或含氢酸的对于锗基板1的处理。与以上参照图1-5B描述的实施例类似,可直接在锗基板1的第二锗层15上沉积应力体层20,或者,可在存在于锗基板1上的后表面材料层14上沉积应力体层20。
在一个实施例中,含氢气体是氢气(H2)。氢气可伴随诸如氩或氦的运载气体。在一个实施例中,可通过含氢的等离子处理锗基板1。等离子是原子或分子中的大多数被离子化的气体。可通过RF(AC)频率或两个电极之间的DC放电产生等离子,在该DC放电中,两个电极之间的空间包含含氢气体。电能将含氢气体混合物变换成反应基、离子、中性原子和分子和其它的高度受激物质。在处理中包含将在产生反应气体的等离子之后出现的化学反应。例如,原子和分子碎片与锗基板1交互作用以将氢气引向锗基板1。在一个实施例中,用于沉积和相关的材料处理的等离子中的分级电离(fractional ionization)从典型的电容放电中的10-4变为高密度感应等离子中的高达5~10%。虽然电弧放电和感应等离子可在大气压力下被引发,但是,等离子一般工作在例如1毫托~10毫托的几毫托到例如1托~10托的几托的压力下。可通过射频电容放电、感应耦合等离子(ICP)、电子回旋共振(ECR)和螺旋波提供等离子。在通过含氢酸处理锗基板的实施例中,可通过氢氟酸(HF)、盐酸(HCl)或硫酸提供含氢气体。还设想在高压(诸如许多大气压)下执行氢化或氘化,以增加氢向基板中的传送。
在通过含氢气体和/或等离子或含氢酸的处理之后,氢扩散到固有应力锗和锡合金层10内的键中,其中,氢与锗和锡合金层10的键之间的交互作用使锗和锡合金层10内的键弱化。
图7A和图7B示出从应力体层20向图6A和图6B所示的锗基板1施加应力的一些实施例,其中,应力沿锗和锡合金层10切割锗基板1。除了在图7A和图7B所示的方法中锗基板1沿锗和锡合金层10切割以外,图7A和图7B所示的通过应力体层20向锗基板1施加应力的情况与以上参照图2A和图2B描述的通过使用应力体层20向锗基板1施加应力的方法类似。
图8A和图8B示出从图7所示的结构去除锗和锡合金层10的剩余部分10a的一些实施例。在一个实施例中,对于第二锗层15选择性地去除锗和锡合金层10的剩余部分10a。在一个实施例中,去除锗和锡合金层10的剩余部分10a的蚀刻处理是包含对于第二锗层15具有选择性的蚀刻化学品的湿蚀刻。在一个实施例中,用于对于第二锗层15选择性地去除锗和锡合金层10的剩余部分10a的蚀刻化学品包含氢氧化铵(NH4OH)、氢氧化钾(KOH)、氢氧化四甲基铵(TMAH)和它们的混合物或稀释物。注意,以上的蚀刻化学品仅出于解释的目的被提供,并且不是要限制本公开。例如,只要蚀刻化学品在不去除第二锗层15的情况下去除锗和锡合金层10,就可使用其它的蚀刻化学品。可在本公开的该点上使用的其它蚀刻处理包含反应离子蚀刻、离子束蚀刻、等离子蚀刻或激光烧蚀。
在去除锗和锡合金层10之后,锗基板1,即第二锗层15的厚度可以为0.1微米~20微米。在另一例子中,第二锗层15的厚度可以为0.5微米~10微米。在本公开的该点上在第二锗层15的整个宽度上的厚度变化小于
可在制造包含但不限于半导体器件和光生伏打器件的各种类型的薄膜器件时使用上述的方法。图9A示出在源自图1~8A所示的方法序列的锗基板1的剩余部分上形成光生伏打器件100a的一个实施例。
图9A示出诸如多结III-V光生伏打电池的光生伏打器件100a的一个实施例,其包含具有1微米~10微米的厚度的第二锗层15(以下,称为锗层15),其中,锗层15具有小于在第一锗层的整个宽度上的厚度变化。锗层15可通过以上参照图1~8A描述的剥离方法中的至少一种被设置,并且提供光生伏打器件100a的底部电池150的至少一个部件。锗层15一般具有第一导电性,并且,一般在锗层15的顶上形成具有与第一导电性相反的第二导电性的半导体层6。例如,如果锗层15被掺杂为p型导电性,那么半导体层6被掺杂为n型导电性,反之亦然。半导体层6可由含硅材料或含锗材料构成。半导体层6可以是外延沉积层。适于形成半导体层6的CVD处理的例子包括大气压力CVD(APCVD)、低压力CVD(LPCVD)、等离子增强CVD(PECVD)、金属有机CVD(MOCVD)、超高真空CVD(UHV-CVD)和它们的组合。锗层15和半导体层6的组合可提供光生伏打器件100a的底部电池150。光生伏打器件的底部电池150可具有10微米或更小的厚度。
在一个实施例中,光生伏打器件100a包含由与由含锗材料构成的底部电池150直接接触的至少一个III-V半导体材料构成的至少一个顶部电池200。至少一个顶部电池200由任意数量的III-V半导体材料的任意数量的层构成。“III-V半导体材料”是由来自元素周期表的第III族和第V族的元素构成的合金。在一个实施例中,至少一个顶部电池200由选自包含以下的组的至少一种III-V半导体材料构成:锑化铝(AlSb)、砷化铝(AlAs)、氮化铝(AlN)、磷化铝(AlP)、砷化镓(GaAs)、磷化镓(GaP)、锑化铟(InSb)、砷化铟(InAs)、氮化铟(InN)、磷化铟(InP)、砷化铝镓(AlGaAs)、磷化铟镓(InGaP)、砷化铝铟(AlInAs)、锑化铝铟(AlInSb)、氮化镓砷(GaAsN)、锑化镓砷(GaAsSb)、氮化铝镓(AlGaN)、磷化铝镓(AlGaP)、氮化铟镓(InGaN)、锑化铟砷(InAsSb)、锑化铟镓(InGaSb)、磷化铝镓铟(AlGaInP)、磷化铝镓砷(AlGaAsP)、磷化铟镓砷(InGaAsP)、磷化铟砷锑(InArSbP)、磷化铝铟砷(AlInAsP)、氮化铝镓砷(AlGaAsN)、氮化铟镓砷(InGaAsN)、氮化铟铝砷(InAlAsN)、氮化镓砷锑(GaAsSbN)、氮化砷化锑化镓铟(GaInNAsSb)、砷化锑化磷化镓铟(GaInAsSbP)和它们的组合。
提供至少一个顶部电池200的III-V半导体材料中的每一个可具有单晶、多晶体或多晶晶体结构。III-V半导体材料中的每一个可以是外延的。为了在至少一个顶部电池200中提供与电池中的每一个的结并提供与底部电池150的结,III-V半导体材料可被掺杂成p型或n型导电性。掺杂原子的效果,即,它是p型还是n型掺杂剂依赖于基材晶格上的被掺杂剂原子占据的位置。在III-V半导体中,当占据第III族原子的位置时,来自第II族的原子用作受主,即p型,而当它们替代来自第V族的原子时,第VI族中的原子用作施主,即n型。诸如硅(Si)的来自第IV族的掺杂剂原子根据它们是否分别占据第III族或第V族原子的位置而分别具有它们可用作受主或施主的属性。这种杂质被称为两性杂质。提供PN结的至少一个顶部电池200中的层中的每一层可具有100nm~6000nm的厚度。在另一实施例中,至少一个顶部电池200中的层中的每一层可具有500nm~4000nm的厚度。
仍然参照图9A,可随后形成至少与至少一种III-V半导体材料的至少一个顶部电池200电连通的前触头500。可通过丝网印刷技术沉积前触头500。在另一实施例中,通过施加蚀刻或电铸金属图案提供前触头500。在形成用于前触头500的金属图案时使用的金属材料可包含施加金属糊剂。金属糊剂可以是诸如Al糊剂、Ag糊剂或AlAg糊剂的任何导电糊剂。也可通过使用溅射或电镀沉积在形成用于前触头500的金属图案时使用的金属材料。在一些实施例中,当应力体层20由诸如金属的导电材料构成时,可通过应力体层20提供后触头。在其它的实施例中,可通过使用与前触头500类似的方法和材料形成后触头。
图9B示出源自图1~8B所示的方法序列的光生伏打器件100b的一个实施例。
由于其它的光生伏打结构在本公开的范围内,因此,图9A和图9B所示的光生伏打结构100a、100b仅出于解释性的目的被提供,并且不是要限制本公开。例如,图9A和图9B所示的光生伏打结构还可包含隧道层、反射器层、半反射层和透明导电氧化物层。在另一例子中,在锗层15与半导体层6之间存在本征半导体层,并且,光生伏打器件是p-i-n太阳能电池。
虽然关于本公开的优选实施例特别示出和描述了本公开,但是,本领域技术人员可以理解,在不背离本公开的精神和范围的情况下,可以提出形式和细节的以上和其它的变化。因此,本公开不应限于描述和示出的确切的形式和细节,而是要落入所附的权利要求的范围内。

Claims (19)

1.一种切割半导体材料的方法,包括:
设置锗基板,所述锗基板包括第一锗层、存在于第一锗层上的锗和锡合金层、以及存在于锗和锡合金层上的第二锗层;
在锗基板的第二锗层上沉积应力体层;
从应力体层向锗基板施加应力,其中,应力切割锗基板的第一锗层以提供切割表面,其中,锗和锡合金层存在于第二锗层与第一锗层的剩余部分的所述切割表面之间;和
对于所述锗和锡合金层选择性地进行所述切割表面的蚀刻以去除第一锗层的所述剩余部分,其中锗基板的包括第二锗层和锗和锡合金层的剩余部分在锗基板的该剩余部分的整个宽度上具有均匀的厚度。
2.根据权利要求1所述的方法,其中,锗和锡合金层包含0.5at.%~20at.%的锡、少于50at.%的硅和余量的锗。
3.根据权利要求2所述的方法,其中,锗和锡合金层的硅含量被选择以抵消由锗和锡合金层的锡含量引起的应力。
4.根据权利要求1所述的方法,其中,第一锗层包含100%锗的基材,并且,第二锗层包含100%锗的基材。
5.根据权利要求1所述的方法,其中,锗和锡合金层的形成包含来自锗和锡固体源材料的分子束外延、至少来自含锗的源气体和含锡的源气体的化学气相沉积或它们的组合。
6.根据权利要求5所述的方法,其中,含锡的源气体包含锡烷即SnH4、Stannane-d4即SnD4或它们的组合。
7.根据权利要求1所述的方法,其中,应力体层由含有金属的层、聚合物层、粘接带或它们的组合组成。
8.根据权利要求1所述的方法,其中,在锗基板的第二锗层上沉积应力体层包含将含有金属的层粘接连接于锗基板的第二锗层上。
9.根据权利要求1所述的方法,其中,在第一锗层的与第一锗层的上面形成有锗和锡合金层的表面相对的后表面上,形成后表面场层、后表面钝化层、隧道层和后太阳能电池结中的至少一个,其中,后表面场层、后表面钝化层、隧道层和后太阳能电池结中的至少一个提供锗基板的上面沉积有应力体层的表面。
10.根据权利要求1所述的方法,还包括与应力体层的与应力体层的沉积于锗基板上的表面相对的表面接合的操作基板。
11.根据权利要求1所述的方法,其中,从应力体层向锗基板施加应力包含向应力体层施加的在锗基板中产生应力的机械力或向应力体层施加的产生与锗基板的热膨胀差以在锗基板中提供应力的温度变化,其中,源自向应力体层施加的机械力或温度变化的锗基板中的应力导致锗基板的第一锗层内的裂纹扩展并提供切割表面。
12.根据权利要求1所述的方法,其中,对于所述锗和锡合金层选择性地进行所述切割表面的蚀刻以去除第一锗层的所述剩余部分包含由过氧化氢构成的湿蚀刻。
13.根据权利要求1所述的方法,还包括对于第二锗层选择性地进行锗和锡合金层的去除。
14.一种切割半导体材料的方法,包括:
设置锗基板,所述锗基板包括第一锗层、存在于第一锗层上的锗和锡合金层、以及存在于锗和锡合金层上的第二锗层;
弱化锗和锡合金层;
在锗基板上沉积应力体层;和
从应力体层向锗基板施加应力,其中,应力沿锗和锡合金层切割锗基板。
15.根据权利要求14所述的方法,其中,锗和锡合金层包含0.5at.%~20at.%的锡、少于50at.%的硅和余量的锗。
16.根据权利要求14所述的方法,其中,选择锗和锡合金层的硅含量以在锗和锡合金层中提供拉伸应力,或者选择锗和锡合金层的锡含量以提供压缩应力。
17.根据权利要求14所述的方法,其中,弱化锗和锡合金层包含用含氢的气体、含氢的酸或它们的组合处理锗基板,其中,来自含氢的气体、含氢的酸或它们的组合的氢扩散到锗和锡合金层以弱化锗和锡合金层内的接合。
18.根据权利要求14所述的方法,其中,从应力体层向锗基板施加应力包含向应力体层施加的在锗基板中产生应力的机械力或向应力体层施加的产生与锗基板的热膨胀差以在锗基板中提供应力的温度变化,其中,源自向应力体层施加的机械力或温度变化的锗基板中的应力导致沿锗和锡合金层的裂纹扩展并切割锗基板。
19.根据权利要求14所述的方法,还包括对于第二锗层选择性地进行锗和锡合金层的剩余部分的蚀刻。
CN201210195820.1A 2011-06-14 2012-06-14 用于形成多结光生伏打结构的剥离方法和光生伏打器件 Expired - Fee Related CN102832117B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/160,067 2011-06-14
US13/160,067 US8927318B2 (en) 2011-06-14 2011-06-14 Spalling methods to form multi-junction photovoltaic structure

Publications (2)

Publication Number Publication Date
CN102832117A CN102832117A (zh) 2012-12-19
CN102832117B true CN102832117B (zh) 2015-07-22

Family

ID=46261604

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210195820.1A Expired - Fee Related CN102832117B (zh) 2011-06-14 2012-06-14 用于形成多结光生伏打结构的剥离方法和光生伏打器件

Country Status (4)

Country Link
US (2) US8927318B2 (zh)
CN (1) CN102832117B (zh)
DE (1) DE102012209887B4 (zh)
GB (1) GB2492439B (zh)

Families Citing this family (379)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130025654A1 (en) 2011-07-29 2013-01-31 International Business Machines Corporation Multi-junction photovoltaic device and fabrication method
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9079269B2 (en) * 2011-11-22 2015-07-14 International Business Machines Corporation Spalling with laser-defined spall edge regions
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
EP2626917B1 (en) * 2012-02-10 2017-09-27 IHP GmbH-Innovations for High Performance Microelectronics / Leibniz-Institut für innovative Mikroelektronik A CMOS-compatible germanium tunable Laser
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9780248B2 (en) * 2012-05-05 2017-10-03 Sifotonics Technologies Co., Ltd. High performance GeSi avalanche photodiode operating beyond Ge bandgap limits
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US10535735B2 (en) * 2012-06-29 2020-01-14 Intel Corporation Contact resistance reduced P-MOS transistors employing Ge-rich contact layer
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8921209B2 (en) * 2012-09-12 2014-12-30 International Business Machines Corporation Defect free strained silicon on insulator (SSOI) substrates
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9006088B2 (en) * 2013-04-01 2015-04-14 Tsinghua University Method for forming semiconductor gate structure and semiconductor gate structure
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) * 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9330907B2 (en) * 2013-10-10 2016-05-03 The Board Of Trustees Of The Leland Stanford Junior University Material quality, suspended material structures on lattice-mismatched substrates
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
DE102013020693A1 (de) * 2013-12-04 2015-06-11 Siltectra Gmbh Verfahren zum Erzeugen großflächiger Festkörperschichten
US9058990B1 (en) * 2013-12-19 2015-06-16 International Business Machines Corporation Controlled spalling of group III nitrides containing an embedded spall releasing plane
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9799675B2 (en) * 2014-04-02 2017-10-24 International Business Machines Corporation Strain engineering in back end of the line
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
KR102318743B1 (ko) 2014-12-23 2021-10-28 인텔 코포레이션 비평면 반도체 디바이스의 서브핀에 사용하기 위한 iii-v족 반도체 합금 및 그 형성 방법
KR102352777B1 (ko) * 2014-12-23 2022-01-19 인텔 코포레이션 확산 허용 iii-v족 반도체 헤테로구조물 및 이를 포함하는 디바이스
US9493025B2 (en) 2015-01-19 2016-11-15 International Business Machines Corporation Graphene layers for identification of products
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9601368B2 (en) * 2015-07-16 2017-03-21 Infineon Technologies Ag Semiconductor device comprising an oxygen diffusion barrier and manufacturing method
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10032670B2 (en) * 2016-06-14 2018-07-24 Infineon Technologies Ag Plasma dicing of silicon carbide
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
TWI751158B (zh) * 2016-07-06 2022-01-01 荷蘭商Asm智慧財產控股公司 包括鍺-錫膜之結構與裝置及該膜之形成方法
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
WO2018083961A1 (ja) * 2016-11-01 2018-05-11 信越化学工業株式会社 デバイス層を転写基板に転写する方法および高熱伝導性基板
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11075313B2 (en) * 2017-06-16 2021-07-27 Utica Leaseco, Llc Optoelectronic devices manufactured using different growth substrates
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) * 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
CN107611012B (zh) * 2017-08-31 2020-10-02 长江存储科技有限责任公司 一种预制背面薄膜的应力控制方法及结构
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11658258B2 (en) * 2020-09-25 2023-05-23 Alliance For Sustainable Energy, Llc Device architectures having engineered stresses
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
WO2022221271A1 (en) * 2021-04-13 2022-10-20 Impact Photonics Llc Silicon-germanium avalanche photodiode
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
CN113948389B (zh) * 2021-08-30 2023-03-14 西安电子科技大学 一种基于衬底背面SiSn外延层的硅基AlGaN/GaN HEMT及制备方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115046921B (zh) * 2022-08-11 2022-12-02 四川至臻光电有限公司 一种表征塑料光学元件膜层附着力的测试方法及测试装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4227941A (en) * 1979-03-21 1980-10-14 Massachusetts Institute Of Technology Shallow-homojunction solar cells
US4248675A (en) * 1980-02-25 1981-02-03 Massachusetts Institute Of Technology Method of forming electrical contact and antireflection layer on solar cells
CN1159071A (zh) * 1995-10-06 1997-09-10 佳能株式会社 半导体衬底及其制造方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030087503A1 (en) * 1994-03-10 2003-05-08 Canon Kabushiki Kaisha Process for production of semiconductor substrate
AU2003237399A1 (en) * 2002-06-03 2003-12-19 Tien-Hsi Lee Methods for transferring a layer onto a substrate
US10374120B2 (en) * 2005-02-18 2019-08-06 Koninklijke Philips N.V. High efficiency solar cells utilizing wafer bonding and layer transfer to integrate non-lattice matched materials
US7863157B2 (en) * 2006-03-17 2011-01-04 Silicon Genesis Corporation Method and structure for fabricating solar cells using a layer transfer process
FR2926672B1 (fr) * 2008-01-21 2010-03-26 Soitec Silicon On Insulator Procede de fabrication de couches de materiau epitaxie
WO2010014037A1 (en) 2008-07-30 2010-02-04 Worldsforge Private Limited A method for representing a result, and a method for obtaining a result and an outcome for a specific instance
EP2330632A1 (en) 2008-08-29 2011-06-08 Kaneka Corporation Thin-film photoelectric converter and fabrication method therefor
US20110254052A1 (en) * 2008-10-15 2011-10-20 Arizona Board of Regents, a body corporate acting for and on behalf of Arizona State University Hybrid Group IV/III-V Semiconductor Structures
TWI377684B (en) 2008-10-27 2012-11-21 Univ Nat Yunlin Sci & Tech Si-ge thin-film solar cells with poly-germanium thin film and method for performing the same
GB2467935B (en) * 2009-02-19 2013-10-30 Iqe Silicon Compounds Ltd Formation of thin layers of GaAs and germanium materials
WO2010140371A1 (ja) 2009-06-05 2010-12-09 住友化学株式会社 半導体基板、光電変換デバイス、半導体基板の製造方法、および光電変換デバイスの製造方法
US20110048517A1 (en) * 2009-06-09 2011-03-03 International Business Machines Corporation Multijunction Photovoltaic Cell Fabrication
US8802477B2 (en) 2009-06-09 2014-08-12 International Business Machines Corporation Heterojunction III-V photovoltaic cell fabrication

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4227941A (en) * 1979-03-21 1980-10-14 Massachusetts Institute Of Technology Shallow-homojunction solar cells
US4248675A (en) * 1980-02-25 1981-02-03 Massachusetts Institute Of Technology Method of forming electrical contact and antireflection layer on solar cells
CN1159071A (zh) * 1995-10-06 1997-09-10 佳能株式会社 半导体衬底及其制造方法

Also Published As

Publication number Publication date
GB2492439B (en) 2013-09-18
US20150068604A1 (en) 2015-03-12
US20120318334A1 (en) 2012-12-20
US8927318B2 (en) 2015-01-06
DE102012209887A1 (de) 2012-12-20
GB2492439A (en) 2013-01-02
GB201206930D0 (en) 2012-06-06
DE102012209887B4 (de) 2016-06-23
CN102832117A (zh) 2012-12-19

Similar Documents

Publication Publication Date Title
CN102832117B (zh) 用于形成多结光生伏打结构的剥离方法和光生伏打器件
US6602767B2 (en) Method for transferring porous layer, method for making semiconductor devices, and method for making solar battery
US8912424B2 (en) Multi-junction photovoltaic device and fabrication method
US8344242B2 (en) Multi-junction solar cells
KR101912482B1 (ko) 박형 규소 태양 전지 및 제조 방법
AU2013225860B2 (en) Structures and methods for high efficiency compound semiconductor solar cells
JP5367562B2 (ja) 太陽電池の製造方法および構造
EP2702616B1 (en) Thin film intermetallic bond
US20130056053A1 (en) Solar cell
JP2008177539A (ja) 傾斜ハイブリッド非晶質シリコンナノワイヤー太陽電池
JP2001230431A (ja) 光電変換装置
US20110174376A1 (en) Monocrystalline Thin Cell
CN102334194A (zh) 在冶金级Si衬底上基于外延晶体硅薄膜的太阳能异质结电池设计
KR20140128393A (ko) 에피택셜 리프트 오프 후 웨이퍼 재사용을 위한 열 표면 처리
CN103928539A (zh) 多结iii-v太阳能电池及其制造方法
KR20150018588A (ko) 에피택셜 리프트 오프의 가속을 위한 변형 제어
US9136402B2 (en) High efficiency flexible solar cells for consumer electronics
TWI451474B (zh) 一種製作可轉移性晶體薄膜的方法
US20150027519A1 (en) Manufacture of multijunction solar cell devices
US20130288463A1 (en) Method for producing thin layers of crystalline or polycrystalline materials
Wang Development of a high performance ultra-thin silicon solar cell on steel substrate

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20171116

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171116

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20150722

Termination date: 20190614