US20150068604A1 - Spalling methods to form multi-junction photovoltaic structure - Google Patents

Spalling methods to form multi-junction photovoltaic structure Download PDF

Info

Publication number
US20150068604A1
US20150068604A1 US14/540,315 US201414540315A US2015068604A1 US 20150068604 A1 US20150068604 A1 US 20150068604A1 US 201414540315 A US201414540315 A US 201414540315A US 2015068604 A1 US2015068604 A1 US 2015068604A1
Authority
US
United States
Prior art keywords
germanium
layer
tin alloy
substrate
alloy layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/540,315
Inventor
Stephen W. Bedell
Devendra K. Sadana
Davood Shahrjerdi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US14/540,315 priority Critical patent/US20150068604A1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BEDELL, STEPHEN W., SADANA, DEVENDRA K., SHAHRJERDI, DAVOOD
Publication of US20150068604A1 publication Critical patent/US20150068604A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier
    • H01L31/072Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN heterojunction type
    • H01L31/074Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN heterojunction type comprising a heterojunction with an element of Group IV of the Periodic System, e.g. ITO/Si, GaAs/Si or CdTe/Si solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02535Group 14 semiconducting materials including tin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76254Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/0304Inorganic materials including, apart from doping materials or other impurities, only AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/0312Inorganic materials including, apart from doping materials or other impurities, only AIVBIV compounds, e.g. SiC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier
    • H01L31/068Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells
    • H01L31/0687Multiple junction or tandem solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier
    • H01L31/068Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells
    • H01L31/0687Multiple junction or tandem solar cells
    • H01L31/06875Multiple junction or tandem solar cells inverted grown metamorphic [IMM] multiple junction solar cells, e.g. III-V compounds inverted metamorphic multi-junction cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier
    • H01L31/072Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN heterojunction type
    • H01L31/0725Multiple junction or tandem solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier
    • H01L31/072Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN heterojunction type
    • H01L31/0745Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN heterojunction type comprising a AIVBIV heterojunction, e.g. Si/Ge, SiGe/Si or Si/SiC solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier
    • H01L31/075Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PIN type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier
    • H01L31/075Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PIN type
    • H01L31/076Multiple junction or tandem solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic System
    • H01L31/1808Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic System including only Ge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic System
    • H01L31/1812Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic System including only AIVBIV alloys, e.g. SiGe
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/184Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof the active layers comprising only AIIIBV compounds, e.g. GaAs, InP
    • H01L31/1852Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof the active layers comprising only AIIIBV compounds, e.g. GaAs, InP comprising a growth substrate not being an AIIIBV compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1892Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof methods involving the use of temporary, removable substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1892Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof methods involving the use of temporary, removable substrates
    • H01L31/1896Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof methods involving the use of temporary, removable substrates for thin-film semiconductors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/544Solar cells from Group III-V materials
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/548Amorphous silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Definitions

  • the present disclosure relates to photovoltaic device manufacturing, and more particularly, to methods for controlling the removal of a surface layer from a substrate utilizing spalling.
  • a photovoltaic device is a device that converts the energy of incident photons to electromotive force (e.m.f.).
  • Typical photovoltaic devices include solar cells, which are configured to convert the energy in the electromagnetic radiation from the Sun to electrical energy.
  • Multi-junction solar cells comprising compound semiconductors may be employed for power generation in space due to their high efficiency and radiation stability.
  • Multi-junction solar cells are mainly fabricated on germanium (Ge) substrates due to the inherently strong (IR) absorption property of germanium (Ge).
  • Germanium (Ge) also includes a crystal structure that can be lattice matched to III-V compound semiconductors, which allows for integration of III-V sub cells on a germanium (Ge) substrate.
  • the germanium (Ge) substrate may constitute nearly 50% to 70% of the final cost of the finished solar cell.
  • the present disclosure provides a method of cleaving a semiconductor material, such as a germanium substrate, to provide at least one component of a photovoltaic cell.
  • the method of cleaving a semiconductor material includes providing a germanium substrate, in which a germanium and tin alloy layer is present within the germanium substrate.
  • a stressor layer may be deposited on a surface that is present on the germanium substrate.
  • a stress from the stressor layer may be applied to the germanium substrate, in which the stress cleaves the germanium substrate to provide a cleaved surface.
  • the germanium and tin alloy layer is present between the surface of the germanium substrate that the stressor layer is formed on and the cleaved surface of the germanium substrate.
  • the cleaved surface of the germanium substrate may then be etched selectively to the germanium and tin alloy layer of the germanium substrate.
  • the above-described method employs the germanium and tin alloy layer as an etch stop to remove the cleaved surface, and remove variation in thickness in the germanium substrate that results from the cleaved surface.
  • a method of cleaving a semiconductor material includes providing a germanium substrate, in which a germanium and tin alloy layer is present within the germanium substrate.
  • the germanium tin alloy layer may be weakened.
  • a stressor layer may be deposited on a surface that is present on the germanium substrate.
  • a stress from the stressor layer is applied to the germanium substrate, in which the stress cleaves the germanium substrate along the germanium and tin alloy layer.
  • the above-described method employs the germanium and tin alloy layer as a cleave layer to dictate the depth at which the germanium substrate may be spalled.
  • a photovoltaic device in another aspect, includes a layer of germanium having a first conductivity and a thickness ranging from 1 micron to 10 microns, wherein the layer of germanium has a variation of thickness across the entire width of the first layer of germanium that is less than 1000 ⁇ .
  • a semiconductor layer is present on the layer of germanium, wherein the semiconductor layer has a second conductivity that is opposite the first conductivity.
  • FIG. 1 is a side cross-sectional view depicting a germanium substrate including a germanium and tin alloy layer present therein, as used in one embodiment of a method of forming a photovoltaic device, in accordance with the present disclosure.
  • FIG. 2A is a side cross-sectional view depicting depositing a stressor layer directly to a surface of the germanium substrate, in accordance with one embodiment of the present disclosure.
  • FIG. 2B is a side cross-sectional view depicting depositing at least one of a passivation layer, back surface field layer, tunnel layer and/or III-V solar cell on the germanium substrate prior to depositing the stressor layer, in accordance with one embodiment of the present disclosure.
  • FIGS. 3A and 3B are side cross-sectional views depicting applying a stress from the stressor layer to the germanium substrate, in which the stress cleaves the germanium substrate to provide a cleaved surface, in accordance with one embodiment of the present disclosure.
  • FIGS. 4A and 4B are side cross-sectional views depicting etching the cleaved surface of the germanium substrate selectively to the germanium and tin alloy layer, in accordance with one embodiment of the present disclosure.
  • FIGS. 5A and 5B are side cross-sectional views depicting removing the germanium and tin alloy layer, in accordance with one embodiment of the present disclosure.
  • FIGS. 6A and 6B are side cross-sectional views depicting weakening the germanium and tin alloy layer before applying a stress from the stressor layer to the germanium substrate, wherein in this embodiment the germanium and tin alloy layer provides a fracture plane, in accordance with one embodiment of the present disclosure.
  • FIGS. 7A and 7B are side cross-sectional views depicting applying a stress from the stressor layer to the germanium substrate depicted in FIGS. 6A and 6B , in which the stress cleaves the germanium substrate along the germanium and tin alloy layer, in accordance with one embodiment of the present disclosure.
  • FIGS. 8A and 8B are side cross-sectional views depicting removing the remaining portion of germanium and tin alloy layer from the structure depicted in FIGS. 7A and 7B , in accordance with one embodiment of the present disclosure.
  • FIGS. 9A and 9B are a side cross-sectional view depicting forming a photovoltaic device on the remaining portion of the germanium substrate that results from the method sequences that are depicted in FIGS. 1-8B , in accordance with one embodiment of the present disclosure.
  • references in the specification to “one embodiment”, “an embodiment”, “an example embodiment”, etc., indicate that the embodiment described may include a particular feature, structure, or characteristic, but every embodiment may not necessarily include the particular feature, structure, or characteristic. Moreover, such phrases are not necessarily referring to the same embodiment. Further, when a particular feature, structure, or characteristic is described in connection with an embodiment, it is submitted that it is within the knowledge of one skilled in the art to affect such feature, structure, or characteristic in connection with other embodiments whether or not explicitly described.
  • the terms “upper”, “lower”, “right”, “left”, “vertical”, “horizontal”, “top”, “bottom”, and derivatives thereof shall relate to the invention, as it is oriented in the drawing figures.
  • the terms “on”, “overlying”, “atop”, “positioned on ” or “positioned atop” means that a first element, such as a first structure, is present on a second element, such as a second structure, wherein intervening elements, such as an interface structure, e.g. interface layer, may be present between the first element and the second element.
  • the terms “directly on”, “direct contact” means that a first element, such as a first structure, and a second element, such as a second structure, are connected without any intermediary conducting, insulating or semiconductor layers at the interface of the two elements.
  • the present disclosure relates to using spalling methods in the manufacture of photovoltaic devices, in which the disclosed spalling methods introduce a layer of an alloy of tin and germanium within a germanium substrate in order to further control crack initiation, crack propagation, as well as increase the selectivity of the spalling depths in semiconductor layers, such as germanium (Ge) substrates.
  • a “photovoltaic device” is a device, such as a solar cell, that produces free electrons and/or electron vacancies, i.e., holes, when exposed to radiation, such as light, and results in the production of an electric current.
  • the photovoltaic device typically includes layers of p-type conductivity and n-type conductivity that share an interface to provide a heterojunction.
  • III-V compound semiconductor materials such as gallium arsenide (GaAs).
  • GaAs gallium arsenide
  • Stacking layers of thin compound semiconductors to provide PN junctions of different compositions (and bandgaps) may provide that a wider portion of the solar spectrum can be captured by a photovoltaic device, which leads to higher efficiency.
  • Germanium may be used as the substrate upon which the compound semiconductor layers, such as III-V compound semiconductors, are grown. Germanium typically serves as the bottom-most junction of the photovoltaic device, and due to its small bandgap, captures the longer wavelength portion of the solar spectrum.
  • Germanium single crystal substrates are energy intensive to produce, and are expensive. Once a portion of the photovoltaic cell has been formed on a germanium substrate, the portion of the germanium substrate that has been processed to provide a photovoltaic cell may be transferred onto an inexpensive substrate, wherein the portion of the germanium substrate that has not been processed can then be employed in the formation of another photovoltaic cell. Spalling is one method for cleaving the germanium substrate. Spalling includes the deposition of a stress-inducing layer that is deposited on the surface of the germanium substrate that can cleave the germanium substrate by fracture.
  • the transfer of germanium layers from germanium substrates in the formation of multi-junction photovoltaic cells by spalling disadvantageously results in thickness variations in the transferred germanium layer.
  • the thickness variation in the transferred portion of the germanium containing substrate may be on the order of several microns.
  • the variation in the thickness of the transferred portion of the germanium substrate disadvantageously impacts the current matching of the overall multi-junction stack that provides the photovoltaic device, as the current produced by each cell should be the same for optimal efficiency.
  • the current will vary with residual germanium layer thickness, the overall efficiency of the cells will likewise vary.
  • the present disclosure provides a method of producing multi-junction photovoltaic device structures including a germanium layer, i.e., transferred portion of a germanium substrate, having a controllable and uniform thickness. More specifically and in one embodiment, the method disclosed herein employs a germanium and tin alloy layer, i.e., binary GeSn alloy layer, or a silicon, germanium and tin alloy layer, i.e., ternary SiGeSn alloy layer, to define the final thickness of the residual germanium layer (transferred portion of the germanium substrate).
  • a germanium and tin alloy layer i.e., binary GeSn alloy layer
  • silicon, germanium and tin alloy layer i.e., ternary SiGeSn alloy layer
  • FIGS. 1-5B depict one embodiment of the present disclosure in which a layer of germanium and tin alloy and/or silicon germanium and tin is used as etch stop to define the residual germanium thickness following spalling.
  • FIG. 1 depicts a germanium substrate 1 including a germanium and tin alloy layer 10 .
  • the germanium substrate 1 may include a material stack that includes, from bottom to top, a first layer of germanium 5 , the germanium and tin alloy layer 10 that is present on the first layer of germanium 5 , and a second layer of germanium 15 that is present on the germanium and tin alloy layer 10 .
  • the first layer of germanium 5 may have a germanium content that is greater than 95 atomic (at.) %. In another embodiment, the first layer of germanium 5 may have a germanium content that is greater than 99 at. %. In one example, the first layer of germanium 5 may have a germanium content that is 100 at. %.
  • the first layer of germanium 5 may be formed using a single crystal (monocrystalline) method.
  • a single crystal method for forming the first layer of germanium 5 that provides the germanium substrate is the Czochralski (CZ) method.
  • the Czochralski (CZ) method includes taking a seed of single-crystal germanium and placing it in contact with the top surface of molten germanium.
  • atoms of the molten germanium solidify in the pattern of the seed and extend the single-crystal structure.
  • the single-crystal structure is then sawn into wafers, i.e., substrates, that can provide the first layer of germanium 5 .
  • the first layer of germanium 5 may be doped to a n-type or p-type conductivity or may be an intrinsic semiconductor layer.
  • An “intrinsic semiconductor layer” is a layer of semiconductor material that is substantially pure, i.e., an intrinsic semiconductor layer is not doped with n-type or p-type dopants.
  • p-type refers to the addition of impurities to an intrinsic semiconductor that creates deficiencies of valence electrons (i.e. holes).
  • n-type refers to the addition of impurities that contributes free electrons to an intrinsic semiconductor.
  • the thickness of the first layer of germanium 5 may range from 50 um to 10 cm. In another embodiment, the thickness of the first layer of germanium 5 may range from 80 um to 1 mm.
  • the above thicknesses for the first layer of germanium 5 have been provided for illustrative purposes only, and are not intended to limit the present disclosure.
  • other thicknesses of the first layer of germanium 5 may be employed, so long as the thickness of the first layer of germanium 5 provides that at least a residual portion of the first layer of germanium 5 remains after spalling, so that the subsequently formed germanium and tin alloy layer 10 is present between the cleaved surface of the first layer of germanium 15 and the subsequently formed second layer of germanium 15 .
  • the germanium and tin alloy layer 10 that provides the etch stop layer, and may include a portion of the bottom cell of the photovoltaic device, may be an epitaxially formed layer that is deposited directly on a surface of the first layer of germanium 5 .
  • the terms “epitaxially formed”, “epitaxial growth” and/or “epitaxial deposition” means the growth of a semiconductor material on a deposition surface of a semiconductor material, in which the semiconductor material being grown has the same crystalline characteristics as the semiconductor material of the deposition surface. Therefore, in the embodiments in which the first layer of germanium 5 has a single crystal crystalline structure, the epitaxially grown germanium and tin alloy layer 10 also has a single crystal crystalline structure. Further, in the embodiments in which the first layer of germanium 5 has a polycrystalline structure, the epitaxially grown germanium and tin alloy layer 10 will also have a polycrystalline structure.
  • the germanium and tin alloy layer 10 may be composed of 0.5% at. to 20 at. % tin, and a remainder of germanium. In another embodiment, the germanium and tin alloy layer 10 may be composed of 5 at. % to 20 at. % tin, and 80 at. % to 95 at. % germanium. In yet another embodiment, the germanium and tin alloy layer 10 may be composed of 10 at. % to 15 at. % tin and 85 at. % to 90 at. % germanium. It is noted that the above concentrations have been provided for illustrative purposes only, and are not intended to limit the present disclosure. For example, other concentrations of tin may be employed, so long as the concentration of tin is great enough so that the first layer of germanium 5 may be etched selectively to the germanium and tin alloy layer 10 .
  • the germanium and tin alloy layer 10 may further include silicon, wherein the silicon is introduced to offset the stress that is introduced by tin. More specifically, tin increases the lattice dimension of the epitaxially grown germanium and tin alloy layer 10 so that it is larger than the lattice dimension of the first layer of germanium 5 . Therefore, with increasing tin concentration in the germanium and tin alloy layer 10 , the compressive stress that is present in the germanium and tin alloy layer 10 is increased. The introduction of stress within the germanium and tin alloy layer 10 may result in defect formation.
  • silicon may be introduced to the germanium and tin alloy layer 10 to reduce the lattice dimension of the epitaxially grown germanium and tin alloy layer 10 .
  • the germanium and tin alloy layer 10 may be composed of 0 . 5 % at. to 20 at. % tin, less than 50 at. % silicon, and a remainder of germanium.
  • the germanium and tin alloy layer 10 may be composed of 0% at. to 10 at. % tin 0% to 38 at. % silicon, and 100 at. % to 52 at. % germanium, respectively. If the molar ratio of Si:Sn is approximately 3.8 in the Ge(SiSn) alloy, then the lattice parameter will be the same as bulk Ge. Therefore, these alloys can be grown strain-free on Ge substrates.
  • compositions for the germanium and tin alloy layer 10 have been provided for illustrative purposes only, and are not intended to limit the present disclosure.
  • concentrations of tin may be employed, so long as the concentration of tin is great enough so that the material of the first layer of germanium 5 may be etched selectively to the germanium and tin alloy layer 10 .
  • the germanium and tin alloy layer 10 may be deposited using molecular beam epitaxial (MBE) deposition.
  • MBE molecular beam epitaxial
  • the tin and germanium sources for the MBE deposition of the germanium and tin alloy layer 10 may include solid source materials of tin and germanium.
  • the sources for the MBE deposition of the germanium and tin alloy layer may include solid source materials of tin, germanium and silicon.
  • MBE Molecular beam epitaxy
  • the solid source materials such as tin, germanium and silicon (optional)
  • the gaseous elements then condense on the deposition surface, i.e., first layer of germanium 5 , where they may react with each other.
  • beam denotes that evaporated atoms do not interact with each other or vacuum chamber gases until they reach the deposition surface, due to the long mean free paths of the atoms.
  • the germanium and tin alloy layer 10 may be deposited using chemical vapor deposition.
  • Chemical vapor deposition (CVD) is a deposition process in which a deposited species is formed as a result of a chemical reaction between gaseous reactants and surface of a substrate at room temperature or greater, resulting in deposition of a film on the substrate surface.
  • Variations of CVD processes include, but are not limited to, Atmospheric Pressure CVD (APCVD), Low Pressure CVD (LPCVD), Plasma Enhanced CVD (PECVD), Metal-Organic CVD (MOCVD), Ultra-high vacuum CVD (UHV-CVD) and combinations thereof.
  • APCVD Atmospheric Pressure CVD
  • LPCVD Low Pressure CVD
  • PECVD Plasma Enhanced CVD
  • MOCVD Metal-Organic CVD
  • UHV-CVD Ultra-high vacuum CVD
  • Other examples of processes for depositing the germanium-containing silicon layer 3 include atomic layer deposition (ALD),
  • the source gasses for epitaxial growth of the germanium and tin alloy layer 10 by CVD includes a germanium source gas, such as germane gas (GeH 4 ), and a tin source gas, such as stannane (SnH 4 ), Stannane-d4 (SnD 4 ) or a combination thereof.
  • a germanium source gas such as germane gas (GeH 4 )
  • a tin source gas such as stannane (SnH 4 ), Stannane-d4 (SnD 4 ) or a combination thereof.
  • the source gas for depositing the germanium and tin alloy layer 10 include a germanium source gas, such as germane gas (GeH 4 ), a tin source gas, such as stannane (SnH 4 ), Stannane-d4 (SnD 4 ) or a combination thereof, and a silicon source gas, such as silicon tetrachloride, dichlorosilane (SiH 2 Cl 2 ), silane (SiH 4 ), and higher-order silanes such as disilane (Si 2 H 6 ) and trisilane (Si 3 H 8 ).
  • the temperature for epitaxial deposition typically ranges from 300° C. to 800° C. Although lower temperature growth is generally favored due to the low solubility of Sn in the Ge or GeSi lattice.
  • the germanium and tin alloy layer 10 may be doped to an n-type or a p-type conductivity or may be an intrinsic semiconductor layer.
  • the dopant that provides the conductivity type of the germanium and tin alloy layer 10 may be deposited in-situ.
  • the term “conductivity type” denotes a p-type or n-type dopant.
  • in-situ it is meant that the dopant that provides the conductivity type of the material layer is introduced as the material layer is being formed or deposited.
  • the source gasses employed during the CVD process may further include a p-type dopant source.
  • diborane (B 2 H 6 ) gas can be introduced into the processing chamber concurrently with the source gasses for the tin, germanium and optional silicon.
  • the source gasses employed during the CVD process may further include an n-type dopant source.
  • phosphine (PH 3 ) gas or arsine (AsH 3 ) gas can be introduced into the processing chamber concurrently with the source gasses for the tin, germanium and optional silicon.
  • the p-type and/or n-type dopant for the germanium and tin alloy layer 10 may also be introduced following the deposition of the germanium and tin alloy layer 10 using at least one of plasma doping, ion implantation, and/or outdiffusion from a disposable diffusion source (e.g., borosilicate glass).
  • a disposable diffusion source e.g., borosilicate glass
  • the thickness of the germanium and tin alloy layer 10 may range from 1 nm to 500 nm. In another embodiment, the thickness of the germanium and tin alloy layer 10 may range from 5 nm to 50 nm. It is noted that the above thicknesses for the germanium and tin alloy layer 10 have been provided for illustrative purposes only, and are not intended to limit the present disclosure. For example, other thicknesses for the germanium and tin alloy layer 10 may be employed, so long as the thickness of germanium and tin alloy layer 10 is great enough so that germanium and tin alloy layer 10 may act as an etch stop during etch processes to remove the remaining portion of the first layer of germanium 5 following etching of the cleaved surface 4 .
  • the concentration of the p-type dopant in the germanium and tin alloy layer 10 ranges from 5 ⁇ 10 17 atoms/cm 3 to 5 ⁇ 10 20 atoms/cm 3 .
  • the concentration of the n-type dopant in the germanium and tin alloy layer 10 ranges from 5 ⁇ 10 17 atoms/cm 3 to 1 ⁇ 10 20 atoms/cm 3 .
  • a second layer of germanium 15 is present on the germanium and tin alloy layer 10 of the germanium substrate 1 .
  • the second layer of germanium 15 may have a germanium content that is greater than 95 at. %.
  • the second layer of germanium 15 may have a germanium content that is greater than 99 at. %.
  • the second layer of germanium 15 may have a germanium content that is 100%.
  • the second layer of germanium 15 may be deposited on the germanium and tin alloy layer 10 using a deposition process, such as CVD.
  • the CVD process for forming the second layer of germanium 15 is selected from the group consisting of Atmospheric Pressure CVD (APCVD), Low Pressure CVD (LPCVD), Plasma Enhanced CVD (PECVD), Metal-Organic CVD (MOCVD), Ultra-high vacuum CVD (UHV-CVD) and combinations thereof.
  • the second layer of germanium 15 may be an epitaxially deposited layer, in which the germanium source of the epitaxial deposition process comprises germane (GeH 4 ) gas. It is also contemplated that the second layer of germanium 15 may also contain Sn and Si to improve the performance of the photovoltaic device (e.g., increases absorption ay longer wavelengths compared to pure Ge).
  • the second layer of germanium 15 may be doped to a p-type conductivity or an n-type conductivity.
  • the dopant that provides the conductivity type of the second layer of germanium 15 may be introduced in-situ during the forming process that provides the second layer of germanium 15 , or the dopant that provides the conductivity type of the second layer of germanium 15 may be introduced using ion implantation or plasma doping after the layer of germanium 15 has been deposited.
  • the thickness of the second layer of germanium 15 may range from 100 nm to 15 um. In another embodiment, the thickness of the second layer of germanium 15 may range from 500 nm to 10 um. In some embodiments, the second layer of germanium 15 may function as a component of the bottom cell of the photovoltaic device.
  • FIG. 2A depicts one embodiment of depositing a stressor layer 20 directly on a surface of the germanium substrate 1 , e.g., directly on the surface of the second layer of germanium 15 .
  • FIG. 2B depicts one embodiment of depositing a stressor layer 20 on a back surface material layer 14 that is present on the germanium substrate 1 , e.g., is present on the second layer of germanium 15 .
  • the back surface material layer 14 may be a single material layer or a multi-layered material layer.
  • the back surface material layer 14 that provides the surface of the germanium substrate 1 may be at least one of a back surface field layer, a passivation layer, a tunnel layer, a back solar cell junction or a combination thereof.
  • the back surface material layer 14 may be provided by any combination of back surface field layers, passivation layers, tunnel layers and back solar cell junctions.
  • the back surface material layer 14 may be provided by a back surface field region that is formed on a surface of the second layer of germanium 15 .
  • a “back surface field (BSF) region” is a doped region having a higher dopant concentration than the second layer of germanium 15 .
  • the back surface field region and the second layer of germanium 15 typically have the same conductivity type, e.g., p-type or n-type conductivity.
  • the interface between the highly doped back surface field (BSF) region and the second layer of germanium 15 having a lower dopant concentration than the back surface field (BSF) region behaves like a p-n junction, and an electric field forms at the interface which introduces a barrier to minority carrier flow to the rear surface.
  • the minority carrier concentration is thus maintained at higher levels in the second layer of germanium 15 and the back surface field (BSF) region has a net effect of passivating the rear surface of the solar cell.
  • the back surface material layer 14 may also include a passivation layer, which may be present on the back surface field region of the second layer of germanium 15 .
  • the back surface material layer may be omitted, wherein the back surface material layer 14 is a single material layer provided by a passivation layer.
  • the passivation layer is a material layer that is formed on the back surface of the second layer of germanium 15 , which provides the lower cell of the subsequently formed photovoltaic cell, wherein the passivation layer reduces the concentration of dangling bonds at the back surface of the bottom cell of the photovoltaic device.
  • the passivation layer is composed of hydrogenated amorphous silicon (a-Si:H).
  • the hydrogenated amorphous silicon is an intrinsic semiconductor layer.
  • Deposition of the hydrogenated amorphous silicon containing material by PECVD includes at least one semiconductor material containing reactant gas and at least one hydrogen containing reactant gas.
  • the semiconductor material containing reactant gas for producing the hydrogenated amorphous silicon containing material includes at least one atom of silicon.
  • the semiconductor material containing reactant gas can include at least one of SiH 4 , Si 2 H 6 , SiH 2 Cl 2 , SiHCl 3 , and SiCl 4 .
  • the hydrogen containing reactant gas for depositing the hydrogenated amorphous silicon containing material by PECVD may be hydrogen gas (H 2 ).
  • the back surface material layer 14 may also include a back solar cell junction may be formed on the passivation layer.
  • the back surface field layer and the passivation layer may be omitted, in which the back surface material layer 14 may be provided by at least one back solar cell junction that is formed on the exposed surface of the second layer of germanium 15 .
  • the back solar cell junction may be composed of any number of p/n junctions and may be composed of any number of materials.
  • the material layers that provide the back solar cell junction may be compound semiconductor materials.
  • Examples of compound semiconductor materials that are suitable for the back solar cell junction include, but are no limited to, aluminum antimonide (AlSb), aluminum arsenide (AlAs), aluminum nitride (AlN), aluminum phosphide (AlP), boron nitride (BN), boron phosphide (BP), boron arsenide (BAs), gallium arsenide (GaAs), gallium phosphide (GaP), indium antimonide (InSb), indium arsenic (InAs), indium nitride (InN), indium phosphide (InP), aluminum gallium arsenide (AlGaAs), indium gallium phosphide (InGaP), aluminum indium arsenic (AlinAs), aluminum indium antimonide (AlInSb), gallium arsenide nitride (GaAsN), gallium arsenide antimonide (GaAs
  • a stressor layer 20 may be deposited directly on a surface of the germanium substrate 1 , as depicted in FIG. 2A , or on a back surface material layer 14 that is present on the germanium substrate 1 , as depicted in FIG. 2B .
  • the stressor layer 20 is composed of a metal containing layer, a polymer layer, an adhesive tape or a combination thereof.
  • the surface that the stressor layer 20 is deposited on can be cleaned prior to further processing to remove surface oxides and/or other contaminants therefrom.
  • that the stressor layer 20 is deposited on may be cleaned by applying a solvent such as, for example, acetone and isopropanol.
  • an optional metal-containing adhesion layer 16 is formed on a surface of the germanium substrate 1 , as depicted in FIG. 2A , or on a surface of the back surface material layer 14 , as depicted in FIG. 2B , prior to forming the stressor layer 20 .
  • the optional metal-containing adhesion layer 16 is employed in embodiments in which the stressor layer 20 has poor adhesion to the surface on which the stressor layer 20 is to be formed.
  • the metal-containing adhesion layer 16 is employed when a stressor layer 20 comprised of a metal is employed.
  • the optional metal-containing adhesion layer 16 employed in the present disclosure includes any metal adhesion material such as, but not limited to, Ti/W, Ti, Cr, Ni or any combination thereof.
  • the optional metal-containing adhesion layer 16 may comprise a single layer or it may include a multilayered structure comprising at least two layers of different metal adhesion materials.
  • the metal-containing adhesion layer 16 may be formed at room temperature (15° C.-40° C.) or above. In one embodiment, the optional metal-containing adhesion layer 16 is formed at a temperature ranging from 20° C. to 180° C. In another embodiment, the optional metal-containing adhesion layer 16 is formed at a temperature that ranges from 20° C. to 60° C.
  • the metal-containing adhesion layer 16 which may be optionally employed, can be formed utilizing deposition techniques.
  • the optional metal-containing adhesion layer 16 can be formed by sputtering, chemical vapor deposition, plasma enhanced chemical vapor deposition, chemical solution deposition, physical vapor deposition, and plating.
  • the sputter deposition process may further include an in-situ sputter clean process before the deposition.
  • the optional metal-containing adhesion layer 16 When employed, the optional metal-containing adhesion layer 16 typically has a thickness of from 5 nm to 200 nm, with a thickness of from 100 nm to 150 nm being more typical. Other thicknesses for the optional metal-containing adhesion layer 16 that are below and/or above the aforementioned thickness ranges can also be employed in the present disclosure.
  • the stressor layer 20 is formed on an exposed surface of the optional metal-containing adhesion layer 16 . In some embodiments in which the optional metal-containing adhesion layer 16 is not present, the stressor layer 20 is formed directly on the germanium substrate 1 , or directly on the back surface material layer 14 that is present on the germanium substrate 1 .
  • the stressor layer 20 that is employed in the present disclosure may include any material that is under tensile stress on germanium substrate 10 at the spalling temperature.
  • Illustrative examples of such materials that are under tensile stress when applied atop the germanium substrate 1 include, but are not limited to, a metal, a polymer, such as a spall inducing tape layer, or any combination thereof.
  • the stressor layer 20 that may comprise a single stressor layer, or a multilayered stressor structure including at least two layers of different stressor material can be employed.
  • the stressor layer 20 is a metal, and the metal is formed on an upper surface of the optional metal-containing adhesion layer 16 .
  • the stressor layer 20 is a spall inducing tape, and the spall inducing tape is applied directly to the surface of the germanium substrate 1 , as depicted in FIG. 2A , or is applied directly to the back surface material layer 14 , as depicted in FIG. 2B .
  • the stressor layer 20 may comprise a two-part stressor layer including a lower part and an upper part. The upper part of the two-part stressor layer can be comprised of a spall inducing tape layer.
  • the metal can include, for example, Ni, Ti, Cr, Fe or W. Alloys of these, and other, metals can also be employed.
  • the stressor layer 20 includes at least one layer consisting of Ni.
  • the polymer is a large macromolecule composed of repeating structural units. These subunits are typically connected by covalent chemical bonds.
  • Illustrative examples of polymers that can be employed as the stressor layer 20 include, but are not limited to, polyimides, polyesters, polyolefins, polyacrylates, polyurethane, polyvinyl acetate, and polyvinyl chloride.
  • the spall inducing tape layer includes any pressure sensitive tape that is flexible, soft, and stress free at the first temperature used to form the tape, yet strong, ductile and tensile at the second temperature used during removal of the upper portion of the base substrate.
  • pressure sensitive tape it is meant an adhesive tape that will stick with application of pressure, without the need for solvent, heat, or water for activation.
  • Tensile stress in the tape is primarily due to thermal expansion mismatch between the first layer of germanium 15 (with a lower thermal coefficient of expansion) of the germanium substrate 1 and the tape (with a higher thermal expansion coefficient).
  • the pressure sensitive tape that is employed in the present disclosure as the stressor layer 20 includes at least an adhesive layer and a base layer.
  • Materials for the adhesive layer and the base layer of the pressure sensitive tape include polymeric materials such as, for example, acrylics, polyesters, olefins, and vinyls, with or without suitable plasticizers.
  • Plasticizers are additives that can increase the plasticity of the polymeric material to which they are added.
  • the stressor layer 20 that is employed in the present disclosure is formed at room temperature (15° C.-40° C.). In another embodiment, when a tape layer is employed, the tape layer can be formed at temperature ranging from 15° C. to 60° C.
  • the stressor layer 20 is a metal or polymer
  • the stressor layer 20 can be formed utilizing deposition techniques that are well known to those skilled in the art including, for example, dip coating, spin-coating, brush coating, sputtering, chemical vapor deposition, plasma enhanced chemical vapor deposition, chemical solution deposition, physical vapor deposition, and plating.
  • the tape layer can be applied by hand or by mechanical means to the structure.
  • the spall inducing tape can be formed utilizing techniques well known in the art or they can be commercially purchased from any well known adhesive tape manufacturer.
  • Some examples of spall inducing tapes that can be used in the present disclosure as stressor layer 20 include, for example, Nitto Denko 3193MS thermal release tape, Kapton KPT-1, and Diversified Biotech's CLEAR-170 (acrylic adhesive, vinyl base).
  • a two-part stressor layer 20 can be formed directly on a surface of the germanium substrate 1 , as depicted in FIG. 2A , or can be formed directly on a surface of a back surface material layer 14 , as depicted in FIG. 2B , wherein a lower part of the two-part stressor layer 20 is formed at a first temperature, which is at room temperature or slight above (e.g., from 15° C. to 60° C.), wherein an upper part of the two-part stressor layer 20 comprises a spall inducing tape layer at an auxiliary temperature which is at room temperature.
  • the stressor layer 20 is of a metallic nature, it typically has a thickness of from 3 ⁇ m to 50 ⁇ m, with a thickness of from 4 ⁇ m to 8 ⁇ m being more typical. Other thicknesses for the stressor layer 20 that are below and/or above the aforementioned thickness ranges can also be employed in the present disclosure.
  • the stressor layer 20 is of a polymeric nature, it typically has a thickness of from 10 ⁇ m to 200 ⁇ m, with a thickness of from 50 ⁇ m to 100 ⁇ m being more typical. Other thicknesses for the stressor layer 20 that are below and/or above the aforementioned thickness ranges can also be employed in the present disclosure.
  • an optional handle substrate 25 can be formed atop the stressor layer 20 .
  • the optional handle substrate 25 employed in the present disclosure comprises any flexible material that has a minimum radius of curvature of less than 30 cm.
  • Illustrative examples of flexible materials that can be employed as the optional handle substrate 25 include a metal foil or a polyimide foil.
  • the optional handle substrate 25 can be used to provide better fracture control and more versatility in handling the spalled portion of the germanium substrate 1 . Moreover, the optional handle substrate 25 can be used to guide the crack propagation during the spalling process of the present disclosure.
  • the optional handle substrate 25 of the present disclosure is typically, but not necessarily, formed at room temperature (15° C.-40° C.).
  • the optional handle substrate 25 can be formed utilizing deposition techniques that are well known to those skilled in the art including, for example, dip coating, spin-coating, brush coating, sputtering, chemical vapor deposition, plasma enhanced chemical vapor deposition, chemical solution deposition, physical vapor deposition, plating, mechanical adhesion, thermocompression bonding or soldering.
  • the optional handle substrate 25 typical has a thickness of from 1 ⁇ m to few mm, with a thickness of from 70 ⁇ m to 120 ⁇ m being more typical. Other thicknesses for the optional handle substrate 18 that are below and/or above the aforementioned thickness ranges can also be employed in the present disclosure.
  • FIGS. 3A and 3B depict applying a stress from the stressor layer 20 to the germanium substrate 1 , in which the stress cleaves the germanium substrate 1 to provide a cleaved surface 4 on the remaining portion of the first layer of germanium 5 a .
  • FIG. 3A depicts applying a stress to the germanium substrate 1 from a stressor layer 20 that is deposited directly on the germanium substrate 1 .
  • FIG. 3B depicts applying a stress to the germanium substrate 1 from a stressor layer 20 that is deposited on a back surface material layer 14 that is present on the germanium substrate 1 .
  • the germanium and tin alloy layer 10 is present between the surface that the stressor layer 20 is formed on and the cleaved surface 4 of the germanium substrate 1 .
  • reference numeral 5 a denotes the remaining portion of the first layer of germanium 5 that is attached to the stressor layer 20
  • reference numeral 5 b denotes the spalled portion of the first layer of germanium 5 that is removed.
  • the condition that results in spalling of the germanium substrate 1 is related to the combination of the stressor layer 20 thickness value and the stress value for the stressor layer 20 , as well as the mechanical properties of the germanium substrate 1 .
  • t* [(2.5 ⁇ 10 6 )(K IC 3/2 )]/ ⁇ 2
  • t* is the thickness value (in units of microns) of the stressor layer 20 at which controlled spalling becomes possible
  • K IC is the fracture toughness value of the germanium substrate 1 (in units of MPa*m 1/2 ), e.g., fracture toughness value of the first layer of germanium 5
  • is the magnitude of the stress value in the stressor layer 20 (in units of MPa, or megapascals).
  • the thickness of the stressor layer 20 may be anywhere from about 1 um to about 50 um, or from about 3 um to about 30 um, or about 4 um to about 20 um thick.
  • Selection of the stressor layer 20 does not have to be based on the difference between the coefficient of thermal expansion of the stressor layer 20 and the coefficient of thermal expansion of the germanium substrate 1 for promoting spontaneous spalling as in the prior art, where spalling is effected by cooling the structure from an elevated temperature (about 900° C.) to a lower temperature.
  • the present disclosure does not rely on spontaneous spalling, but rather the use of mechanical force, and controlled fracture at substantially room temperature (about 20° C.) to separate layers or layers from the germanium substrate 1 , e.g., separate a first portion 5 a of the first layer of germanium 5 from the germanium substrate 1 .
  • the thickness of the remaining portion 5 a of the first layer of germanium 5 that is attached to the stressor layer 20 from the germanium substrate 1 is roughly twice to three times the thickness value of thickness values of stressor layer 20 .
  • the operable thickness value of stressor layer 20 (t*) can be chosen to remove a controlled thickness of the first layer of germanium 5 .
  • the thickness of the remaining portion of the germanium substrate 1 after spalling which includes the second layer of germanium 15 , the germanium and tin alloy layer 10 , and the remaining portion 5 a of the first layer of germanium, is desired to be approximately 10 um, then a stressor layer 20 of nickel would need to be approximately 4 um thick.
  • the expression for t* above can be used to calculate that a stress value of about 600 MPa is required.
  • heating the stressor layer 20 often has the effect of increasing the stress value. This is due to microstructural changes within the stressor layer 20 that occur upon annealing and is irreversible. Localized heating is therefore contemplated to initiate fracture in the periphery of the area to be layer transferred. In other words, spontaneous spalling can be made to occur in small, selected regions to help initiate fracture, e.g., by increasing the thickness of the stress layer in these small selected regions. Localized heating can be performed using a laser, remote induction heating, or direct contact heating.
  • the first portion 5 a of the first layer of germanium 5 having the cleaved surface 4 that is formed by the controlled spalling process mentioned above typically has a thickness of from 1000 nm to tens of pm, with a thickness of from 5 ⁇ m to 50 ⁇ m being more typical.
  • the second portion 5 b of the first layer of germanium 5 that is removed from the geranium substrate by the spalling process mentioned above typically has a thickness of from 1000 nm to tens of ⁇ m, with a thickness of from 5 ⁇ m to 50 ⁇ m being more typical.
  • the optional handle substrate 25 , the stressor layer 20 , and the optional metal-containing adhesion layer 16 can be removed from the germanium substrate 1 .
  • aqua regia HNO 3 /HCl
  • UV or heat treatment is used to remove the optional handle substrate 25 , followed by a chemical etch to remove the tensile stressed layer 20 , followed by a different chemical etch to remove the optional metal-containing adhesion layer 16 .
  • FIGS. 4A and 4B depict some embodiments of etching the cleaved surface 4 of the germanium substrate 1 selectively to the germanium and tin alloy layer 10 .
  • FIG. 4A depicts etching the cleaved surface 4 of the structure depicted in FIG. 3A
  • FIG. 4B depicts etching the cleaved surface 4 of the structure depicted in FIG. 3B .
  • the germanium and tin alloy layer 10 functions as an etch stop to remove the cleaved surface 4 , and remove variation in thickness in the germanium substrate 1 that results from the cleaved surface 4 .
  • the term “selective” in reference to a material removal process denotes that the rate of material removal for a first material is greater than the rate of removal for at least another material of the structure to which the material removal process is being applied. In some examples, the selectivity may be greater than 100:1, e.g., 1000:1.
  • the etch process that removes the cleaved surface 4 of the remaining portion 5 a of the first layer of germanium 5 is a wet etch including an etch chemistry that is selective to the germanium and tin alloy layer 10 .
  • the etch chemistry includes hydrogen peroxide (H 2 O 2 ).
  • the etch chemistry for removing the remaining portion 5 a of the first layer of germanium 5 selectively to the germanium and tin layer 10 includes solutions of hydrogen peroxide (H 2 O 2 ), hydrofluoric acid (HF) and water (H 2 O), or dry etching techniques, such as xenon diflouride (XeF 2 ) etching.
  • etch chemistries have been provided for illustrative purposes only, and are not intended to limit the present disclosure.
  • other etch chemistries may be employed, so long as the etch chemistry removes the remaining portion 5 a of the first layer of germanium 5 without removing the germanium and tin alloy layer 10 .
  • Other etch processes that can be used at this point of the present disclosure include reactive ion etch, ion beam etching, plasma etching or laser ablation.
  • the thickness of the germanium substrate 1 may range from 0.1 microns to 20 microns.
  • the thickness of the germanium substrate, i.e., second layer of germanium 15 and the germanium and tin alloy layer 10 may range from 0.5 microns to 5 microns.
  • the variation of thickness across the entire width of the germanium substrate 1 at this point of the present disclosure is less than 1000 ⁇ .
  • FIGS. 5A and 5B depict some embodiments of removing the germanium and tin alloy layer 10 .
  • FIG. 5A depicts removing the germanium and tin alloy layer 10 from the structure depicted in FIG. 4A
  • FIG. 5B depicts removing the germanium and tin alloy layer 10 from the structure depicted in FIG. 4B .
  • the germanium and tin alloy layer 10 is removed selectively to the second layer of germanium 15 .
  • the etch process that removes the germanium and tin alloy layer 10 is a wet etch including an etch chemistry that is selective to the second layer of germanium 15 .
  • the etch chemistry for removing the germanium and tin alloy layer 10 selectively to the second layer of germanium 15 includes Ammonium hydroxide (NH 4 OH), potassium hydroxide (KOH), tetramethyl ammonium hydroxide (TMAH) and mixtures or dilutions thereof. It is noted that the above etch chemistries have been provided for illustrative purposes only, and are not intended to limit the present disclosure. For example, other etch chemistries may be employed, so long as the etch chemistry removes the germanium and tin alloy layer 10 without removing the second layer of germanium 15 . Other etch processes that can be used at this point of the present disclosure include reactive ion etch, ion beam etching, plasma etching or laser ablation.
  • the thickness of the germanium substrate 1 i.e., second layer of germanium 15
  • the thickness of the second layer of germanium 15 may range from 0.5 microns to 5 microns.
  • the variation of thickness across the entire width of the second layer of germanium 15 at this point of the present disclosure is less than 1000 ⁇ .
  • FIG. 1-5B depict one embodiment of a spalling method in which the germanium and tin alloy layer 10 functions as an etch stop layer to provide a germanium layer having a uniform thickness across its entire width.
  • the germanium and tin alloy layer 10 is employed as fracture guiding layers when used in conjunction with controlled spalling technology.
  • the germanium and tin alloy layer 10 when employed as fracture guiding layers can be exposed to hydrogen to alter the bonding structure of the germanium and tin alloy layer 10 in order to facilitate facture within the germanium and tin alloy layer 10 .
  • FIGS. 6A and 6B depict some embodiments of depicting weakening the germanium and tin alloy layer 10 before applying a stress from the stressor layer 10 to the germanium substrate 1 , wherein in this embodiment the germanium and tin alloy layer 10 provides a fracture plane.
  • the germanium substrate 1 that is depicted in FIGS. 6A and 6B is similar to the germanium substrate 1 that is depicted in FIG. 1 . Therefore, the above description of the germanium substrate 1 including the first layer of germanium 5 , the germanium and tin alloy layer 10 and the second layer of germanium 15 that are depicted in FIG.
  • the germanium substrate 1 is suitable to describe the germanium substrate 1 including the first layer of germanium 5 , the germanium and tin alloy layer 10 , and the second layer of germanium 15 that are depicted in FIGS. 6A and 6B .
  • the description of the back surface material layer 14 , the stressor layer 20 , the handling substrate 25 , and the optional metal-containing adhesion layer 16 that are depicted in FIGS. 2A and 3B is suitable for the back surface material layer 14 , the stressor layer 20 , the handling substrate 25 , and the optional metal-containing adhesion layer 16 that are depicted in FIGS. 6A and 6B .
  • the composition of the germanium and tin alloy layer 10 is selected so that the germanium and tin alloy layer 10 is under an intrinsic stress. More specifically, the germanium and tin alloy layer 10 is typically a compressively strained material when formed over a germanium material, such as the first layer of germanium 5 .
  • the germanium and tin alloy layer 10 includes silicon, and the silicon is present in the germanium and tin alloy layer 10 in a greater concentration than the tin that is present in the germanium and tin alloy layer 10 , the germanium and tin alloy layer 10 is a tensilely strained material.
  • Ge(SiSn) ternary alloys with a molar ratio of Si:Sn of approximately 4:1 result in a lattice parameter similar to that of Ge the most general alloy range that results in compressive stress is given by: Ge x (Si 1 ⁇ y Sn y ) 1 ⁇ x where (0 ⁇ x ⁇ 1) and (0.2 ⁇ y ⁇ 1). Likewise, tensile stress will result when (0 ⁇ y ⁇ 0.2).
  • a tensilely strained germanium and tin alloy layer 10 may include 50 at. % germanium, 5 at. % tin, and 45 at. % silicon.
  • a compressively strained germanium and tin alloy layer 10 may include 50 at. % germanium, 15 at. % tin, and 35 at. % silicon.
  • the germanium and tin alloy layer 10 is weakened by treating at least one surface of the germanium substrate 1 with a hydrogen containing gas and/or plasma, or a hydrogen containing acid.
  • the treatment of the germanium substrate 1 with the hydrogen containing gas and/or plasma, or a hydrogen containing acid may be conducted prior to depositing of the stressor layer 20 to the germanium substrate 1 , or the treatment of germanium substrate 1 with the hydrogen containing gas and/or plasma, or a hydrogen containing acid may be conducted after depositing of the stressor layer 20 to the germanium substrate 1 .
  • the stressor layer 20 may be deposited directly on the second layer of germanium 15 of the germanium substrate 1 , or the stressor layer 20 may be deposited on a back surface material layer 14 that is present on the germanium substrate 1 .
  • the hydrogen containing gas is hydrogen gas (H 2 ).
  • the hydrogen may be accompanied by a carrier gas, such as argon or helium.
  • the germanium substrate 1 may be treated with a hydrogen containing plasma.
  • a plasma is a gas in which a majority of the atoms or molecules are ionized.
  • the plasma may be created by RF (AC) frequency, or DC discharge between two electrodes, in which the space between the two electrodes contains hydrogen containing gases.
  • the electrical energy transforms the hydrogen containing gas mixture into reactive radicals, ions, neutral atoms and molecules, and other highly excited species. Chemical reactions are involved in the process, which occur after creation of a plasma of the reacting gases.
  • fractional ionization in plasmas used for deposition and related materials processing varies from 10 ⁇ 4 , in typical capacitive discharges, to as high as 5-10%, in high density inductive plasmas.
  • Plasmas are typically operated at pressures of a few millitorr, e.g., 1 millitorr to 10 millitorr, to a few torr, e.g., 1 torr to 10 torr, although arc discharges and inductive plasmas can be ignited at atmospheric pressure.
  • the plasma may be provided by radio-frequency capacitive discharge, inductively coupled plasma (ICP), electron cylclotron resonance (ECR), and helicon waves.
  • the hydrogen containing acid may be provided by hydrofluoric acid (HF), hydrochloric acid (HCl) or sulphuric acid. It is also contemplated that hydrogenation or deuteration be performed at high pressures (such as many atmoshperes) to increase transport of hydrogen into the substrate.
  • the hydrogen diffuses to the bonds within the intrinsically stressed germanium and tin alloy layer 10 , wherein the interaction between the hydrogen and the bonds of the germanium and tin alloy layer 10 weakens the bonding within the germanium and tin alloy layer 10 .
  • FIGS. 7A and 7B depict some embodiments of applying a stress from the stressor layer 20 to the germanium substrate 1 depicted in FIGS. 6A and 6B , in which the stress cleaves the germanium substrate 1 along the germanium and tin alloy layer 10 .
  • the applying of the stress by the tensile stressed layer 20 to the germanium substrate 1 that is depicted in FIGS. 7A and 7B is similar to the method of applying the stress to the germanium substrate 1 using the stressor layer 20 that is described above with reference to FIGS. 2A and 2B , with the exception that in the method depicted in FIGS. 7A and 7B the germanium substrate 1 cleaves along the germanium and tin alloy layer 10 .
  • FIGS. 8A and 8B depict some embodiments of removing the remaining portion 10 a of germanium and tin alloy layer 10 from the structure depicted in FIG. 7 .
  • the remaining portion 10 a of the germanium and tin alloy layer 10 is removed selectively to the second layer of germanium 15 .
  • the etch process that removes the remaining portion 10 a of the germanium and tin alloy layer 10 is a wet etch including an etch chemistry that is selective to the second layer of germanium 15 .
  • the etch chemistry for removing the remaining portion 10 a of the germanium and tin alloy layer 10 selectively to the second layer of germanium 15 includes ammonium hydroxide (NH 4 OH), potassium hydroxide (KOH), tetramethyl ammonium hydroxide (TMAH) and mixtures or dilutions thereof. It is noted that the above etch chemistries have been provided for illustrative purposes only, and are not intended to limit the present disclosure. For example, other etch chemistries may be employed, so long as the etch chemistry removes the germanium and tin alloy layer 10 without removing the second layer of germanium 15 . Other etch processes that can be used at this point of the present disclosure include reactive ion etch, ion beam etching, plasma etching or laser ablation.
  • the thickness of the germanium substrate 1 i.e., second layer of germanium 15
  • the thickness of the second layer of germanium 15 may range from 0.5 microns to 10 microns.
  • the variation of thickness across the entire width of the second layer of germanium 15 at this point of the present disclosure is less than 1000 ⁇ .
  • FIG. 9A depicts one embodiment of forming a photovoltaic device 100 a on the remaining portion of the germanium substrate 1 that results from the method sequence that is depicted in FIGS. 1-8A .
  • FIG. 9A depicts one embodiment of a photovoltaic device 100 a , such as a multi-junction III-V photovoltaic cell, that includes a second layer of germanium 15 (hereafter referred to as layer of germanium 15 ) having a thickness ranging from 1 micron to 10 microns, wherein the layer of germanium 15 has a variation of thickness across the entire width of the first layer of germanium that is less than 1000 ⁇ .
  • the layer of germanium 15 may be provided by the at least one of the spalling methods that are described above with reference to FIGS. 1-8A , and provide at least one component of the bottom cell 150 of the photovoltaic device 100 a .
  • the layer of germanium 15 typically has a first conductivity, and a semiconductor layer 6 is typically formed atop the layer of germanium 15 having a second conductivity that is opposite the first conductivity.
  • a semiconductor layer 6 is typically formed atop the layer of germanium 15 having a second conductivity that is opposite the first conductivity.
  • the semiconductor layer 6 is doped to an n-type conductivity, and vice versa.
  • the semiconductor layer 6 may be composed of a silicon containing material or of a germanium containing material.
  • the semiconductor layer 6 may be an epitaxially deposited layer.
  • Examples of CVD processes suitable for forming the semiconductor layer 6 include Atmospheric Pressure CVD (APCVD), Low Pressure CVD (LPCVD), Plasma Enhanced CVD (PECVD), Metal-Organic CVD (MOCVD), Ultra-high vacuum CVD (UHV-CVD) and combinations thereof.
  • APCVD Atmospheric Pressure CVD
  • LPCVD Low Pressure CVD
  • PECVD Plasma Enhanced CVD
  • MOCVD Metal-Organic CVD
  • UHV-CVD Ultra-high vacuum CVD
  • the combination of the layer of germanium 15 and the semiconductor layer 6 may provide the bottom cell 150 of the photovoltaic device 100 a .
  • the bottom cell 150 of the photovoltaic device may have a thickness of 10 microns or less.
  • the photovoltaic device 100 a includes at least one top cell 200 comprised of at least one III-V semiconductor material that is present in direct contact with a bottom cell 150 that is comprised of a germanium containing material.
  • the at least one top cell 200 is composed of any number of layers of any number of III-V semiconductor materials.
  • a “III-V semiconductor material” is an alloy composed of elements from group III and group V of the periodic table of elements.
  • the at least one top cell 200 is comprised of at least one III-V semiconductor material selected from the group consisting of aluminum antimonide (AlSb), aluminum arsenide (AlAs), aluminum nitride (AlN), aluminum phosphide (AlP), gallium arsenide (GaAs), gallium phosphide (GaP), indium antimonide (InSb), indium arsenic (InAs), indium nitride (InN), indium phosphide (InP), aluminum gallium arsenide (AlGaAs), indium gallium phosphide (InGaP), aluminum indium arsenic (AlinAs), aluminum indium antimonide (AlInSb), gallium arsenide nitride (GaAsN), gallium arsenide antimonide (GaAsSb), aluminum gallium nitride (AlGaN), aluminum gallium phosphide
  • Each of the III-V semiconductor materials that provide the at least one top cell 200 may have a single crystal, multi-crystal or polycrystalline crystal structure. Each of the III-V semiconductor materials may be epitaxial. To provide a junction with each of the cells in the at least one top cell 200 and to provide a junction with the bottom cell 150 , the III-V semiconductor materials may be doped to a p-type or n-type conductivity. The effect of the dopant atom, i.e., whether it is a p-type or n-type dopant, depends occupied by the site occupied by the dopant atom on the lattice of the base material.
  • atoms from group II act as acceptors, i.e., p-type, when occupying the site of a group III atom, while atoms in group VI act as donors, i.e., n-type, when they replace atoms from group V.
  • Dopant atoms from group IV such a silicon (Si), have the property that they can act as acceptors or donor depending on whether they occupy the site of group III or group V atoms respectively.
  • impurities are known as amphoteric impurities.
  • Each of the layers in the at least one top cell 200 that provide PN junctions may have a thickness ranging from 100 nm to 6,000 nm. In another embodiment, each of the layers in the at least one top cell 20 may have a thickness ranging from 500 nm to 4,000 nm.
  • front contacts 500 may then be formed in electrical communication with at least the at least one top cell 200 of at least one III-V semiconductor material.
  • the front contacts 500 may be deposited with a screen printing technique.
  • the front contacts 500 are provided by the application of an etched or electroformed metal pattern.
  • the metallic material used in forming the metal pattern for the front contacts 500 may include applying a metallic paste.
  • the metallic paste may be any conductive paste, such as Al paste, Ag paste or AlAg paste.
  • the metallic material used in forming the metal pattern for the front contact 500 may also be deposited using sputtering or plating.
  • the back contact may be provided by the stressor layer 20 , when the stressor layer 20 is composed of a conductive material, such as a metal. In other embodiments, the back contact may be formed using similar methods and materials as the front contacts 500 .
  • FIG. 9B depicts one embodiment of a photovoltaic device 100 b that results from the method sequences that is depicted in FIGS. 1-8B .
  • the photovoltaic structure 100 a , 100 b depicted in FIGS. 9A and 9B is provided for illustrative purposes only and is not intended to limit the present disclosure, as other photovoltaic structures are within the scope of the present disclosure.
  • the photovoltaic structure that is depicted in FIGS. 9A and 9B may further include tunneling layers, reflector layers, anti-reflective layers, and transparent conductive oxide layers.
  • an intrinsic semiconductor layer is present between the layer of germanium 15 and the semiconductor layer 6 , and the photovoltaic device is a p-i-n solar cell.

Abstract

A method cleaving a semiconductor material that includes providing a germanium substrate having a germanium and tin alloy layer is present therein. A stressor layer is deposited on a surface of the germanium substrate. A stress from the stressor layer is applied to the germanium substrate, in which the stress cleaves the germanium substrate to provide a cleaved surface. The cleaved surface of the germanium substrate is then selective to the germanium and tin alloy layer of the germanium substrate. In another embodiment, the germanium and tin alloy layer may function as a fracture plane during a spalling method.

Description

    BACKGROUND
  • The present disclosure relates to photovoltaic device manufacturing, and more particularly, to methods for controlling the removal of a surface layer from a substrate utilizing spalling.
  • A photovoltaic device is a device that converts the energy of incident photons to electromotive force (e.m.f.). Typical photovoltaic devices include solar cells, which are configured to convert the energy in the electromagnetic radiation from the Sun to electrical energy. Multi-junction solar cells comprising compound semiconductors may be employed for power generation in space due to their high efficiency and radiation stability. Multi-junction solar cells are mainly fabricated on germanium (Ge) substrates due to the inherently strong (IR) absorption property of germanium (Ge). Germanium (Ge) also includes a crystal structure that can be lattice matched to III-V compound semiconductors, which allows for integration of III-V sub cells on a germanium (Ge) substrate. The germanium (Ge) substrate may constitute nearly 50% to 70% of the final cost of the finished solar cell.
  • There is a trend within the photovoltaic industry to continually minimize the amount of semiconductor material used to fabricate solar cells, while maximizing energy conversion efficiency. The high-cost associated with producing semiconductor materials degrades the cost per Watt metric of a given photovoltaic technology. Also, expensive semiconductor material that is not contributing to energy conversion can be considered waste at the device level.
  • SUMMARY
  • In one embodiment, the present disclosure provides a method of cleaving a semiconductor material, such as a germanium substrate, to provide at least one component of a photovoltaic cell. In one embodiment, the method of cleaving a semiconductor material includes providing a germanium substrate, in which a germanium and tin alloy layer is present within the germanium substrate. A stressor layer may be deposited on a surface that is present on the germanium substrate. A stress from the stressor layer may be applied to the germanium substrate, in which the stress cleaves the germanium substrate to provide a cleaved surface. The germanium and tin alloy layer is present between the surface of the germanium substrate that the stressor layer is formed on and the cleaved surface of the germanium substrate. The cleaved surface of the germanium substrate may then be etched selectively to the germanium and tin alloy layer of the germanium substrate. In some embodiments, the above-described method employs the germanium and tin alloy layer as an etch stop to remove the cleaved surface, and remove variation in thickness in the germanium substrate that results from the cleaved surface.
  • In another embodiment, a method of cleaving a semiconductor material is provided that includes providing a germanium substrate, in which a germanium and tin alloy layer is present within the germanium substrate. The germanium tin alloy layer may be weakened. A stressor layer may be deposited on a surface that is present on the germanium substrate. A stress from the stressor layer is applied to the germanium substrate, in which the stress cleaves the germanium substrate along the germanium and tin alloy layer. In some embodiments, the above-described method employs the germanium and tin alloy layer as a cleave layer to dictate the depth at which the germanium substrate may be spalled.
  • In another aspect, a photovoltaic device is provided that includes a layer of germanium having a first conductivity and a thickness ranging from 1 micron to 10 microns, wherein the layer of germanium has a variation of thickness across the entire width of the first layer of germanium that is less than 1000 Å. A semiconductor layer is present on the layer of germanium, wherein the semiconductor layer has a second conductivity that is opposite the first conductivity.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The following detailed description, given by way of example and not intended to limit the disclosure solely thereto, will best be appreciated in conjunction with the accompanying drawings, wherein like reference numerals denote like elements and parts, in which:
  • FIG. 1 is a side cross-sectional view depicting a germanium substrate including a germanium and tin alloy layer present therein, as used in one embodiment of a method of forming a photovoltaic device, in accordance with the present disclosure.
  • FIG. 2A is a side cross-sectional view depicting depositing a stressor layer directly to a surface of the germanium substrate, in accordance with one embodiment of the present disclosure.
  • FIG. 2B is a side cross-sectional view depicting depositing at least one of a passivation layer, back surface field layer, tunnel layer and/or III-V solar cell on the germanium substrate prior to depositing the stressor layer, in accordance with one embodiment of the present disclosure.
  • FIGS. 3A and 3B are side cross-sectional views depicting applying a stress from the stressor layer to the germanium substrate, in which the stress cleaves the germanium substrate to provide a cleaved surface, in accordance with one embodiment of the present disclosure.
  • FIGS. 4A and 4B are side cross-sectional views depicting etching the cleaved surface of the germanium substrate selectively to the germanium and tin alloy layer, in accordance with one embodiment of the present disclosure.
  • FIGS. 5A and 5B are side cross-sectional views depicting removing the germanium and tin alloy layer, in accordance with one embodiment of the present disclosure.
  • FIGS. 6A and 6B are side cross-sectional views depicting weakening the germanium and tin alloy layer before applying a stress from the stressor layer to the germanium substrate, wherein in this embodiment the germanium and tin alloy layer provides a fracture plane, in accordance with one embodiment of the present disclosure.
  • FIGS. 7A and 7B are side cross-sectional views depicting applying a stress from the stressor layer to the germanium substrate depicted in FIGS. 6A and 6B, in which the stress cleaves the germanium substrate along the germanium and tin alloy layer, in accordance with one embodiment of the present disclosure.
  • FIGS. 8A and 8B are side cross-sectional views depicting removing the remaining portion of germanium and tin alloy layer from the structure depicted in FIGS. 7A and 7B, in accordance with one embodiment of the present disclosure.
  • FIGS. 9A and 9B are a side cross-sectional view depicting forming a photovoltaic device on the remaining portion of the germanium substrate that results from the method sequences that are depicted in FIGS. 1-8B, in accordance with one embodiment of the present disclosure.
  • DETAILED DESCRIPTION
  • Detailed embodiments of the claimed structures and methods are disclosed herein; however, it is to be understood that the disclosed embodiments are merely illustrative of the claimed structures and methods that may be embodied in various forms. In addition, each of the examples given in connection with the various embodiments are intended to be illustrative, and not restrictive. Further, the figures are not necessarily to scale, some features may be exaggerated to show details of particular components. Therefore, specific structural and functional details disclosed herein are not to be interpreted as limiting, but merely as a representative basis for teaching one skilled in the art to variously employ the methods and structures of the present disclosure.
  • References in the specification to “one embodiment”, “an embodiment”, “an example embodiment”, etc., indicate that the embodiment described may include a particular feature, structure, or characteristic, but every embodiment may not necessarily include the particular feature, structure, or characteristic. Moreover, such phrases are not necessarily referring to the same embodiment. Further, when a particular feature, structure, or characteristic is described in connection with an embodiment, it is submitted that it is within the knowledge of one skilled in the art to affect such feature, structure, or characteristic in connection with other embodiments whether or not explicitly described.
  • For purposes of the description hereinafter, the terms “upper”, “lower”, “right”, “left”, “vertical”, “horizontal”, “top”, “bottom”, and derivatives thereof shall relate to the invention, as it is oriented in the drawing figures. The terms “on”, “overlying”, “atop”, “positioned on ” or “positioned atop” means that a first element, such as a first structure, is present on a second element, such as a second structure, wherein intervening elements, such as an interface structure, e.g. interface layer, may be present between the first element and the second element. The terms “directly on”, “direct contact” means that a first element, such as a first structure, and a second element, such as a second structure, are connected without any intermediary conducting, insulating or semiconductor layers at the interface of the two elements.
  • The present disclosure relates to using spalling methods in the manufacture of photovoltaic devices, in which the disclosed spalling methods introduce a layer of an alloy of tin and germanium within a germanium substrate in order to further control crack initiation, crack propagation, as well as increase the selectivity of the spalling depths in semiconductor layers, such as germanium (Ge) substrates. As used herein, a “photovoltaic device” is a device, such as a solar cell, that produces free electrons and/or electron vacancies, i.e., holes, when exposed to radiation, such as light, and results in the production of an electric current. The photovoltaic device typically includes layers of p-type conductivity and n-type conductivity that share an interface to provide a heterojunction.
  • One of the more efficient materials for photovoltaic device applications are III-V compound semiconductor materials, such as gallium arsenide (GaAs). Stacking layers of thin compound semiconductors to provide PN junctions of different compositions (and bandgaps) may provide that a wider portion of the solar spectrum can be captured by a photovoltaic device, which leads to higher efficiency. Germanium may be used as the substrate upon which the compound semiconductor layers, such as III-V compound semiconductors, are grown. Germanium typically serves as the bottom-most junction of the photovoltaic device, and due to its small bandgap, captures the longer wavelength portion of the solar spectrum.
  • Germanium single crystal substrates are energy intensive to produce, and are expensive. Once a portion of the photovoltaic cell has been formed on a germanium substrate, the portion of the germanium substrate that has been processed to provide a photovoltaic cell may be transferred onto an inexpensive substrate, wherein the portion of the germanium substrate that has not been processed can then be employed in the formation of another photovoltaic cell. Spalling is one method for cleaving the germanium substrate. Spalling includes the deposition of a stress-inducing layer that is deposited on the surface of the germanium substrate that can cleave the germanium substrate by fracture. It has however been determined that the transfer of germanium layers from germanium substrates in the formation of multi-junction photovoltaic cells by spalling disadvantageously results in thickness variations in the transferred germanium layer. For example, the thickness variation in the transferred portion of the germanium containing substrate may be on the order of several microns. The variation in the thickness of the transferred portion of the germanium substrate disadvantageously impacts the current matching of the overall multi-junction stack that provides the photovoltaic device, as the current produced by each cell should be the same for optimal efficiency. The current will vary with residual germanium layer thickness, the overall efficiency of the cells will likewise vary. The present disclosure provides a method of producing multi-junction photovoltaic device structures including a germanium layer, i.e., transferred portion of a germanium substrate, having a controllable and uniform thickness. More specifically and in one embodiment, the method disclosed herein employs a germanium and tin alloy layer, i.e., binary GeSn alloy layer, or a silicon, germanium and tin alloy layer, i.e., ternary SiGeSn alloy layer, to define the final thickness of the residual germanium layer (transferred portion of the germanium substrate).
  • FIGS. 1-5B depict one embodiment of the present disclosure in which a layer of germanium and tin alloy and/or silicon germanium and tin is used as etch stop to define the residual germanium thickness following spalling. FIG. 1 depicts a germanium substrate 1 including a germanium and tin alloy layer 10. In one embodiment, the germanium substrate 1 may include a material stack that includes, from bottom to top, a first layer of germanium 5, the germanium and tin alloy layer 10 that is present on the first layer of germanium 5, and a second layer of germanium 15 that is present on the germanium and tin alloy layer 10.
  • In one embodiment, the first layer of germanium 5 may have a germanium content that is greater than 95 atomic (at.) %. In another embodiment, the first layer of germanium 5 may have a germanium content that is greater than 99 at. %. In one example, the first layer of germanium 5 may have a germanium content that is 100 at. %. The first layer of germanium 5 may be formed using a single crystal (monocrystalline) method. One example of a single crystal method for forming the first layer of germanium 5 that provides the germanium substrate is the Czochralski (CZ) method. The Czochralski (CZ) method includes taking a seed of single-crystal germanium and placing it in contact with the top surface of molten germanium. As the seed is slowly raised (or pulled), atoms of the molten germanium solidify in the pattern of the seed and extend the single-crystal structure. The single-crystal structure is then sawn into wafers, i.e., substrates, that can provide the first layer of germanium 5.
  • The first layer of germanium 5 may be doped to a n-type or p-type conductivity or may be an intrinsic semiconductor layer. An “intrinsic semiconductor layer” is a layer of semiconductor material that is substantially pure, i.e., an intrinsic semiconductor layer is not doped with n-type or p-type dopants. As used herein, “p-type” refers to the addition of impurities to an intrinsic semiconductor that creates deficiencies of valence electrons (i.e. holes). As used herein, “n-type” refers to the addition of impurities that contributes free electrons to an intrinsic semiconductor. The thickness of the first layer of germanium 5 may range from 50 um to 10 cm. In another embodiment, the thickness of the first layer of germanium 5 may range from 80 um to 1 mm.
  • It is noted that the above thicknesses for the first layer of germanium 5 have been provided for illustrative purposes only, and are not intended to limit the present disclosure. For example, other thicknesses of the first layer of germanium 5 may be employed, so long as the thickness of the first layer of germanium 5 provides that at least a residual portion of the first layer of germanium 5 remains after spalling, so that the subsequently formed germanium and tin alloy layer 10 is present between the cleaved surface of the first layer of germanium 15 and the subsequently formed second layer of germanium 15.
  • The germanium and tin alloy layer 10 that provides the etch stop layer, and may include a portion of the bottom cell of the photovoltaic device, may be an epitaxially formed layer that is deposited directly on a surface of the first layer of germanium 5. The terms “epitaxially formed”, “epitaxial growth” and/or “epitaxial deposition” means the growth of a semiconductor material on a deposition surface of a semiconductor material, in which the semiconductor material being grown has the same crystalline characteristics as the semiconductor material of the deposition surface. Therefore, in the embodiments in which the first layer of germanium 5 has a single crystal crystalline structure, the epitaxially grown germanium and tin alloy layer 10 also has a single crystal crystalline structure. Further, in the embodiments in which the first layer of germanium 5 has a polycrystalline structure, the epitaxially grown germanium and tin alloy layer 10 will also have a polycrystalline structure.
  • The germanium and tin alloy layer 10 may be composed of 0.5% at. to 20 at. % tin, and a remainder of germanium. In another embodiment, the germanium and tin alloy layer 10 may be composed of 5 at. % to 20 at. % tin, and 80 at. % to 95 at. % germanium. In yet another embodiment, the germanium and tin alloy layer 10 may be composed of 10 at. % to 15 at. % tin and 85 at. % to 90 at. % germanium. It is noted that the above concentrations have been provided for illustrative purposes only, and are not intended to limit the present disclosure. For example, other concentrations of tin may be employed, so long as the concentration of tin is great enough so that the first layer of germanium 5 may be etched selectively to the germanium and tin alloy layer 10.
  • In another embodiment, the germanium and tin alloy layer 10 may further include silicon, wherein the silicon is introduced to offset the stress that is introduced by tin. More specifically, tin increases the lattice dimension of the epitaxially grown germanium and tin alloy layer 10 so that it is larger than the lattice dimension of the first layer of germanium 5. Therefore, with increasing tin concentration in the germanium and tin alloy layer 10, the compressive stress that is present in the germanium and tin alloy layer 10 is increased. The introduction of stress within the germanium and tin alloy layer 10 may result in defect formation. To reduce the compressive strain, silicon may be introduced to the germanium and tin alloy layer 10 to reduce the lattice dimension of the epitaxially grown germanium and tin alloy layer 10. More specifically, in one embodiment, the germanium and tin alloy layer 10 may be composed of 0.5% at. to 20 at. % tin, less than 50 at. % silicon, and a remainder of germanium. In another embodiment, the germanium and tin alloy layer 10 may be composed of 0% at. to 10 at. % tin 0% to 38 at. % silicon, and 100 at. % to 52 at. % germanium, respectively. If the molar ratio of Si:Sn is approximately 3.8 in the Ge(SiSn) alloy, then the lattice parameter will be the same as bulk Ge. Therefore, these alloys can be grown strain-free on Ge substrates.
  • It is noted that the above compositions for the germanium and tin alloy layer 10 have been provided for illustrative purposes only, and are not intended to limit the present disclosure. For example, other concentrations of tin may be employed, so long as the concentration of tin is great enough so that the material of the first layer of germanium 5 may be etched selectively to the germanium and tin alloy layer 10.
  • In one embodiment, the germanium and tin alloy layer 10 may be deposited using molecular beam epitaxial (MBE) deposition. For example, the tin and germanium sources for the MBE deposition of the germanium and tin alloy layer 10 may include solid source materials of tin and germanium. In the embodiments, in which the germanium and tin alloy layer 10 further includes silicon, the sources for the MBE deposition of the germanium and tin alloy layer may include solid source materials of tin, germanium and silicon.
  • Molecular beam epitaxy (MBE) takes place in vacuum on the order of 10−8 Pa. In solid-source MBE, the solid source materials, such as tin, germanium and silicon (optional), are heated in separate quasi-effusion cells until they begin to slowly sublimate. The gaseous elements then condense on the deposition surface, i.e., first layer of germanium 5, where they may react with each other. The term “beam” denotes that evaporated atoms do not interact with each other or vacuum chamber gases until they reach the deposition surface, due to the long mean free paths of the atoms.
  • In another embodiment, the germanium and tin alloy layer 10 may be deposited using chemical vapor deposition. Chemical vapor deposition (CVD) is a deposition process in which a deposited species is formed as a result of a chemical reaction between gaseous reactants and surface of a substrate at room temperature or greater, resulting in deposition of a film on the substrate surface. Variations of CVD processes include, but are not limited to, Atmospheric Pressure CVD (APCVD), Low Pressure CVD (LPCVD), Plasma Enhanced CVD (PECVD), Metal-Organic CVD (MOCVD), Ultra-high vacuum CVD (UHV-CVD) and combinations thereof. Other examples of processes for depositing the germanium-containing silicon layer 3 include atomic layer deposition (ALD), evaporation, chemical solution deposition and other like deposition processes.
  • A number of different sources may be used for the deposition of the germanium and tin alloy layer 10 by CVD. In some embodiments, the source gasses for epitaxial growth of the germanium and tin alloy layer 10 by CVD includes a germanium source gas, such as germane gas (GeH4), and a tin source gas, such as stannane (SnH4), Stannane-d4 (SnD4) or a combination thereof. In the embodiments in which the germanium and tin alloy layer 10 is composed of silicon in addition to germanium and tin, the source gas for depositing the germanium and tin alloy layer 10 include a germanium source gas, such as germane gas (GeH4), a tin source gas, such as stannane (SnH4), Stannane-d4 (SnD4) or a combination thereof, and a silicon source gas, such as silicon tetrachloride, dichlorosilane (SiH2Cl2), silane (SiH4), and higher-order silanes such as disilane (Si2H6) and trisilane (Si3H8). The temperature for epitaxial deposition typically ranges from 300° C. to 800° C. Although lower temperature growth is generally favored due to the low solubility of Sn in the Ge or GeSi lattice.
  • The germanium and tin alloy layer 10 may be doped to an n-type or a p-type conductivity or may be an intrinsic semiconductor layer. The dopant that provides the conductivity type of the germanium and tin alloy layer 10 may be deposited in-situ. The term “conductivity type” denotes a p-type or n-type dopant. By “in-situ” it is meant that the dopant that provides the conductivity type of the material layer is introduced as the material layer is being formed or deposited. In one embodiment, when the germanium and tin alloy layer 10 is doped to a p-type conductivity, the source gasses employed during the CVD process may further include a p-type dopant source. For example, diborane (B2H6) gas can be introduced into the processing chamber concurrently with the source gasses for the tin, germanium and optional silicon. In one embodiment, when the germanium and tin alloy layer is doped to an n-type conductivity, the source gasses employed during the CVD process may further include an n-type dopant source. For example, phosphine (PH3) gas or arsine (AsH3) gas can be introduced into the processing chamber concurrently with the source gasses for the tin, germanium and optional silicon.
  • The p-type and/or n-type dopant for the germanium and tin alloy layer 10 may also be introduced following the deposition of the germanium and tin alloy layer 10 using at least one of plasma doping, ion implantation, and/or outdiffusion from a disposable diffusion source (e.g., borosilicate glass).
  • The thickness of the germanium and tin alloy layer 10 may range from 1 nm to 500 nm. In another embodiment, the thickness of the germanium and tin alloy layer 10 may range from 5 nm to 50 nm. It is noted that the above thicknesses for the germanium and tin alloy layer 10 have been provided for illustrative purposes only, and are not intended to limit the present disclosure. For example, other thicknesses for the germanium and tin alloy layer 10 may be employed, so long as the thickness of germanium and tin alloy layer 10 is great enough so that germanium and tin alloy layer 10 may act as an etch stop during etch processes to remove the remaining portion of the first layer of germanium 5 following etching of the cleaved surface 4.
  • When employed in the lower cell of a photovoltaic device and doped to a p-type conductivity, the concentration of the p-type dopant in the germanium and tin alloy layer 10 ranges from 5×1017 atoms/cm3 to 5×1020 atoms/cm3. When employed in the lower cell of a photovoltaic device and doped to an n-type conductivity, the concentration of the n-type dopant in the germanium and tin alloy layer 10 ranges from 5×1017 atoms/cm3 to 1×1020 atoms/cm3.
  • Still referring to FIG. 1 and in one embodiment, a second layer of germanium 15 is present on the germanium and tin alloy layer 10 of the germanium substrate 1. In one embodiment, the second layer of germanium 15 may have a germanium content that is greater than 95 at. %. In another embodiment, the second layer of germanium 15 may have a germanium content that is greater than 99 at. %. In one example, the second layer of germanium 15 may have a germanium content that is 100%. The second layer of germanium 15 may be deposited on the germanium and tin alloy layer 10 using a deposition process, such as CVD. In one embodiment, the CVD process for forming the second layer of germanium 15 is selected from the group consisting of Atmospheric Pressure CVD (APCVD), Low Pressure CVD (LPCVD), Plasma Enhanced CVD (PECVD), Metal-Organic CVD (MOCVD), Ultra-high vacuum CVD (UHV-CVD) and combinations thereof. In one embodiment, the second layer of germanium 15 may be an epitaxially deposited layer, in which the germanium source of the epitaxial deposition process comprises germane (GeH4) gas. It is also contemplated that the second layer of germanium 15 may also contain Sn and Si to improve the performance of the photovoltaic device (e.g., increases absorption ay longer wavelengths compared to pure Ge).
  • Similar to the germanium and tin alloy layer 10, the second layer of germanium 15 may be doped to a p-type conductivity or an n-type conductivity. The dopant that provides the conductivity type of the second layer of germanium 15 may be introduced in-situ during the forming process that provides the second layer of germanium 15, or the dopant that provides the conductivity type of the second layer of germanium 15 may be introduced using ion implantation or plasma doping after the layer of germanium 15 has been deposited. The thickness of the second layer of germanium 15 may range from 100 nm to 15 um. In another embodiment, the thickness of the second layer of germanium 15 may range from 500 nm to 10 um. In some embodiments, the second layer of germanium 15 may function as a component of the bottom cell of the photovoltaic device.
  • FIG. 2A depicts one embodiment of depositing a stressor layer 20 directly on a surface of the germanium substrate 1, e.g., directly on the surface of the second layer of germanium 15. FIG. 2B depicts one embodiment of depositing a stressor layer 20 on a back surface material layer 14 that is present on the germanium substrate 1, e.g., is present on the second layer of germanium 15. The back surface material layer 14 may be a single material layer or a multi-layered material layer. In one embodiment, the back surface material layer 14 that provides the surface of the germanium substrate 1 may be at least one of a back surface field layer, a passivation layer, a tunnel layer, a back solar cell junction or a combination thereof. The back surface material layer 14 may be provided by any combination of back surface field layers, passivation layers, tunnel layers and back solar cell junctions.
  • Referring to FIG. 2B, the back surface material layer 14 may be provided by a back surface field region that is formed on a surface of the second layer of germanium 15. A “back surface field (BSF) region” is a doped region having a higher dopant concentration than the second layer of germanium 15. The back surface field region and the second layer of germanium 15 typically have the same conductivity type, e.g., p-type or n-type conductivity. The interface between the highly doped back surface field (BSF) region and the second layer of germanium 15 having a lower dopant concentration than the back surface field (BSF) region behaves like a p-n junction, and an electric field forms at the interface which introduces a barrier to minority carrier flow to the rear surface. The minority carrier concentration is thus maintained at higher levels in the second layer of germanium 15 and the back surface field (BSF) region has a net effect of passivating the rear surface of the solar cell.
  • Still referring to FIG. 2B, the back surface material layer 14 may also include a passivation layer, which may be present on the back surface field region of the second layer of germanium 15. In another embodiment, the back surface material layer may be omitted, wherein the back surface material layer 14 is a single material layer provided by a passivation layer. The passivation layer is a material layer that is formed on the back surface of the second layer of germanium 15, which provides the lower cell of the subsequently formed photovoltaic cell, wherein the passivation layer reduces the concentration of dangling bonds at the back surface of the bottom cell of the photovoltaic device. In one embodiment, the passivation layer is composed of hydrogenated amorphous silicon (a-Si:H). Typically, the hydrogenated amorphous silicon is an intrinsic semiconductor layer. Deposition of the hydrogenated amorphous silicon containing material by PECVD includes at least one semiconductor material containing reactant gas and at least one hydrogen containing reactant gas. In one embodiment, the semiconductor material containing reactant gas for producing the hydrogenated amorphous silicon containing material includes at least one atom of silicon. For example, to provide the silicon component of the hydrogenated amorphous silicon, the semiconductor material containing reactant gas can include at least one of SiH4, Si2H6, SiH2Cl2, SiHCl3, and SiCl4. The hydrogen containing reactant gas for depositing the hydrogenated amorphous silicon containing material by PECVD may be hydrogen gas (H2).
  • Still referring to FIG. 2B, the back surface material layer 14 may also include a back solar cell junction may be formed on the passivation layer. In another embodiment, the back surface field layer and the passivation layer may be omitted, in which the back surface material layer 14 may be provided by at least one back solar cell junction that is formed on the exposed surface of the second layer of germanium 15. The back solar cell junction may be composed of any number of p/n junctions and may be composed of any number of materials. In one embodiment, the material layers that provide the back solar cell junction may be compound semiconductor materials. Examples of compound semiconductor materials that are suitable for the back solar cell junction include, but are no limited to, aluminum antimonide (AlSb), aluminum arsenide (AlAs), aluminum nitride (AlN), aluminum phosphide (AlP), boron nitride (BN), boron phosphide (BP), boron arsenide (BAs), gallium arsenide (GaAs), gallium phosphide (GaP), indium antimonide (InSb), indium arsenic (InAs), indium nitride (InN), indium phosphide (InP), aluminum gallium arsenide (AlGaAs), indium gallium phosphide (InGaP), aluminum indium arsenic (AlinAs), aluminum indium antimonide (AlInSb), gallium arsenide nitride (GaAsN), gallium arsenide antimonide (GaAsSb), aluminum gallium nitride (AlGaN), aluminum gallium phosphide (AlGaP), indium gallium nitride (InGaN), indium arsenide antimonide (InAsSb), indium gallium antimonide (InGaSb), aluminum gallium indium phosphide (AlGaInP), aluminum gallium arsenide phosphide (AlGaAsP), indium gallium arsenide phosphide (InGaAsP), indium arsenide antimonide phosphide (InArSbP), aluminum indium arsenide phosphide (AlInAsP), aluminum gallium arsenide nitride (AlGaAsN), indium gallium arsenide nitride (InGaAsN), indium aluminum arsenide nitride (InAlAsN), gallium arsenide antimonide nitride (GaAsSbN), gallium indium nitride arsenide aluminum antimonide (GaInNAsSb), gallium indium arsenide antimonide phosphide (GaInAsSbP), and combinations thereof.
  • As mentioned above and as shown in FIGS. 2A and 2B, a stressor layer 20 may be deposited directly on a surface of the germanium substrate 1, as depicted in FIG. 2A, or on a back surface material layer 14 that is present on the germanium substrate 1, as depicted in FIG. 2B. In one embodiment, the stressor layer 20 is composed of a metal containing layer, a polymer layer, an adhesive tape or a combination thereof. In some embodiments of the present disclosure, the surface that the stressor layer 20 is deposited on can be cleaned prior to further processing to remove surface oxides and/or other contaminants therefrom. In one embodiment of the present disclosure, that the stressor layer 20 is deposited on may be cleaned by applying a solvent such as, for example, acetone and isopropanol.
  • In some embodiments, an optional metal-containing adhesion layer 16 is formed on a surface of the germanium substrate 1, as depicted in FIG. 2A, or on a surface of the back surface material layer 14, as depicted in FIG. 2B, prior to forming the stressor layer 20. The optional metal-containing adhesion layer 16 is employed in embodiments in which the stressor layer 20 has poor adhesion to the surface on which the stressor layer 20 is to be formed. Typically, the metal-containing adhesion layer 16 is employed when a stressor layer 20 comprised of a metal is employed.
  • The optional metal-containing adhesion layer 16 employed in the present disclosure includes any metal adhesion material such as, but not limited to, Ti/W, Ti, Cr, Ni or any combination thereof. The optional metal-containing adhesion layer 16 may comprise a single layer or it may include a multilayered structure comprising at least two layers of different metal adhesion materials.
  • The metal-containing adhesion layer 16 may be formed at room temperature (15° C.-40° C.) or above. In one embodiment, the optional metal-containing adhesion layer 16 is formed at a temperature ranging from 20° C. to 180° C. In another embodiment, the optional metal-containing adhesion layer 16 is formed at a temperature that ranges from 20° C. to 60° C.
  • The metal-containing adhesion layer 16, which may be optionally employed, can be formed utilizing deposition techniques. For example, the optional metal-containing adhesion layer 16 can be formed by sputtering, chemical vapor deposition, plasma enhanced chemical vapor deposition, chemical solution deposition, physical vapor deposition, and plating. When sputter deposition is employed, the sputter deposition process may further include an in-situ sputter clean process before the deposition.
  • When employed, the optional metal-containing adhesion layer 16 typically has a thickness of from 5 nm to 200 nm, with a thickness of from 100 nm to 150 nm being more typical. Other thicknesses for the optional metal-containing adhesion layer 16 that are below and/or above the aforementioned thickness ranges can also be employed in the present disclosure.
  • In some embodiments, the stressor layer 20 is formed on an exposed surface of the optional metal-containing adhesion layer 16. In some embodiments in which the optional metal-containing adhesion layer 16 is not present, the stressor layer 20 is formed directly on the germanium substrate 1, or directly on the back surface material layer 14 that is present on the germanium substrate 1. These particular embodiments are not shown in the drawings, but can readily be deduced from the drawings illustrated in the present application.
  • In one embodiment, the stressor layer 20 that is employed in the present disclosure may include any material that is under tensile stress on germanium substrate 10 at the spalling temperature. Illustrative examples of such materials that are under tensile stress when applied atop the germanium substrate 1 include, but are not limited to, a metal, a polymer, such as a spall inducing tape layer, or any combination thereof. The stressor layer 20 that may comprise a single stressor layer, or a multilayered stressor structure including at least two layers of different stressor material can be employed.
  • In one embodiment, the stressor layer 20 is a metal, and the metal is formed on an upper surface of the optional metal-containing adhesion layer 16. In another embodiment, the stressor layer 20 is a spall inducing tape, and the spall inducing tape is applied directly to the surface of the germanium substrate 1, as depicted in FIG. 2A, or is applied directly to the back surface material layer 14, as depicted in FIG. 2B. In another embodiment, for example, the stressor layer 20 may comprise a two-part stressor layer including a lower part and an upper part. The upper part of the two-part stressor layer can be comprised of a spall inducing tape layer.
  • When a metal is employed as the stressor layer 20, the metal can include, for example, Ni, Ti, Cr, Fe or W. Alloys of these, and other, metals can also be employed. In one embodiment, the stressor layer 20 includes at least one layer consisting of Ni. When a polymer is employed as the stressor layer 20, the polymer is a large macromolecule composed of repeating structural units. These subunits are typically connected by covalent chemical bonds. Illustrative examples of polymers that can be employed as the stressor layer 20 include, but are not limited to, polyimides, polyesters, polyolefins, polyacrylates, polyurethane, polyvinyl acetate, and polyvinyl chloride.
  • When a spall inducing tape layer is employed as the stressor layer 20, the spall inducing tape layer includes any pressure sensitive tape that is flexible, soft, and stress free at the first temperature used to form the tape, yet strong, ductile and tensile at the second temperature used during removal of the upper portion of the base substrate. By “pressure sensitive tape,” it is meant an adhesive tape that will stick with application of pressure, without the need for solvent, heat, or water for activation. Tensile stress in the tape is primarily due to thermal expansion mismatch between the first layer of germanium 15 (with a lower thermal coefficient of expansion) of the germanium substrate 1 and the tape (with a higher thermal expansion coefficient).
  • Typically, the pressure sensitive tape that is employed in the present disclosure as the stressor layer 20 includes at least an adhesive layer and a base layer. Materials for the adhesive layer and the base layer of the pressure sensitive tape include polymeric materials such as, for example, acrylics, polyesters, olefins, and vinyls, with or without suitable plasticizers. Plasticizers are additives that can increase the plasticity of the polymeric material to which they are added.
  • In one embodiment, the stressor layer 20 that is employed in the present disclosure is formed at room temperature (15° C.-40° C.). In another embodiment, when a tape layer is employed, the tape layer can be formed at temperature ranging from 15° C. to 60° C.
  • When the stressor layer 20 is a metal or polymer, the stressor layer 20 can be formed utilizing deposition techniques that are well known to those skilled in the art including, for example, dip coating, spin-coating, brush coating, sputtering, chemical vapor deposition, plasma enhanced chemical vapor deposition, chemical solution deposition, physical vapor deposition, and plating.
  • When the stressor layer 20 is a spall inducing tape layer, the tape layer can be applied by hand or by mechanical means to the structure. The spall inducing tape can be formed utilizing techniques well known in the art or they can be commercially purchased from any well known adhesive tape manufacturer. Some examples of spall inducing tapes that can be used in the present disclosure as stressor layer 20 include, for example, Nitto Denko 3193MS thermal release tape, Kapton KPT-1, and Diversified Biotech's CLEAR-170 (acrylic adhesive, vinyl base).
  • In one embodiment, a two-part stressor layer 20 can be formed directly on a surface of the germanium substrate 1, as depicted in FIG. 2A, or can be formed directly on a surface of a back surface material layer 14, as depicted in FIG. 2B, wherein a lower part of the two-part stressor layer 20 is formed at a first temperature, which is at room temperature or slight above (e.g., from 15° C. to 60° C.), wherein an upper part of the two-part stressor layer 20 comprises a spall inducing tape layer at an auxiliary temperature which is at room temperature.
  • If the stressor layer 20 is of a metallic nature, it typically has a thickness of from 3 μm to 50 μm, with a thickness of from 4 μm to 8 μm being more typical. Other thicknesses for the stressor layer 20 that are below and/or above the aforementioned thickness ranges can also be employed in the present disclosure.
  • If the stressor layer 20 is of a polymeric nature, it typically has a thickness of from 10 μm to 200 μm, with a thickness of from 50 μm to 100 μm being more typical. Other thicknesses for the stressor layer 20 that are below and/or above the aforementioned thickness ranges can also be employed in the present disclosure.
  • Still referring to FIGS. 2A and 2B an optional handle substrate 25 can be formed atop the stressor layer 20. The optional handle substrate 25 employed in the present disclosure comprises any flexible material that has a minimum radius of curvature of less than 30 cm. Illustrative examples of flexible materials that can be employed as the optional handle substrate 25 include a metal foil or a polyimide foil.
  • The optional handle substrate 25 can be used to provide better fracture control and more versatility in handling the spalled portion of the germanium substrate 1. Moreover, the optional handle substrate 25 can be used to guide the crack propagation during the spalling process of the present disclosure. The optional handle substrate 25 of the present disclosure is typically, but not necessarily, formed at room temperature (15° C.-40° C.). The optional handle substrate 25 can be formed utilizing deposition techniques that are well known to those skilled in the art including, for example, dip coating, spin-coating, brush coating, sputtering, chemical vapor deposition, plasma enhanced chemical vapor deposition, chemical solution deposition, physical vapor deposition, plating, mechanical adhesion, thermocompression bonding or soldering. The optional handle substrate 25 typical has a thickness of from 1 μm to few mm, with a thickness of from 70 μm to 120 μm being more typical. Other thicknesses for the optional handle substrate 18 that are below and/or above the aforementioned thickness ranges can also be employed in the present disclosure.
  • FIGS. 3A and 3B depict applying a stress from the stressor layer 20 to the germanium substrate 1, in which the stress cleaves the germanium substrate 1 to provide a cleaved surface 4 on the remaining portion of the first layer of germanium 5 a. FIG. 3A depicts applying a stress to the germanium substrate 1 from a stressor layer 20 that is deposited directly on the germanium substrate 1. FIG. 3B depicts applying a stress to the germanium substrate 1 from a stressor layer 20 that is deposited on a back surface material layer 14 that is present on the germanium substrate 1. The germanium and tin alloy layer 10 is present between the surface that the stressor layer 20 is formed on and the cleaved surface 4 of the germanium substrate 1. In FIGS. 3A and 3B, reference numeral 5 a denotes the remaining portion of the first layer of germanium 5 that is attached to the stressor layer 20, while reference numeral 5 b denotes the spalled portion of the first layer of germanium 5 that is removed.
  • The condition that results in spalling of the germanium substrate 1 is related to the combination of the stressor layer 20 thickness value and the stress value for the stressor layer 20, as well as the mechanical properties of the germanium substrate 1. At a given stressor layer 20 thickness value, there will be a stress value above which spalling will occur spontaneously. Likewise, at a given stressor layer 20 stress value, there will be a thickness value above which spalling will occur spontaneously.
  • An approximate guide for the stressor layer 20 thickness value at which spalling becomes possible for the case where the stressor layer 20 is substantially comprised of tensile stressed Ni is given by the relation t*=[(2.5×106)(KIC 3/2)]/σ2, where t* is the thickness value (in units of microns) of the stressor layer 20 at which controlled spalling becomes possible, KIC is the fracture toughness value of the germanium substrate 1 (in units of MPa*m1/2), e.g., fracture toughness value of the first layer of germanium 5, and σ is the magnitude of the stress value in the stressor layer 20 (in units of MPa, or megapascals). If the tensile stressed layer 20 thickness is greater than the value given by t* by approximately 50%, then spontaneous spalling may occur. In another aspect, the thickness of the stressor layer 20 may be anywhere from about 1 um to about 50 um, or from about 3 um to about 30 um, or about 4 um to about 20 um thick.
  • Selection of the stressor layer 20 does not have to be based on the difference between the coefficient of thermal expansion of the stressor layer 20 and the coefficient of thermal expansion of the germanium substrate 1 for promoting spontaneous spalling as in the prior art, where spalling is effected by cooling the structure from an elevated temperature (about 900° C.) to a lower temperature. The present disclosure does not rely on spontaneous spalling, but rather the use of mechanical force, and controlled fracture at substantially room temperature (about 20° C.) to separate layers or layers from the germanium substrate 1, e.g., separate a first portion 5 a of the first layer of germanium 5 from the germanium substrate 1. The thickness of the remaining portion 5 a of the first layer of germanium 5 that is attached to the stressor layer 20 from the germanium substrate 1 is roughly twice to three times the thickness value of thickness values of stressor layer 20. By controlling the amount of stress in the stressor layer 20, the operable thickness value of stressor layer 20 (t*) can be chosen to remove a controlled thickness of the first layer of germanium 5.
  • For example, if the thickness of the remaining portion of the germanium substrate 1 after spalling, which includes the second layer of germanium 15, the germanium and tin alloy layer 10, and the remaining portion 5 a of the first layer of germanium, is desired to be approximately 10 um, then a stressor layer 20 of nickel would need to be approximately 4 um thick. By using the KIC value for Ge<111> (0.59 MPa*m1/2), the expression for t* above can be used to calculate that a stress value of about 600 MPa is required.
  • Although the origin of the stress in the stressor layer 20 is intrinsic (originating from microstructure), and not due to coefficient of thermal expansion (CTE) stress, heating the stressor layer 20 often has the effect of increasing the stress value. This is due to microstructural changes within the stressor layer 20 that occur upon annealing and is irreversible. Localized heating is therefore contemplated to initiate fracture in the periphery of the area to be layer transferred. In other words, spontaneous spalling can be made to occur in small, selected regions to help initiate fracture, e.g., by increasing the thickness of the stress layer in these small selected regions. Localized heating can be performed using a laser, remote induction heating, or direct contact heating.
  • The first portion 5 a of the first layer of germanium 5 having the cleaved surface 4 that is formed by the controlled spalling process mentioned above typically has a thickness of from 1000 nm to tens of pm, with a thickness of from 5 μm to 50 μm being more typical. The second portion 5 b of the first layer of germanium 5 that is removed from the geranium substrate by the spalling process mentioned above typically has a thickness of from 1000 nm to tens of μm, with a thickness of from 5 μm to 50 μm being more typical.
  • In some embodiments of the present disclosure, the optional handle substrate 25, the stressor layer 20, and the optional metal-containing adhesion layer 16 can be removed from the germanium substrate 1. For example, and in one embodiment, aqua regia (HNO3/HCl) can be used for removing the optional handle substrate 25, the stressor layer 20 and the optional metal-containing adhesion layer 16. In another example, UV or heat treatment is used to remove the optional handle substrate 25, followed by a chemical etch to remove the tensile stressed layer 20, followed by a different chemical etch to remove the optional metal-containing adhesion layer 16.
  • FIGS. 4A and 4B depict some embodiments of etching the cleaved surface 4 of the germanium substrate 1 selectively to the germanium and tin alloy layer 10. FIG. 4A depicts etching the cleaved surface 4 of the structure depicted in FIG. 3A, and FIG. 4B depicts etching the cleaved surface 4 of the structure depicted in FIG. 3B. In some embodiments, the germanium and tin alloy layer 10 functions as an etch stop to remove the cleaved surface 4, and remove variation in thickness in the germanium substrate 1 that results from the cleaved surface 4. As used herein, the term “selective” in reference to a material removal process denotes that the rate of material removal for a first material is greater than the rate of removal for at least another material of the structure to which the material removal process is being applied. In some examples, the selectivity may be greater than 100:1, e.g., 1000:1.
  • In one embodiment, the etch process that removes the cleaved surface 4 of the remaining portion 5 a of the first layer of germanium 5 is a wet etch including an etch chemistry that is selective to the germanium and tin alloy layer 10. In one embodiment, the etch chemistry includes hydrogen peroxide (H2O2). In another embodiment, the etch chemistry for removing the remaining portion 5 a of the first layer of germanium 5 selectively to the germanium and tin layer 10 includes solutions of hydrogen peroxide (H2O2), hydrofluoric acid (HF) and water (H2O), or dry etching techniques, such as xenon diflouride (XeF2) etching. It is noted that the above etch chemistries have been provided for illustrative purposes only, and are not intended to limit the present disclosure. For example, other etch chemistries may be employed, so long as the etch chemistry removes the remaining portion 5 a of the first layer of germanium 5 without removing the germanium and tin alloy layer 10. Other etch processes that can be used at this point of the present disclosure include reactive ion etch, ion beam etching, plasma etching or laser ablation.
  • Following etching of the cleaved surface 4, and removal of the remaining portion 5 a of the first layer of germanium 5, the thickness of the germanium substrate 1, i.e., second layer of germanium 15 and the germanium and tin alloy layer 10, may range from 0.1 microns to 20 microns. In another example, the thickness of the germanium substrate, i.e., second layer of germanium 15 and the germanium and tin alloy layer 10, may range from 0.5 microns to 5 microns. The variation of thickness across the entire width of the germanium substrate 1 at this point of the present disclosure is less than 1000 Å.
  • FIGS. 5A and 5B depict some embodiments of removing the germanium and tin alloy layer 10. FIG. 5A depicts removing the germanium and tin alloy layer 10 from the structure depicted in FIG. 4A, and FIG. 5B depicts removing the germanium and tin alloy layer 10 from the structure depicted in FIG. 4B. In one embodiment, the germanium and tin alloy layer 10 is removed selectively to the second layer of germanium 15. In one embodiment, the etch process that removes the germanium and tin alloy layer 10 is a wet etch including an etch chemistry that is selective to the second layer of germanium 15. In one embodiment, the etch chemistry for removing the germanium and tin alloy layer 10 selectively to the second layer of germanium 15 includes Ammonium hydroxide (NH4OH), potassium hydroxide (KOH), tetramethyl ammonium hydroxide (TMAH) and mixtures or dilutions thereof. It is noted that the above etch chemistries have been provided for illustrative purposes only, and are not intended to limit the present disclosure. For example, other etch chemistries may be employed, so long as the etch chemistry removes the germanium and tin alloy layer 10 without removing the second layer of germanium 15. Other etch processes that can be used at this point of the present disclosure include reactive ion etch, ion beam etching, plasma etching or laser ablation.
  • Following removing the germanium and tin alloy layer 10, the thickness of the germanium substrate 1, i.e., second layer of germanium 15, may range from 0.1 microns to 20 microns. In another example, the thickness of the second layer of germanium 15 may range from 0.5 microns to 5 microns. The variation of thickness across the entire width of the second layer of germanium 15 at this point of the present disclosure is less than 1000 Å.
  • FIG. 1-5B depict one embodiment of a spalling method in which the germanium and tin alloy layer 10 functions as an etch stop layer to provide a germanium layer having a uniform thickness across its entire width. In another embodiment of the present disclosure, the germanium and tin alloy layer 10 is employed as fracture guiding layers when used in conjunction with controlled spalling technology. In some embodiment, the germanium and tin alloy layer 10 when employed as fracture guiding layers, can be exposed to hydrogen to alter the bonding structure of the germanium and tin alloy layer 10 in order to facilitate facture within the germanium and tin alloy layer 10.
  • FIGS. 6A and 6B depict some embodiments of depicting weakening the germanium and tin alloy layer 10 before applying a stress from the stressor layer 10 to the germanium substrate 1, wherein in this embodiment the germanium and tin alloy layer 10 provides a fracture plane. The germanium substrate 1 that is depicted in FIGS. 6A and 6B is similar to the germanium substrate 1 that is depicted in FIG. 1. Therefore, the above description of the germanium substrate 1 including the first layer of germanium 5, the germanium and tin alloy layer 10 and the second layer of germanium 15 that are depicted in FIG. 1 is suitable to describe the germanium substrate 1 including the first layer of germanium 5, the germanium and tin alloy layer 10, and the second layer of germanium 15 that are depicted in FIGS. 6A and 6B. Further, the description of the back surface material layer 14, the stressor layer 20, the handling substrate 25, and the optional metal-containing adhesion layer 16 that are depicted in FIGS. 2A and 3B is suitable for the back surface material layer 14, the stressor layer 20, the handling substrate 25, and the optional metal-containing adhesion layer 16 that are depicted in FIGS. 6A and 6B.
  • In the embodiments in which the germanium and tin alloy layer 10 provides a fracture plane, the composition of the germanium and tin alloy layer 10 is selected so that the germanium and tin alloy layer 10 is under an intrinsic stress. More specifically, the germanium and tin alloy layer 10 is typically a compressively strained material when formed over a germanium material, such as the first layer of germanium 5. When the germanium and tin alloy layer 10 includes silicon, and the silicon is present in the germanium and tin alloy layer 10 in a greater concentration than the tin that is present in the germanium and tin alloy layer 10, the germanium and tin alloy layer 10 is a tensilely strained material. Because Ge(SiSn) ternary alloys with a molar ratio of Si:Sn of approximately 4:1 result in a lattice parameter similar to that of Ge, the most general alloy range that results in compressive stress is given by: Gex(Si1−ySny)1−x where (0≦x≦1) and (0.2<y≦1). Likewise, tensile stress will result when (0≦y<0.2). For example, a tensilely strained germanium and tin alloy layer 10 may include 50 at. % germanium, 5 at. % tin, and 45 at. % silicon. In comparison, a compressively strained germanium and tin alloy layer 10 may include 50 at. % germanium, 15 at. % tin, and 35 at. % silicon.
  • In one embodiment, the germanium and tin alloy layer 10 is weakened by treating at least one surface of the germanium substrate 1 with a hydrogen containing gas and/or plasma, or a hydrogen containing acid. The treatment of the germanium substrate 1 with the hydrogen containing gas and/or plasma, or a hydrogen containing acid may be conducted prior to depositing of the stressor layer 20 to the germanium substrate 1, or the treatment of germanium substrate 1 with the hydrogen containing gas and/or plasma, or a hydrogen containing acid may be conducted after depositing of the stressor layer 20 to the germanium substrate 1. Similar to the embodiments described above with reference to FIGS. 1-5B, the stressor layer 20 may be deposited directly on the second layer of germanium 15 of the germanium substrate 1, or the stressor layer 20 may be deposited on a back surface material layer 14 that is present on the germanium substrate 1.
  • In one embodiment, the hydrogen containing gas is hydrogen gas (H2). The hydrogen may be accompanied by a carrier gas, such as argon or helium. In one embodiment, the germanium substrate 1 may be treated with a hydrogen containing plasma. A plasma is a gas in which a majority of the atoms or molecules are ionized. The plasma may be created by RF (AC) frequency, or DC discharge between two electrodes, in which the space between the two electrodes contains hydrogen containing gases. The electrical energy transforms the hydrogen containing gas mixture into reactive radicals, ions, neutral atoms and molecules, and other highly excited species. Chemical reactions are involved in the process, which occur after creation of a plasma of the reacting gases. For example, the atomic and molecular fragments interact with the germanium substrate 1 to introduce hydrogen to the germanium substrate 1. In one embodiment, fractional ionization in plasmas used for deposition and related materials processing varies from 10−4, in typical capacitive discharges, to as high as 5-10%, in high density inductive plasmas. Plasmas are typically operated at pressures of a few millitorr, e.g., 1 millitorr to 10 millitorr, to a few torr, e.g., 1 torr to 10 torr, although arc discharges and inductive plasmas can be ignited at atmospheric pressure. The plasma may be provided by radio-frequency capacitive discharge, inductively coupled plasma (ICP), electron cylclotron resonance (ECR), and helicon waves. In the embodiments, in which the germanium substrate is treated with a hydrogen containing acid, the hydrogen containing acid may be provided by hydrofluoric acid (HF), hydrochloric acid (HCl) or sulphuric acid. It is also contemplated that hydrogenation or deuteration be performed at high pressures (such as many atmoshperes) to increase transport of hydrogen into the substrate.
  • Following treatment with the hydrogen containing gas and/or plasma, or the hydrogen containing acid, the hydrogen diffuses to the bonds within the intrinsically stressed germanium and tin alloy layer 10, wherein the interaction between the hydrogen and the bonds of the germanium and tin alloy layer 10 weakens the bonding within the germanium and tin alloy layer 10.
  • FIGS. 7A and 7B depict some embodiments of applying a stress from the stressor layer 20 to the germanium substrate 1 depicted in FIGS. 6A and 6B, in which the stress cleaves the germanium substrate 1 along the germanium and tin alloy layer 10. The applying of the stress by the tensile stressed layer 20 to the germanium substrate 1 that is depicted in FIGS. 7A and 7B is similar to the method of applying the stress to the germanium substrate 1 using the stressor layer 20 that is described above with reference to FIGS. 2A and 2B, with the exception that in the method depicted in FIGS. 7A and 7B the germanium substrate 1 cleaves along the germanium and tin alloy layer 10.
  • FIGS. 8A and 8B depict some embodiments of removing the remaining portion 10 a of germanium and tin alloy layer 10 from the structure depicted in FIG. 7. In one embodiment, the remaining portion 10 a of the germanium and tin alloy layer 10 is removed selectively to the second layer of germanium 15. In one embodiment, the etch process that removes the remaining portion 10 a of the germanium and tin alloy layer 10 is a wet etch including an etch chemistry that is selective to the second layer of germanium 15. In one embodiment, the etch chemistry for removing the remaining portion 10 a of the germanium and tin alloy layer 10 selectively to the second layer of germanium 15 includes ammonium hydroxide (NH4OH), potassium hydroxide (KOH), tetramethyl ammonium hydroxide (TMAH) and mixtures or dilutions thereof. It is noted that the above etch chemistries have been provided for illustrative purposes only, and are not intended to limit the present disclosure. For example, other etch chemistries may be employed, so long as the etch chemistry removes the germanium and tin alloy layer 10 without removing the second layer of germanium 15. Other etch processes that can be used at this point of the present disclosure include reactive ion etch, ion beam etching, plasma etching or laser ablation.
  • Following removing the germanium and tin alloy layer 10, the thickness of the germanium substrate 1, i.e., second layer of germanium 15, may range from 0.1 microns to 20 microns. In another example, the thickness of the second layer of germanium 15 may range from 0.5 microns to 10 microns. The variation of thickness across the entire width of the second layer of germanium 15 at this point of the present disclosure is less than 1000 Å.
  • The methods described above can be used in fabricating various types of thin-film devices including, but not limited to, semiconductor devices, and photovoltaic devices. FIG. 9A depicts one embodiment of forming a photovoltaic device 100 a on the remaining portion of the germanium substrate 1 that results from the method sequence that is depicted in FIGS. 1-8A.
  • FIG. 9A depicts one embodiment of a photovoltaic device 100 a, such as a multi-junction III-V photovoltaic cell, that includes a second layer of germanium 15 (hereafter referred to as layer of germanium 15) having a thickness ranging from 1 micron to 10 microns, wherein the layer of germanium 15 has a variation of thickness across the entire width of the first layer of germanium that is less than 1000 Å. The layer of germanium 15 may be provided by the at least one of the spalling methods that are described above with reference to FIGS. 1-8A, and provide at least one component of the bottom cell 150 of the photovoltaic device 100 a. The layer of germanium 15 typically has a first conductivity, and a semiconductor layer 6 is typically formed atop the layer of germanium 15 having a second conductivity that is opposite the first conductivity. For example, if the layer of germanium 15 is doped to a p-type conductivity, the semiconductor layer 6 is doped to an n-type conductivity, and vice versa. The semiconductor layer 6 may be composed of a silicon containing material or of a germanium containing material. The semiconductor layer 6 may be an epitaxially deposited layer. Examples of CVD processes suitable for forming the semiconductor layer 6 include Atmospheric Pressure CVD (APCVD), Low Pressure CVD (LPCVD), Plasma Enhanced CVD (PECVD), Metal-Organic CVD (MOCVD), Ultra-high vacuum CVD (UHV-CVD) and combinations thereof. The combination of the layer of germanium 15 and the semiconductor layer 6 may provide the bottom cell 150 of the photovoltaic device 100 a. The bottom cell 150 of the photovoltaic device may have a thickness of 10 microns or less.
  • In one embodiment, the photovoltaic device 100 a includes at least one top cell 200 comprised of at least one III-V semiconductor material that is present in direct contact with a bottom cell 150 that is comprised of a germanium containing material. The at least one top cell 200 is composed of any number of layers of any number of III-V semiconductor materials. A “III-V semiconductor material” is an alloy composed of elements from group III and group V of the periodic table of elements. In one embodiment, the at least one top cell 200 is comprised of at least one III-V semiconductor material selected from the group consisting of aluminum antimonide (AlSb), aluminum arsenide (AlAs), aluminum nitride (AlN), aluminum phosphide (AlP), gallium arsenide (GaAs), gallium phosphide (GaP), indium antimonide (InSb), indium arsenic (InAs), indium nitride (InN), indium phosphide (InP), aluminum gallium arsenide (AlGaAs), indium gallium phosphide (InGaP), aluminum indium arsenic (AlinAs), aluminum indium antimonide (AlInSb), gallium arsenide nitride (GaAsN), gallium arsenide antimonide (GaAsSb), aluminum gallium nitride (AlGaN), aluminum gallium phosphide (AlGaP), indium gallium nitride (InGaN), indium arsenide antimonide (InAsSb), indium gallium antimonide (InGaSb), aluminum gallium indium phosphide (AlGaInP), aluminum gallium arsenide phosphide (AlGaAsP), indium gallium arsenide phosphide (InGaAsP), indium arsenide antimonide phosphide (InArSbP), aluminum indium arsenide phosphide (AlInAsP), aluminum gallium arsenide nitride (AlGaAsN), indium gallium arsenide nitride (InGaAsN), indium aluminum arsenide nitride (InAlAsN), gallium arsenide antimonide nitride (GaAsSbN), gallium indium nitride arsenide aluminum antimonide (GaInNAsSb), gallium indium arsenide antimonide phosphide (GaInAsSbP), and combinations thereof.
  • Each of the III-V semiconductor materials that provide the at least one top cell 200 may have a single crystal, multi-crystal or polycrystalline crystal structure. Each of the III-V semiconductor materials may be epitaxial. To provide a junction with each of the cells in the at least one top cell 200 and to provide a junction with the bottom cell 150, the III-V semiconductor materials may be doped to a p-type or n-type conductivity. The effect of the dopant atom, i.e., whether it is a p-type or n-type dopant, depends occupied by the site occupied by the dopant atom on the lattice of the base material. In a III-V semiconductor, atoms from group II act as acceptors, i.e., p-type, when occupying the site of a group III atom, while atoms in group VI act as donors, i.e., n-type, when they replace atoms from group V. Dopant atoms from group IV, such a silicon (Si), have the property that they can act as acceptors or donor depending on whether they occupy the site of group III or group V atoms respectively. Such impurities are known as amphoteric impurities. Each of the layers in the at least one top cell 200 that provide PN junctions may have a thickness ranging from 100 nm to 6,000 nm. In another embodiment, each of the layers in the at least one top cell 20 may have a thickness ranging from 500 nm to 4,000 nm.
  • Still referring to FIG. 9A, front contacts 500 may then be formed in electrical communication with at least the at least one top cell 200 of at least one III-V semiconductor material. The front contacts 500 may be deposited with a screen printing technique. In another embodiment, the front contacts 500 are provided by the application of an etched or electroformed metal pattern. The metallic material used in forming the metal pattern for the front contacts 500 may include applying a metallic paste. The metallic paste may be any conductive paste, such as Al paste, Ag paste or AlAg paste. The metallic material used in forming the metal pattern for the front contact 500 may also be deposited using sputtering or plating. In some embodiments, the back contact may be provided by the stressor layer 20, when the stressor layer 20 is composed of a conductive material, such as a metal. In other embodiments, the back contact may be formed using similar methods and materials as the front contacts 500.
  • FIG. 9B depicts one embodiment of a photovoltaic device 100 b that results from the method sequences that is depicted in FIGS. 1-8B.
  • The photovoltaic structure 100 a, 100 b depicted in FIGS. 9A and 9B is provided for illustrative purposes only and is not intended to limit the present disclosure, as other photovoltaic structures are within the scope of the present disclosure. For example, the photovoltaic structure that is depicted in FIGS. 9A and 9B may further include tunneling layers, reflector layers, anti-reflective layers, and transparent conductive oxide layers. In another example, an intrinsic semiconductor layer is present between the layer of germanium 15 and the semiconductor layer 6, and the photovoltaic device is a p-i-n solar cell.
  • While the present disclosure has been particularly shown and described with respect to preferred embodiments thereof, it will be understood by those skilled in the art that the foregoing and other changes in forms and details can be made without departing from the spirit and scope of the present disclosure. It is therefore intended that the present disclosure not be limited to the exact forms and details described and illustrated, but fall within the scope of the appended claims.

Claims (5)

What is claimed is:
1. A photovoltaic device comprising:
a layer of germanium having a first conductivity and a thickness ranging from 1 micron to 10 microns, wherein the first layer of germanium has a variation of thickness across the entire width of the layer of germanium that is less than 1000 Å; and
a semiconductor layer present on the layer of the germanium, wherein the semiconductor layer has a second conductivity, wherein the second conductivity is opposite the first conductivity.
2. The photovoltaic device of claim 1, wherein the semiconductor layer is a silicon-containing material, a germanium-containing material or the semiconductor layer is a compound semiconductor.
3. The photovoltaic device of claim 1, wherein an intrinsic semiconductor layer is present between the layer of germanium and the semiconductor layer, and the photovoltaic device is a p-i-n solar cell.
4. The photovoltaic device of claim 1, further comprising a metal or polymeric substrate, wherein the photovoltaic device is flexible.
5. The photovoltaic device of claim 1, further comprising a front contact and a back contact.
US14/540,315 2011-06-14 2014-11-13 Spalling methods to form multi-junction photovoltaic structure Abandoned US20150068604A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/540,315 US20150068604A1 (en) 2011-06-14 2014-11-13 Spalling methods to form multi-junction photovoltaic structure

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/160,067 US8927318B2 (en) 2011-06-14 2011-06-14 Spalling methods to form multi-junction photovoltaic structure
US14/540,315 US20150068604A1 (en) 2011-06-14 2014-11-13 Spalling methods to form multi-junction photovoltaic structure

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/160,067 Division US8927318B2 (en) 2011-06-14 2011-06-14 Spalling methods to form multi-junction photovoltaic structure

Publications (1)

Publication Number Publication Date
US20150068604A1 true US20150068604A1 (en) 2015-03-12

Family

ID=46261604

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/160,067 Expired - Fee Related US8927318B2 (en) 2011-06-14 2011-06-14 Spalling methods to form multi-junction photovoltaic structure
US14/540,315 Abandoned US20150068604A1 (en) 2011-06-14 2014-11-13 Spalling methods to form multi-junction photovoltaic structure

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US13/160,067 Expired - Fee Related US8927318B2 (en) 2011-06-14 2011-06-14 Spalling methods to form multi-junction photovoltaic structure

Country Status (4)

Country Link
US (2) US8927318B2 (en)
CN (1) CN102832117B (en)
DE (1) DE102012209887B4 (en)
GB (1) GB2492439B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11075313B2 (en) * 2017-06-16 2021-07-27 Utica Leaseco, Llc Optoelectronic devices manufactured using different growth substrates
CN115046921A (en) * 2022-08-11 2022-09-13 四川至臻光电有限公司 Testing method and testing device for representing film adhesion of plastic optical element

Families Citing this family (352)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130025654A1 (en) 2011-07-29 2013-01-31 International Business Machines Corporation Multi-junction photovoltaic device and fabrication method
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9079269B2 (en) 2011-11-22 2015-07-14 International Business Machines Corporation Spalling with laser-defined spall edge regions
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
EP2626917B1 (en) * 2012-02-10 2017-09-27 IHP GmbH-Innovations for High Performance Microelectronics / Leibniz-Institut für innovative Mikroelektronik A CMOS-compatible germanium tunable Laser
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9780248B2 (en) * 2012-05-05 2017-10-03 Sifotonics Technologies Co., Ltd. High performance GeSi avalanche photodiode operating beyond Ge bandgap limits
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US10535735B2 (en) * 2012-06-29 2020-01-14 Intel Corporation Contact resistance reduced P-MOS transistors employing Ge-rich contact layer
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US8921209B2 (en) * 2012-09-12 2014-12-30 International Business Machines Corporation Defect free strained silicon on insulator (SSOI) substrates
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9006088B2 (en) * 2013-04-01 2015-04-14 Tsinghua University Method for forming semiconductor gate structure and semiconductor gate structure
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) * 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9330907B2 (en) * 2013-10-10 2016-05-03 The Board Of Trustees Of The Leland Stanford Junior University Material quality, suspended material structures on lattice-mismatched substrates
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
DE102013020693A1 (en) * 2013-12-04 2015-06-11 Siltectra Gmbh Method for producing large-area solid-state layers
US9058990B1 (en) * 2013-12-19 2015-06-16 International Business Machines Corporation Controlled spalling of group III nitrides containing an embedded spall releasing plane
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9799675B2 (en) * 2014-04-02 2017-10-24 International Business Machines Corporation Strain engineering in back end of the line
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
WO2016105397A1 (en) 2014-12-23 2016-06-30 Intel Corporation Iii-v semiconductor alloys for use in the subfin of non-planar semiconductor devices and methods of forming the same
WO2016105396A1 (en) * 2014-12-23 2016-06-30 Intel Corporation Diffusion tolerant iii-v semiconductor heterostructures and devices including the same
US9493025B2 (en) 2015-01-19 2016-11-15 International Business Machines Corporation Graphene layers for identification of products
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9601368B2 (en) 2015-07-16 2017-03-21 Infineon Technologies Ag Semiconductor device comprising an oxygen diffusion barrier and manufacturing method
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10032670B2 (en) * 2016-06-14 2018-07-24 Infineon Technologies Ag Plasma dicing of silicon carbide
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
TWI751158B (en) * 2016-07-06 2022-01-01 荷蘭商Asm智慧財產控股公司 Structures and devices including germanium-tin films and methods of forming same
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
EP3537472B1 (en) * 2016-11-01 2022-11-23 Shin-Etsu Chemical Co., Ltd. Method for transferring device layer to transfer substrate
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) * 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
CN107611012B (en) * 2017-08-31 2020-10-02 长江存储科技有限责任公司 Stress control method and structure of prefabricated back film
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (en) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. Method of depositing a gap fill layer by plasma assisted deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (en) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TW202344708A (en) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR20190129718A (en) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
TW202140831A (en) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride–containing layer and structure comprising the same
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11658258B2 (en) * 2020-09-25 2023-05-23 Alliance For Sustainable Energy, Llc Device architectures having engineered stresses
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
WO2022221271A1 (en) * 2021-04-13 2022-10-20 Impact Photonics Llc Silicon-germanium avalanche photodiode
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
CN113948389B (en) * 2021-08-30 2023-03-14 西安电子科技大学 Silicon-based AlGaN/GaN HEMT based on SiSn epitaxial layer on back surface of substrate and preparation method
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100180945A1 (en) * 2006-03-17 2010-07-22 Silicon Genesis Corporation Method and Structure for Fabricating Solar Cells
US20110254052A1 (en) * 2008-10-15 2011-10-20 Arizona Board of Regents, a body corporate acting for and on behalf of Arizona State University Hybrid Group IV/III-V Semiconductor Structures

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4227941A (en) 1979-03-21 1980-10-14 Massachusetts Institute Of Technology Shallow-homojunction solar cells
US4248675A (en) 1980-02-25 1981-02-03 Massachusetts Institute Of Technology Method of forming electrical contact and antireflection layer on solar cells
US20030087503A1 (en) * 1994-03-10 2003-05-08 Canon Kabushiki Kaisha Process for production of semiconductor substrate
CN1132223C (en) 1995-10-06 2003-12-24 佳能株式会社 Semiconductor substrate and producing method thereof
WO2003103026A1 (en) * 2002-06-03 2003-12-11 Tien-Hsi Lee Methods for transferring a layer onto a substrate
US10374120B2 (en) * 2005-02-18 2019-08-06 Koninklijke Philips N.V. High efficiency solar cells utilizing wafer bonding and layer transfer to integrate non-lattice matched materials
FR2926672B1 (en) * 2008-01-21 2010-03-26 Soitec Silicon On Insulator PROCESS FOR MANUFACTURING LAYERS OF EPITAXY MATERIAL
WO2010014037A1 (en) 2008-07-30 2010-02-04 Worldsforge Private Limited A method for representing a result, and a method for obtaining a result and an outcome for a specific instance
EP2330632A1 (en) 2008-08-29 2011-06-08 Kaneka Corporation Thin-film photoelectric converter and fabrication method therefor
TWI377684B (en) 2008-10-27 2012-11-21 Univ Nat Yunlin Sci & Tech Si-ge thin-film solar cells with poly-germanium thin film and method for performing the same
GB2467935B (en) * 2009-02-19 2013-10-30 Iqe Silicon Compounds Ltd Formation of thin layers of GaAs and germanium materials
KR101643021B1 (en) 2009-06-05 2016-07-26 내셔날 인스티튜트 오브 어드밴스드 인더스트리얼 사이언스 앤드 테크놀로지 Semiconductor substrate, photoelectric conversion device, method for manufacturing semiconductor substrate, and method for manufacturing photoelectric conversion device
US8802477B2 (en) 2009-06-09 2014-08-12 International Business Machines Corporation Heterojunction III-V photovoltaic cell fabrication
US20110048517A1 (en) * 2009-06-09 2011-03-03 International Business Machines Corporation Multijunction Photovoltaic Cell Fabrication

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100180945A1 (en) * 2006-03-17 2010-07-22 Silicon Genesis Corporation Method and Structure for Fabricating Solar Cells
US20110254052A1 (en) * 2008-10-15 2011-10-20 Arizona Board of Regents, a body corporate acting for and on behalf of Arizona State University Hybrid Group IV/III-V Semiconductor Structures

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11075313B2 (en) * 2017-06-16 2021-07-27 Utica Leaseco, Llc Optoelectronic devices manufactured using different growth substrates
CN115046921A (en) * 2022-08-11 2022-09-13 四川至臻光电有限公司 Testing method and testing device for representing film adhesion of plastic optical element

Also Published As

Publication number Publication date
GB2492439B (en) 2013-09-18
CN102832117B (en) 2015-07-22
DE102012209887A1 (en) 2012-12-20
GB2492439A (en) 2013-01-02
US8927318B2 (en) 2015-01-06
GB201206930D0 (en) 2012-06-06
CN102832117A (en) 2012-12-19
US20120318334A1 (en) 2012-12-20
DE102012209887B4 (en) 2016-06-23

Similar Documents

Publication Publication Date Title
US8927318B2 (en) Spalling methods to form multi-junction photovoltaic structure
US11605750B2 (en) Solar cell having an emitter region with wide bandgap semiconductor material
US8912424B2 (en) Multi-junction photovoltaic device and fabrication method
US8344242B2 (en) Multi-junction solar cells
JP5456168B2 (en) Method for manufacturing photoelectric conversion device
US9099585B2 (en) Method of stabilizing hydrogenated amorphous silicon and amorphous hydrogenated silicon alloys
US7582515B2 (en) Multi-junction solar cells and methods and apparatuses for forming the same
US20070262296A1 (en) Photodetectors employing germanium layers
US20120171852A1 (en) Remote hydrogen plasma source of silicon containing film deposition
US20130056053A1 (en) Solar cell
US8124502B2 (en) Semiconductor device manufacturing method, semiconductor device and semiconductor device manufacturing installation
WO2009055502A1 (en) Microcrystalline silicon deposition for thin film solar applications
Tao et al. 730 mV implied Voc enabled by tunnel oxide passivated contact with PECVD grown and crystallized n+ polycrystalline Si
WO2010046284A1 (en) Semiconductor device manufacturing method, semiconductor device and semiconductor device manufacturing installation
CN108475707B (en) Method for manufacturing photoelectric conversion device
US20100116333A1 (en) InGaN Columnar Nano-Heterostructures For Solar Cells
US8980737B2 (en) Methods of forming contact regions using sacrificial layers
Jeong et al. Preparation of born-doped a-SiC: H thin films by ICP-CVD method and to the application of large-area heterojunction solar cells
Kuo et al. High quality gaas epilayers grown on Si substrate using 100 nm Ge buffer layer
Xu et al. CMOS compatible in-situ n-type doping of ge using new generation doping agents P (MH3) 3 and As (MH3) 3 (M= Si, Ge)
CN115036380B (en) Solar blind ultraviolet detector with pin structure and preparation method thereof
WO2012092051A2 (en) Photovoltaic device structure with primer layer
Onyegam et al. Amorphous/crystalline silicon heterojunction solar cells via remote plasma chemical vapor deposition: Influence of hydrogen dilution, rf power, and sample z-height position
US20110275200A1 (en) Methods of dynamically controlling film microstructure formed in a microcrystalline layer
Fujimoto et al. Development of thin film silicon solar cells using PCVD process

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BEDELL, STEPHEN W.;SADANA, DEVENDRA K.;SHAHRJERDI, DAVOOD;SIGNING DATES FROM 20141016 TO 20141111;REEL/FRAME:034164/0414

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117