DE102012209887B4 - Abplatzverfahren zur Bildung einer Mehrfach-Photovoltaikstruktur - Google Patents

Abplatzverfahren zur Bildung einer Mehrfach-Photovoltaikstruktur Download PDF

Info

Publication number
DE102012209887B4
DE102012209887B4 DE102012209887.9A DE102012209887A DE102012209887B4 DE 102012209887 B4 DE102012209887 B4 DE 102012209887B4 DE 102012209887 A DE102012209887 A DE 102012209887A DE 102012209887 B4 DE102012209887 B4 DE 102012209887B4
Authority
DE
Germany
Prior art keywords
germanium
layer
tin alloy
substrate
stress
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE102012209887.9A
Other languages
English (en)
Other versions
DE102012209887A1 (de
Inventor
Stephen W. Bedell
Devendra K. Sadana
Davood Shahrjerdi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of DE102012209887A1 publication Critical patent/DE102012209887A1/de
Application granted granted Critical
Publication of DE102012209887B4 publication Critical patent/DE102012209887B4/de
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/072Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN heterojunction type
    • H01L31/074Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN heterojunction type comprising a heterojunction with an element of Group IV of the Periodic Table, e.g. ITO/Si, GaAs/Si or CdTe/Si solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02535Group 14 semiconducting materials including tin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76254Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/0304Inorganic materials including, apart from doping materials or other impurities, only AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/0312Inorganic materials including, apart from doping materials or other impurities, only AIVBIV compounds, e.g. SiC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/068Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells
    • H01L31/0687Multiple junction or tandem solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/068Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells
    • H01L31/0687Multiple junction or tandem solar cells
    • H01L31/06875Multiple junction or tandem solar cells inverted grown metamorphic [IMM] multiple junction solar cells, e.g. III-V compounds inverted metamorphic multi-junction cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/072Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN heterojunction type
    • H01L31/0725Multiple junction or tandem solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/072Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN heterojunction type
    • H01L31/0745Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN heterojunction type comprising a AIVBIV heterojunction, e.g. Si/Ge, SiGe/Si or Si/SiC solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/075Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PIN type, e.g. amorphous silicon PIN solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/075Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PIN type, e.g. amorphous silicon PIN solar cells
    • H01L31/076Multiple junction or tandem solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table
    • H01L31/1808Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table including only Ge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table
    • H01L31/1812Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table including only AIVBIV alloys, e.g. SiGe
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/184Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof the active layers comprising only AIIIBV compounds, e.g. GaAs, InP
    • H01L31/1852Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof the active layers comprising only AIIIBV compounds, e.g. GaAs, InP comprising a growth substrate not being an AIIIBV compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1892Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof methods involving the use of temporary, removable substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1892Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof methods involving the use of temporary, removable substrates
    • H01L31/1896Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof methods involving the use of temporary, removable substrates for thin-film semiconductors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/544Solar cells from Group III-V materials
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/548Amorphous silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Manufacturing & Machinery (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Energy (AREA)
  • Sustainable Development (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Photovoltaic Devices (AREA)

Abstract

Verfahren zum Spalten eines Halbleitermaterials, welches folgende Schritte umfasst: Bereitstellen eines Germaniumsubstrats, wobei eine Germanium- und Zinnlegierungsschicht innerhalb des Germaniumsubstrats vorliegt; Abscheiden einer eine mechanische Spannung erzeugenden Schicht auf dem Germaniumsubstrat; Anlegen einer mechanischen Spannung aus der eine mechanische Spannung erzeugenden Schicht an das Germaniumsubstrat, wobei die mechanische Spannung das Germaniumsubstrat spaltet, um eine Spaltoberfläche bereitzustellen, wobei die Germanium- und Zinnlegierungsschicht zwischen der eine mechanische Spannung erzeugenden Schicht und der Spaltoberfläche des Germaniumsubstrats liegt; und selektives Ätzen der Spaltoberfläche des Germaniumsubstrats bis zur Germanium- und Zinnlegierungsschicht des Germaniumsubstrats.

Description

  • HINTERGRUND
  • Die vorliegende Erfindung betrifft die Herstellung von Photovoltaikeinheiten und insbesondere Verfahren zum Steuern des Ablösens einer Oberflächenschicht von einem Substrat mittels Abplatzen.
  • Eine Photovoltaikeinheit ist eine Einheit, welche die Energie auftreffender Photonen in elektromotorische Kraft (EMK) umwandelt. Übliche Photovoltaikeinheiten enthalten Solarzellen, welche so konfiguriert sind, dass sie die Energie in der elektromagnetischen Strahlung von der Sonne in elektrische Energie umwandeln. Verbindungshalbleiter enthaltende Mehrfach-Solarzellen können aufgrund ihres hohen Wirkungsgrads und ihrer Strahlungsbeständigkeit zur Stromerzeugung im Weltraum verwendet werden. Mehrfach-Solarzellen werden aufgrund der inhärent starken (IR-)Absorptionseigenschaft von Germanium (Ge) hauptsächlich auf Germanium-(Ge-)Substraten gefertigt. Außerdem hat Germanium (Ge) eine Kristallstruktur, die hinsichtlich des Gitters zu III-V-Verbindungshalbleitern passen kann, was eine Eingliederung von III-V-Teilzellen auf einem Germanium-(Ge-)Substrat gestattet. Das Germanium-(Ge-)Substrat kann annähernd 50% bis 70% der Gesamtkosten der fertigen Solarzelle ausmachen.
  • In der Photovoltaik-Industrie gibt es eine Tendenz, bei gleichzeitiger Maximierung des Wirkungsgrads der Energieumwandlung die Menge an zur Herstellung von Solarzellen verwendetem Halbleiterwerkstoff immer weiter zu minimieren. Die mit der Produktion von Halbleiterwerkstoffen verbundenen hohen Kosten verschlechtern die Kosten pro Watt einer gegebenen Photovoltaik-Technologie. Außerdem kann teures Halbleitermaterial, das nicht zur Energieumwandlung beiträgt, als Verschwendung auf der Ebene der Einheiten angesehen werden.
  • Die US 2011/0 048 517 A1 offenbart ein Verfahren zum Herstellen einer Mehrfachsolarzelle, welches folgende Schritte umfasst: Bereitstellen eines Stapels von Übergängen auf einem Substrat, wobei jeder Übergang eine jeweilige Bandlücke aufweist und die Übergänge nach ihren jeweiligen Bandlücken in dem Stapel so angeordnet sind, dass sich der Übergang mit einer kleinsten (größten) Bandlücke an einer Oberseite (Unterseite) des Stapels befindet; Bereitstellen einer Metallschicht mit einer Zugspannung auf einer Oberseite des Übergangs mit der kleinsten Bandlücke; Anhaften eines flexiblen Substrats an der Metallschicht; und Abspalten einer Halbleiterschicht von dem Substrat an einem Riss in dem Substrat, wobei der Riss durch die Zugspannung erzeugt wird.
  • Die US 2010/0 180 945 A1 offenbart ein Verfahren zum Herstellen von Solarzellen. Im Verfahren wird ein Trägersubstrat verwendet, auf dessen Oberfläche eine Abspaltungsschicht ausgebildet wird. Danach wird eine erste Dicke eines Halbleitermaterials an die Abspaltungsschicht von einem Donatorsubstrat übertragen. Ferner wird eine zweite Dicke des Halbleitermaterials auf der ersten Dicke des Halbleitermaterials ausgebildet. Eine gesamte Dicke des Halbleitermaterials wird von der Abspaltungsschicht abgespaltet.
  • ÜBERBLICK
  • In einer bestimmten Ausführungsform stellt die vorliegende Erfindung ein Verfahren zum Spalten eines Halbleitermaterials wie eines Germaniumsubstrats bereit, um mindestens eine Komponente einer Photovoltaikzelle bereitzustellen. In einer bestimmten Ausführungsform umfasst das Verfahren zum Spalten eines Halbleitermaterials das Bereitstellen eines Germaniumsubstrats, wobei eine Germanium- und Zinnlegierungsschicht innerhalb des Germaniumsubstrats vorliegt. Eine eine mechanische Spannung erzeugende Schicht kann auf einer Oberfläche, die auf dem Germaniumsubstrat vorliegt, abgeschieden werden. Eine mechanische Spannung aus der eine mechanische Spannung erzeugenden Schicht kann an das Germaniumsubstrat angelegt werden, wobei die mechanische Spannung das Germaniumsubstrat spaltet, um eine Spaltoberfläche zu schaffen. Die Germanium- und Zinnlegierungsschicht liegt zwischen der Oberfläche des Germaniumsubstrats, auf welcher die eine mechanische Spannung erzeugende Schicht gebildet ist, und der Spaltoberfläche des Germaniumsubstrats. Die Spaltoberfläche des Germaniumsubstrats kann dann selektiv bis zur Germanium- und Zinnlegierungsschicht des Germaniumsubstrats geätzt werden. In einigen Ausführungsformen verwendet das oben beschriebene Verfahren die Germanium- und Zinnlegierungsschicht als einen Ätzstopp, um die Spaltoberfläche zu entfernen und um eine Dickenschwankung im Germaniumsubstrat, die aus der Spaltoberfläche resultiert, zu beseitigen.
  • In einer weiteren Ausführungsform wird ein Verfahren zum Spalten eines Halbleitermaterials bereitgestellt, welches das Bereitstellen eines Germaniumsubstrats umfasst, wobei eine Germanium- und Zinnlegierungsschicht innerhalb des Germaniumsubstrats vorliegt. Die Germanium-/Zinnlegierungsschicht kann geschwächt werden, wobei das Schwächen der Germanium-/Zinnlegierungsschicht das Behandeln des Germaniumsubstrats mit einem Wasserstoff enthaltenden Gas, einer Wasserstoff enthaltenden Säure oder einer Kombination davon umfasst, wobei Wasserstoff aus dem Wasserstoff enthaltenden Gas, der Wasserstoff enthaltenden Säure oder der Kombination davon in die Germanium-/Zinnlegierungsschicht diffundiert, um die Bindungsstruktur innerhalb der Germanium-/Zinnlegierungsschicht zu schwächen. Eine eine mechanische Spannung erzeugende Schicht kann auf einer Oberfläche, welche auf dem Germaniumsubstrat vorliegt, abgeschieden werden. Eine mechanische Spannung aus der eine mechanische Spannung erzeugenden Schicht wird an das Germaniumsubstrat angelegt, wobei die mechanische Spannung das Germaniumsubstrat entlang der Germanium- und Zinnlegierungsschicht spaltet. In einigen Ausführungsformen verwendet das oben beschriebene Verfahren die Germanium- und Zinnlegierungsschicht als eine Spaltschicht, um die Tiefe vorzugeben, bei welcher das Germaniumsubstrat abplatzen kann.
  • KURZBESCHREIBUNG DER ZEICHNUNGEN
  • Die folgende ausführliche Beschreibung, die lediglich als Beispiel dient und die Erfindung nicht allein darauf begrenzen soll, lässt sich am besten in Verbindung mit den beigefügten Zeichnungen, in welchen gleiche Bezugszeichen gleiche Elemente und Teile bezeichnen, verstehen:
  • 1 ist eine seitliche Schnittansicht, welche ein Germaniumsubstrat, in welchem eine Germanium- und Zinnlegierungsschicht vorliegt, wie in einer bestimmten Ausführungsform eines Verfahrens zum Bilden einer Photovoltaikeinheit verwendet, gemäß der vorliegenden Erfindung darstellt.
  • 2A ist eine seitliche Schnittansicht, welche die Abscheidung einer eine mechanische Spannung erzeugenden Schicht direkt auf einer Oberfläche des Germaniumsubstrats gemäß einer Ausführungsform der vorliegenden Erfindung darstellt.
  • 2B ist eine seitliche Schnittansicht, welche die Abscheidung einer Passivierungsschicht, einer Rückseitenfeldschicht, einer Tunnelschicht oder einer III-V-Solarzelle oder einer Kombination beliebig vieler davon auf dem Germaniumsubstrat vor der Abscheidung der eine mechanische Spannung erzeugenden Schicht gemäß einer Ausführungsform der vorliegenden Erfindung darstellt.
  • Die 3A und 3B sind seitliche Schnittansichten, welche das Anlegen einer mechanischen Spannung aus der eine mechanische Spannung erzeugenden Schicht an das Germaniumsubstrat, wobei die mechanische Spannung das Germaniumsubstrat spaltet, um eine Spaltoberfläche bereitzustellen, gemäß einer Ausführungsform der vorliegenden Erfindung darstellen.
  • Die 4A und 4B sind seitliche Schnittansichten, welche das selektive Ätzen der Spaltoberfläche des Germaniumsubstrats bis zur Germanium- und Zinnlegierungsschicht 10 gemäß einer Ausführungsform der vorliegenden Erfindung darstellen.
  • Die 5A und 5B sind seitliche Schnittansichten, welche das Entfernen der Germanium- und Zinnlegierungsschicht gemäß einer Ausführungsform der vorliegenden Erfindung darstellen.
  • Die 6A und 6B sind seitliche Schnittansichten, welche das Schwächen der Germanium- und Zinnlegierungsschicht vor dem Anlegen einer mechanischen Spannung aus der eine mechanische Spannung erzeugenden Schicht an das Germaniumsubstrat darstellen, wobei in dieser Ausführungsform die Germanium- und Zinnlegierungsschicht gemäß einer Ausführungsform der vorliegenden Erfindung eine Bruchebene bereitstellt.
  • Die 7A und 7B sind seitliche Schnittansichten, welche das Anlegen einer mechanischen Spannung aus der eine mechanische Spannung erzeugenden Schicht an das in den 6A und 6B gezeigte Germaniumsubstrat darstellen, wobei die mechanische Spannung das Germaniumsubstrat gemäß einer Ausführungsform der vorliegenden Erfindung entlang der Germanium- und Zinnlegierungsschicht spaltet.
  • Die 8A und 8B sind seitliche Schnittansichten, welche das Entfernen des Restteils der Germanium- und Zinnlegierungsschicht von der in den 7A und 7B dargestellten Struktur gemäß einer Ausführungsform der vorliegenden Erfindung darstellen.
  • Die 9A und 9B sind seitliche Schnittansichten, welche das Bilden einer Photovoltaikeinheit auf dem Restteil des Germaniumsubstrats, welcher aus den in den 1 bis 8B dargestellten Verfahrensfolgen resultiert, gemäß einer Ausführungsform der vorliegenden Erfindung darstellen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Hierin werden ausführliche Ausführungsformen der beanspruchten Strukturen und Verfahren offenbart; jedoch versteht es sich von selbst, dass die offenbarten Ausführungsformen lediglich zur Veranschaulichung der beanspruchten Strukturen und Verfahren dienen, welche in verschiedenen Formen verkörpert sein können. Außerdem ist jedes einzelne der in Verbindung mit den verschiedenen Ausführungsformen angegebenen Beispiele als erläuternd und nicht einschränkend zu verstehen. Überdies sind die Figuren nicht unbedingt maßstabsgerecht, und einige Merkmale können übertrieben dargestellt sein, um Einzelheiten bestimmter Komponenten zu zeigen. Mithin sind hierin offenbarte spezielle strukturelle und funktionale Einzelheiten nicht als begrenzend, sondern lediglich als eine repräsentative Grundlage zum Unterweisen eines Fachmanns, die Verfahren und Strukturen der vorliegenden Erfindung verschiedenartig anzuwenden, aufzufassen.
  • In der Beschreibung stehende Bezugnahmen auf „eine bestimmte Ausführungsform”, „eine Ausführungsform”, „eine beispielhafte Ausführungsform” usw. bedeuten, dass die beschriebene Ausführungsform ein bestimmtes Merkmal, eine bestimmte Struktur oder eine bestimmte Eigenschaft aufweisen kann, aber nicht jede Ausführungsform unbedingt das bestimmte Merkmal, die bestimmte Struktur oder die bestimmte Eigenschaft aufweisen muss. Überdies beziehen sich solche Ausdrücke nicht unbedingt auf dieselbe Ausführungsform. Wenn ein bestimmtes Merkmal, eine bestimmte Struktur oder eine bestimmte Eigenschaft in Verbindung mit einer Ausführungsform beschrieben ist, wird ferner vorausgesetzt, dass ein Fachmann in der Lage ist, ein solches Merkmal, eine solche Struktur oder eine solche Eigenschaft in Verbindung mit anderen Ausführungsformen, ganz gleich ob diese ausdrücklich beschrieben sind oder nicht, anzuwenden.
  • Zu Zwecken der Beschreibung beziehen sich im Folgenden die Begriffe „oberer”, „unterer”, „linker”, „rechter”, „senkrechter”, „waagerechter”, „oberster”, „unterster” und davon abgeleitete Formen auf die Erfindung, so wie sie in den Zeichnungen orientiert ist. Die Begriffe „auf”, „darüberliegend”, „oben auf”, „auf ... positioniert” oder „oben auf ... positioniert” bedeuten, dass ein erstes Element wie eine erste Struktur auf einem zweiten Element wie einer zweiten Struktur vorliegt, wobei dazwischenliegende Elemente wie eine Grenzflächenstruktur, z. B. eine Grenzflächenschicht, zwischen dem ersten Element und dem zweiten Element vorliegen können. Die Begriffe „direkt auf”, „direkter Kontakt” bedeuten, dass ein erstes Element wie eine erste Struktur und ein zweites Element wie eine zweite Struktur ohne jede leitende, isolierende oder halbleitende Schicht an der Grenzfläche der zwei Elemente verbunden sind.
  • Die vorliegende Erfindung betrifft den Einsatz von Abplatzverfahren bei der Herstellung von Photovoltaikeinheiten, wobei die offenbarten Abplatzverfahren eine Schicht aus einer Legierung aus Zinn und Germanium in ein Germaniumsubstrat einbringen, um Rissbeginn und Rissausbreitung weiter zu steuern und die Selektivität der Abplatztiefen in Halbleiterschichten wie Germanium-(Ge-)Substraten zu erhöhen. Wie hierin verwendet, ist eine „Photovoltaikeinheit” eine Einheit wie eine Solarzelle, die freie Elektronen und/oder Leerstellen, d. h. Löcher, erzeugt, wenn sie einer Strahlung wie Licht ausgesetzt ist, was die Erzeugung eines elektrischen Stroms zur Folge hat. Die Photovoltaikeinheit enthält üblicherweise p-leitende und n-leitende Schichten, die eine gemeinsame Grenzfläche haben, um einen Heteroübergang bereitzustellen.
  • Zu den leistungsfähigeren Werkstoffen für Photovoltaik-Anwendungen zählen III-V-Verbindungshalbleiterwerkstoffe wie Galliumarsenid (GaAs). Das Stapeln von Schichten dünner Verbindungshalbleiter zur Bereitstellung von p-n-Übergängen verschiedener Zusammensetzungen (und Bandlücken) kann ermöglichen, dass ein breiterer Teil des Sonnenlichtspektrums durch eine Photovoltaikeinheit aufgefangen werden kann, was einen höheren Wirkungsgrad zur Folge hat. Germanium kann als das Substrat verwendet werden, auf welchem die Verbindungshalbleiterschichten wie III-V-Verbindungshalbleiter aufwachsen. Germanium dient üblicherweise als der unterste Übergang der Photovoltaikeinheit und fängt aufgrund seiner kleinen Bandlücke den längerwelligen Teil des Sonnenlichtspektrums auf.
  • Germanium-Einkristallsubstrate sind energieaufwendig herzustellen und teuer. Nachdem ein Teil der Photovoltaikzelle auf einem Germaniumsubstrat gebildet wurde, kann der Teil des Germaniumsubstrats, der verarbeitet wurde, um eine Photovoltaikzelle bereitzustellen, auf ein preiswertes Substrat versetzt werden, wobei der Teil des Germaniumsubstrats, der nicht verarbeitet wurde, dann bei der Bildung einer weiteren Photovoltaikzelle verwendet werden kann. Abplatzenlassen ist ein Verfahren zum Spalten des Germaniumsubstrats. Das Abplatzenlassen umfasst die Abscheidung einer eine mechanische Spannung bewirkenden Schicht, die auf der Oberfläche des Germaniumsubstrats abgeschieden wird und die das Germaniumsubstrat durch einen Bruch spalten kann. Es wurde jedoch festgestellt, dass die Versetzung von Germaniumschichten von Germaniumsubstraten bei der Bildung von Mehrfach-Photovoltaikzellen durch Abplatzen ungünstigerweise zu Dickenschwankungen in der versetzten Germaniumschicht führt. Zum Beispiel kann die Dickenschwankung im versetzten Teil des Germanium enthaltenden Substrats in der Größenordnung von mehreren Mikrometern liegen. Die Dickenschwankung des versetzten Teils des Germaniumsubstrats beeinträchtigt ungünstigerweise die Stromabstimmung des gesamten Mehrfachstapels, welcher die Photovoltaikeinheit bereitstellt, während die von den einzelnen Zellen erzeugten Ströme zur Erzielung eines optimalen Wirkungsgrads gleich sein sollten. Der Strom schwankt mit der Dicke der übriggebliebenen Germaniumschicht, und ebenso schwankt der Gesamtwirkungsgrad der Zellen. Die vorliegende Erfindung stellt ein Verfahren zum Herstellen der Strukturen von Mehrfach-Photovoltaikeinheiten bereit, welche eine Germaniumschicht, d. h. einen versetzten Teil eines Germaniumsubstrats, mit einer steuerbaren und gleichbleibenden Dicke enthalten. Spezieller, und in einer bestimmten Ausführungsform, verwendet das hierin offenbarte Verfahren eine Germanium- und Zinnlegierungsschicht, d. h. binäre GeSn-Legierungsschicht, oder eine Silicium-, Germanium- und Zinnlegierungsschicht, d. h. ternäre SiGeSn-Legierungsschicht, um die Enddicke der übriggebliebenen Germaniumschicht (des versetzten Teils des Germaniumsubstrats) festzulegen.
  • Die 1 bis 5B zeigen eine Ausführungsform der vorliegenden Erfindung, in welcher eine Schicht aus einer Germanium-/Zinnlegierung und/oder Silicium, Germanium und Zinn als Ätzstopp verwendet wird, um die Restdicke des Germaniums nach dem Abplatzen festzulegen. 1 zeigt ein Germaniumsubstrat 1, das eine Germanium- und Zinnlegierungsschicht 10 umfasst. In einer bestimmten Ausführungsform kann das Germaniumsubstrat 1 einen Materialstapel enthalten, der, von unten nach oben, eine erste Schicht aus Germanium 5, die Germanium- und Zinnlegierungsschicht 10, welche auf der ersten Schicht aus Germanium 5 vorliegt, und eine zweite Schicht aus Germanium 15, welche auf der Germanium- und Zinnlegierungsschicht 10 vorliegt, enthält.
  • In einer bestimmten Ausführungsform kann die erste Schicht aus Germanium 5 einen Germaniumgehalt haben, der größer als 95 Atom-% ist. In einer weiteren Ausführungsform kann die erste Schicht aus Germanium 5 einen Germaniumgehalt haben, der größer als 99 Atom-% ist. In einem Beispiel kann die erste Schicht aus Germanium 5 einen Germaniumgehalt von 100 Atom-% aufweisen. Die erste Schicht aus Germanium 5 kann unter Verwendung eines Einkristall-(monokristallinen)Verfahrens gebildet werden. Ein Beispiel eines Einkristall-Verfahrens zum Bilden der ersten Schicht aus Germanium 5, welches das Germaniumsubstrat bereitstellt, ist das Czochralski-(CZ-)Verfahren. Das Czochralski-(CZ-)Verfahren umfasst das Heranziehen eines Impfkristalls aus einkristallinem Germanium und das In-Kontakt-Bringen desselben mit der oberseitigen Oberfläche von flüssigem Germanium. Während des langsamen Anhebens (oder Hochziehens) des Impfkristalls erstarren Atome des flüssigen Germaniums im Muster des Impfkristalls und verlängern die Einkristall-Struktur. Die Einkristall-Struktur wird dann in Wafer, d. h. Substrate, zersägt, welche die erste Schicht aus Germanium 5 bereitstellen können.
  • Die erste Schicht aus Germanium 5 kann für eine n- oder p-Leitfähigkeit dotiert sein oder kann eine eigenleitende Halbleiterschicht sein. Eine „eigenleitende Halbleiterschicht” ist eine Schicht aus Halbleiterwerkstoff, die im Wesentlichen rein ist, d. h. eine eigenleitende Halbleiterschicht ist nicht mit n-leitenden oder p-leitenden Dotierungsstoffen dotiert. Wie hierin verwendet, bezieht sich „p-leitend” auf die Hinzufügung von Fremdatomen zu einem eigenleitenden Halbleiter, welche Stellen mit fehlenden Valenzelektronen (d. h. Löcher) erzeugen. Wie hierin verwendet, bezieht sich „n-leitend” auf die Hinzufügung von Fremdatomen, welche einem eigenleitenden Halbleiter freie Elektronen hinzufügen. Die Dicke der ersten Schicht aus Germanium 5 kann zwischen 50 μm und 10 cm betragen. In einer weiteren Ausführungsform kann die Dicke der ersten Schicht aus Germanium 5 zwischen 80 μm und 1 mm betragen.
  • Es ist zu beachten, dass die obigen Dicken für die erste Schicht aus Germanium 5 nur zur Veranschaulichung angegeben sind und die vorliegende Erfindung nicht begrenzen sollen. Zum Beispiel können andere Dicken der ersten Schicht aus Germanium 5 verwendet werden, solange die Dicke der ersten Schicht aus Germanium 5 dafür sorgt, dass nach dem Abplatzen mindestens ein Restteil der ersten Schicht aus Germanium 5 übrigbleibt, so dass die anschließend gebildete Germanium- und Zinnlegierungsschicht 10 zwischen der Spaltoberfläche der ersten Schicht aus Germanium 15 und der anschließend gebildeten zweiten Schicht aus Germanium 15 liegt.
  • Die Germanium- und Zinnlegierungsschicht 10, welche die Ätzstoppschicht bereitstellt und einen Teil der unteren Zelle der Photovoltaikeinheit umfassen kann, kann eine epitaktisch gebildete Schicht sein, welche direkt auf einer Oberfläche der ersten Schicht aus Germanium 5 abgeschieden ist. Die Begriffe „epitaktisch gebildet”, „epitaktisches Wachstum” und/oder „epitaktische Abscheidung” bedeuten das Wachstum eines Halbleitermaterials auf einer Abscheidungsoberfläche eines Halbleitermaterials, wobei das aufwachsende Halbleitermaterial die gleichen Kristalleigenschaften wie das Halbleitermaterial der Abscheidungsoberfläche hat. Demgemäß hat in den Ausführungsformen, in welchen die erste Schicht aus Germanium 5 eine Einkristallstruktur hat, auch die epitaktisch gewachsene Germanium- und Zinnlegierungsschicht 10 eine Einkristallstruktur. Ferner hat in den Ausführungsformen, in welchen die erste Schicht aus Germanium 5 eine polykristalline Struktur hat, auch die epitaktisch gewachsene Germanium- und Zinnlegierungsschicht 10 eine polykristalline Struktur.
  • Die Germanium- und Zinnlegierungsschicht 10 kann aus 0,5 Atom-% bis 20 Atom-% Zinn und einem Restanteil Germanium bestehen. In einer weiteren Ausführungsform kann die Germanium- und Zinnlegierungsschicht 10 sich aus 5 Atom-% bis 20 Atom-% Zinn und 80 Atom-% bis 95 Atom-% Germanium zusammensetzen. In noch einer weiteren Ausführungsform kann die Germanium- und Zinnlegierungsschicht 10 sich aus 10 Atom-% bis 15 Atom-% Zinn und 85 Atom-% bis 90 Atom-% Germanium zusammensetzen. Es ist zu beachten, dass die obigen Konzentrationen nur zur Veranschaulichung angegeben sind und die vorliegende Erfindung nicht begrenzen sollen. Zum Beispiel können andere Zinnkonzentrationen verwendet werden, solange die Zinnkonzentration groß genug ist, damit die erste Schicht aus Germanium 5 selektiv bis zur Germanium- und Zinnlegierungsschicht 10 geätzt werden kann.
  • In einer weiteren Ausführungsform kann die Germanium- und Zinnlegierungsschicht 10 außerdem Silicium enthalten, wobei das Silicium eingebracht wird, um die durch das Zinn eingeführte mechanische Spannung auszugleichen. Spezieller erhöht Zinn die Elementarkörperdimension der epitaktisch gewachsenen Germanium- und Zinnlegierungsschicht 10, so dass diese größer als die Elementarkörperdimension der ersten Schicht aus Germanium 5 ist. Mit zunehmender Zinnkonzentration in der Germanium- und Zinnlegierungsschicht 10 nimmt deshalb die mechanische Druckspannung, die in der Germanium- und Zinnlegierungsschicht 10 vorliegt, zu. Die Einführung einer mechanischen Spannung in die Germanium- und Zinnlegierungsschicht 10 kann eine Fehlstellenbildung zur Folge haben. Um die Druckspannung zu senken, kann Silicium in die Germanium- und Zinnlegierungsschicht 10 eingebracht werden, um die Elementarkörperdimension der epitaktisch gewachsenen Germanium- und Zinnlegierungsschicht 10 zu verringern. Spezieller kann die Germanium- und Zinnlegierungsschicht 10 in einer bestimmten Ausführungsform aus 0,5 Atom-% bis 20 Atom-% Zinn, unter 50 Atom-% Silicium und einem Restanteil Germanium bestehen. In einer weiteren Ausführungsform kann die Germanium- und Zinnlegierungsschicht 10 aus 0 Atom-% bis 10 Atom-% Zinn, 0 Atom-% bis 38 Atom-% Silicium beziehungsweise 100 Atom-% bis 52 Atom-% Germanium bestehen. Wenn das molare Verhältnis von Si:Sn in der Ge(SiSn)-Legierung etwa 3,8 beträgt, ist die Gitterkonstante die gleiche wie beim Grundmaterial Ge. Deshalb ist es möglich diese Legierungen auf Ge-Substraten spannungsfrei wachsen zu lassen.
  • Es ist zu beachten, dass die obigen Zusammensetzungen für die Germanium- und Zinnlegierungsschicht 10 nur zur Veranschaulichung angegeben sind und die vorliegende Erfindung nicht begrenzen sollen. Zum Beispiel können andere Zinnkonzentrationen verwendet werden, solange die Zinnkonzentration groß genug ist, damit das Material der ersten Schicht aus Germanium 5 selektiv bis zur Germanium- und Zinnlegierungsschicht 10 geätzt werden kann.
  • In einer bestimmten Ausführungsform kann die Germanium- und Zinnlegierungsschicht 10 mittels Abscheidung durch Molekularstrahlepitaxie (MBE (Molecular Beam Epitaxy)) abgeschieden werden. Zum Beispiel können die Zinn- und Germaniumquellen für die MBE-Abscheidung der Germanium- und Zinnlegierungsschicht 10 Festkörperquellen-Materialien aus Zinn und Germanium enthalten. In den Ausführungsformen, in welchen die Germanium- und Zinnlegierungsschicht 10 außerdem Silicium enthält, können die Quellen für die MBE-Abscheidung der Germanium- und Zinnlegierungsschicht Festkörperquellen-Materialien aus Zinn, Germanium und Silicium enthalten.
  • Molekularstrahlepitaxie (MBE) findet in einem Vakuum in der Größenordnung von 10–8 Pa statt. Bei Festkörperquellen-MBE werden die Festkörperquellen-Materialien wie Zinn, Germanium und Silicium (wahlweise) in separaten Quasi-Effusionszellen erwärmt, bis sie beginnen, langsam zu sublimieren. Die gasförmigen Elemente kondensieren dann auf der Abscheidungsoberfläche, d. h. der ersten Schicht aus Germanium 5, wo sie miteinander reagieren können. Der Begriff „Strahl” deutet an, dass verdampfte Atome wegen der langen mittleren freien Weglängen der Atome nicht miteinander oder mit Gasen in der Vakuumkammer in Wechselwirkung treten, bis sie die Abscheidungsoberfläche erreichen.
  • In einer weiteren Ausführungsform kann die Germanium- und Zinnlegierungsschicht 10 durch chemische Abscheidung aus der Gasphase abgeschieden werden. Chemische Abscheidung aus der Gasphase (CVD (Chemical Vapor Deposition)) ist ein Abscheidungsprozess, bei welchem eine abgeschiedene Species als ein Ergebnis einer chemischen Reaktion zwischen gasförmigen Reaktionspartnern und einer Oberfläche eines Substrats bei Raumtemperatur oder darüber gebildet wird, was eine Abscheidung einer dünnen Schicht auf der Substratoberfläche zur Folge hat. Zu Variationen von CVD-Prozessen zählen, ohne aber darauf beschränkt zu sein, Atmosphärendruck-CVD (APCVD (Atmospheric Pressure CVD)), Niederdruck-CVD (LPCVD (Low Pressure CVD)), plasmaunterstützte CVD (PECVD (Plasma Enhanced CVD)), metallorganische CVD (MOCVD (Metal-Organic CVD)), Ultrahochvakuum-CVD (UHV-CVD (Ultra-High Vacuum CVD)) und Kombinationen davon. Zu weiteren Beispielen von Prozessen zur Abscheidung der Germanium enthaltenden Siliciumschicht 3 zählen Atomlagen-Abscheidung (ALD (Atomic Layer Deposition)), Bedampfen, chemische Abscheidung aus der Lösung und weitere ähnliche Abscheidungsprozesse.
  • Eine Anzahl verschiedener Quellen kann zur Abscheidung der Germanium- und Zinnlegierungsschicht 10 mittels CVD verwendet werden. In einigen Ausführungsformen umfassen die Quellengase zum epitaktischen Aufwachsen der Germanium- und Zinnlegierungsschicht 10 mittels CVD ein Germanium-Quellengas wie Monogerman-Gas (GeH4) und ein Zinn-Quellengas wie Stannan (SnH4), Stannan-d4 (SnD4) oder eine Kombination davon. In der Ausführungsform, in welcher die Germanium- und Zinnlegierungsschicht 10 auch aus Silicium zusätzlich zu Germanium und Zinn besteht, zählen ein Germanium-Quellengas wie Monogerman-Gas (GeH4), ein Zinn-Quellengas wie Stannan (SnH4), Stannan-d4 (SnD4) oder eine Kombination davon und ein Silicium-Quellengas wie Siliciumtetrachlorid, Dichlorsilan (SiH2Cl2), Silan (SiH4) und Silane höherer Ordnung wie Disilan (Si2H6) und Trisilan (Si3H8) zu den Quellengasen zur Abscheidung der Germanium- und Zinnlegierungsschicht 10. Die Temperatur für epitaktische Abscheidung liegt üblicherweise zwischen 300°C und 800°C. Trotzdem wird gewöhnlich wegen der geringen Löslichkeit von Sn im Ge- oder GeSi-Gitter ein Wachstum bei niedrigerer Temperatur bevorzugt.
  • Die Germanium- und Zinnlegierungsschicht 10 kann für eine n-oder p-Leitfähigkeit dotiert sein oder kann eine eigenleitende Halbleiterschicht sein. Der Dotierungsstoff, welcher den Leitungstyp der Germanium- und Zinnlegierungsschicht 10 bereitstellt, kann an Ort und Stelle abgeschieden werden. Der Begriff „Leitungstyp” bezeichnet die p-Leitfähigkeit oder n-Leitfähigkeit eines Dotierungsstoffs. Mit „an Ort und Stelle” ist gemeint, dass der Dotierungsstoff, welcher den Leitungstyp. der Materialschicht bereitstellt, eingebracht wird, während die Materialschicht gebildet oder abgeschieden wird. In einer bestimmten Ausführungsform können die während des CVD-Prozesses verwendeten Quellengase, wenn die Germanium- und Zinnlegierungsschicht 10 für eine p-Leitfähigkeit dotiert wird, außerdem eine p-Dotierungsstoff-Quelle umfassen. Zum Beispiel kann Diboran-(B2H6-)Gas neben den Quellengasen für das Zinn, das Germanium und das wahlweise Silicium in die Verarbeitungskammer eingebracht werden. In einer bestimmten Ausführungsform können die während des CVD-Prozesses verwendeten Quellengase, wenn die Germanium- und Zinnlegierungsschicht für eine n-Leitfähigkeit dotiert wird, außerdem eine n-Dotierungsstoff-Quelle umfassen. Zum Beispiel kann Phosphin-(PH3-)Gas oder Arsin-(AsH3-)Gas neben den Quellengasen für das Zinn, das Germanium und das wahlweise Silicium in die Verarbeitungskammer eingebracht werden.
  • Der p-leitende und/oder n-leitende Dotierungsstoff für die Germanium- und Zinnlegierungsschicht 10 kann auch nach der Abscheidung der Germanium- und Zinnlegierungsschicht 10 mittels Plasmadotierung, Ionenimplantation und/oder Ausdiffundieren aus einer Wegwerf-Diffusionsquelle (z. B. Borsilikatglas) oder einer Kombination beliebig vieler dieser Verfahren eingebracht werden.
  • Die Dicke der Germanium- und Zinnlegierungsschicht 10 kann zwischen 1 nm und 500 nm liegen. In einer weiteren Ausführungsform kann die Dicke der Germanium- und Zinnlegierungsschicht 10 zwischen 5 nm und 50 nm liegen. Es ist zu beachten, dass die obigen Dicken für die Germanium- und Zinnlegierungsschicht 10 nur zur Veranschaulichung angegeben sind und die vorliegende Erfindung nicht begrenzen sollen. Zum Beispiel können andere Dicken für die Germanium- und Zinnlegierungsschicht 10 verwendet werden, so lange die Dicke der Germanium- und Zinnlegierungsschicht 10 groß genug ist, dass die Germanium- und Zinnlegierungsschicht 10 während Ätzvorgängen zum Entfernen des Restteils der ersten Schicht aus Germanium 5 nach dem Ätzen der Spaltoberfläche 4 als ein Ätzstopp wirken kann.
  • Bei Verwendung in der unteren Zelle einer Photovoltaikeinheit und Dotierung für eine p-Leitfähigkeit beträgt die Konzentration des p-Dotierungsstoffs in der Germanium- und Zinnlegierungsschicht 10 zwischen 5 × 1017 Atomen/cm3 und 5 × 1020 Atomen/cm3. Bei Verwendung in der unteren Zelle einer Photovoltaikeinheit und Dotierung für eine n-Leitfähigkeit beträgt die Konzentration des n-Dotierungsstoffs in der Germanium- und Zinnlegierungsschicht 10 zwischen 5 × 1017 Atomen/cm3 und 1 × 1020 Atomen/cm3.
  • Wie in 1 weiter gezeigt, und in einer bestimmten Ausführungsform, liegt auf der Germanium- und Zinnlegierungsschicht 10 des Germaniumsubstrats 1 eine zweite Schicht aus Germanium 15 vor. In einer bestimmten Ausführungsform kann die zweite Schicht aus Germanium 15 einen Germaniumgehalt aufweisen, der größer als 95 Atom-% ist. In einer weiteren Ausführungsform kann die zweite Schicht aus Germanium 15 einen Germaniumgehalt aufweisen, der größer als 99 Atom-% ist. In einem Beispiel kann die zweite Schicht aus Germanium 15 einen Germaniumgehalt von 100% aufweisen. Die zweite Schicht aus Germanium 15 kann mittels eines Abscheidungsprozesses wie CVD auf der Germanium- und Zinnlegierungsschicht 10 abgeschieden werden. In einer bestimmten Ausführungsform wird der CVD-Prozess zum Bilden der zweiten Schicht aus Germanium 15 aus der Atmosphärendruck-CVD (APCVD (Atmospheric Pressure CVD)), Niederdruck-CVD (LPCVD (Low Pressure CVD)), plasmaunterstützte CVD (PECVD (Plasma Enhanced CVD)), metallorganische CVD (MOCVD (Metal-Organic CVD)), Ultrahochvakuum-CVD (UHV-CVD (Ultra-High Vacuum CVD)) und Kombinationen davon umfassenden Gruppe ausgewählt. In einer bestimmten Ausführungsform kann die zweite Schicht aus Germanium 15 eine epitaktisch abgeschiedene Schicht sein, wobei die Germaniumquelle des epitaktischen Abscheidungsprozesses Monogerman-(GeH4-)Gas umfasst. Erwogen wird auch, dass die zweite Schicht aus Germanium 15 auch Sn und Si enthalten kann, um die Leistungsfähigkeit der Photovoltaikeinheit zu verbessern (z. B. erhöhen diese Elemente die Absorption bei größeren Wellenlängen gegenüber reinem Ge).
  • Ähnlich der Germanium- und Zinnlegierungsschicht 10 kann die zweite Schicht aus Germanium 15 für eine p-Leitfähigkeit oder eine n-Leitfähigkeit dotiert sein. Der Dotierungsstoff, welcher den Leitungstyp der zweiten Schicht aus Germanium 15 bereitstellt, kann während des Bildungsprozesses, welcher die zweite Schicht aus Germanium 15 bereitstellt, an Ort und Stelle eingebracht werden, oder der Dotierungsstoff, welcher den Leitungstyp der zweiten Schicht aus Germanium 15 bereitstellt, kann mittels Ionenimplantation oder Plasmadotierung eingebracht werden, nachdem die Schicht aus Germanium 15 abgeschieden wurde. Die Dicke der zweiten Schicht aus Germanium 15 kann zwischen 100 nm und 15 μm liegen. In einer weiteren Ausführungsform kann die Dicke der zweiten Schicht aus Germanium 15 zwischen 500 nm und 10 μm liegen. In einigen Ausführungsformen kann die zweite Schicht aus Germanium 15 als eine Komponente der unteren Zelle der Photovoltaikeinheit fungieren.
  • 2A veranschaulicht eine Ausführungsform der Abscheidung einer eine mechanische Spannung erzeugenden Schicht 20 direkt auf einer Oberfläche des Germaniumsubstrats 1, z. B. direkt auf der Oberfläche der zweiten Schicht aus Germanium 15. 2B veranschaulicht eine Ausführungsform der Abscheidung einer eine mechanische Spannung erzeugenden Schicht 20 auf einer Rückseitenmaterialschicht 14, welche auf dem Germaniumsubstrat 1 vorliegt, z. B. auf der zweiten Schicht aus Germanium 15. Die Rückseitenmaterialschicht 14 kann eine einzelne Materialschicht oder eine mehrschichtige Materialschicht sein. In einer bestimmten Ausführungsform kann die Rückseitenmaterialschicht 14, welche die Oberfläche des Germaniumsubstrats 1 bereitstellt, eine Rückseitenfeldschicht, eine Passivierungsschicht, eine Tunnelschicht, ein rückseitiger Solarzellen-Übergang oder eine Kombination beliebig vieler davon sein. Die Rückseitenmaterialschicht 14 kann durch eine beliebige Kombination von Rückseitenfeldschichten, Passivierungsschichten, Tunnelschichten und rückseitigen Solarzellen-Übergängen bereitgestellt werden.
  • Wie in 2B gezeigt, kann die Rückseitenmaterialschicht 14 durch einen Rückseitenfeldbereich, welcher auf einer Oberfläche der zweiten Schicht aus Germanium 15 gebildet ist, bereitgestellt sein. Ein „Rückseitenfeld-(BSF-(Back Surface Field-))Bereich” ist ein dotierter Bereich mit einer höheren Dotierungsstoffkonzentration als derjenigen der zweiten Schicht aus Germanium 15. Der Rückseitenfeldbereich und die zweite Schicht aus Germanium 15 haben üblicherweise den gleichen Leitungstyp, z. B. p- oder n-Leitfähigkeit. Die Grenzfläche zwischen dem hoch dotierten Rückseitenfeld-(BSF-)Bereich und der zweiten Schicht aus Germanium 15 mit einer niedrigeren Dotierungsstoffkonzentration als derjenigen des Rückseitenfeld-(BSF-)Bereichs verhält sich wie ein p-n-Übergang, und an der Grenzfläche bildet sich ein elektrisches Feld, welches eine Sperre für den Minoritätsträgerfluss zur Rückseite errichtet. So wird die Minoritätsträgerkonzentration in der zweiten Schicht aus Germanium 15 auf höheren Niveaus gehalten, und der Rückseitenfeld-(BSF-)Bereich hat einen Nettoeffekt, die Rückseite der Solarzelle zu passivieren.
  • Wie in 2B weiter gezeigt, kann die Rückseitenmaterialschicht 14 auch eine Passivierungsschicht umfassen, welche auf dem Rückseitenfeldbereich der zweiten Schicht aus Germanium 15 vorliegen kann. In einer weiteren Ausführungsform kann die Rückseitenmaterialschicht weggelassen werden, wobei die Rückseitenmaterialschicht 14 eine durch eine Passivierungsschicht bereitgestellte einzelne Materialschicht ist. Die Passivierungsschicht ist eine Materialschicht, die auf der Rückseite der zweiten Schicht aus Germanium 15 gebildet ist, welche die untere Zelle der anschließend gebildeten Photovoltaikzelle bereitstellt, wobei die Passivierungsschicht die Konzentration freier Bindungen an der Rückseite der unteren Zelle der Photovoltaikeinheit verringert. In einer bestimmten Ausführungsform besteht die Passivierungsschicht aus hydriertem amorphem Silicium (a-Si:H). Üblicherweise ist das hydrierte amorphe Silicium eine eigenleitende Halbleiterschicht. Die Abscheidung des hydriertes amorphes Silicium enthaltenden Materials durch PECVD umfasst mindestens ein Halbleitermaterial enthaltendes Reaktionsgas und mindestens ein Wasserstoff enthaltendes Reaktionsgas. In einer bestimmten Ausführungsform enthält das Halbleitermaterial enthaltende Reaktionsgas zum Herstellen des hydriertes amorphes Silicium enthaltenden Materials mindestens ein Siliciumatom. Zum Beispiel kann das Halbleitermaterial enthaltende Reaktionsgas, um die Siliciumkomponente des hydrierten amorphen Siliciums bereitzustellen, mindestens eine der Verbindungen SiH4, Si2H6, SiH2Cl2, SiHCl3 und SiCl4 enthalten. Das Wasserstoff enthaltende Reaktionsgas zur Abscheidung des hydriertes amorphes Silicium enthaltenden Materials durch PECVD kann Wasserstoffgas (H2) sein.
  • Wie in 2B weiter gezeigt, kann die Rückseitenmaterialschicht 14 auch einen rückseitigen Solarzellen-Übergang umfassen, welcher auf der Passivierungsschicht gebildet sein kann. In einer weiteren Ausführungsform können die Rückseitenfeldschicht und die Passivierungsschicht weggelassen werden, wobei die Rückseitenmaterialschicht 14 durch mindestens einen rückseitigen Solarzellen-Übergang bereitgestellt werden kann, welcher auf der freiliegenden Oberfläche der zweiten Schicht aus Germanium 15 gebildet wird. Der rückseitige Solarzellen-Übergang kann aus einer beliebigen Anzahl von p-n-Übergängen bestehen und kann aus einer beliebigen Anzahl von Materialien bestehen. In einer bestimmten Ausführungsform können die Materialschichten, welche den rückseitigen Solarzellen-Übergang bereitstellen, Verbindungshalbleiterwerkstoffe sein. Zu Beispielen von Verbindungshalbleiterwerkstoffen, die sich für den rückseitigen Solarzellen-Übergang eignen, zählen, ohne aber darauf beschränkt zu sein, Aluminiumantimonid (AlSb), Aluminiumarsenid (AlAs), Aluminiumnitrid (AlN), Aluminiumphosphid (AlP), Bornitrid (BN), Borphosphid (BP), Borarsenid (BAs), Galliumarsenid (GaAs), Galliumphosphid (GaP), Indiumantimonid (InSb), Indiumarsenid (InAs), Indiumnitrid (InN), Indiumphosphid (InP), Aluminium-Gallium-Arsenid (AlGaAs), Indium-Gallium-Phosphid (InGaP), Aluminium-Indium-Arsenid (AlInAs), Aluminium-Indium-Antimonid (AlInSb), Gallium-Arsenid-Nitrid (GaAsN), Gallium-Arsenid-Antimonid (GaAsSb), Aluminium-Gallium-Nitrid (AlGaN), Aluminium-Gallium-Phosphid (AlGaP), Indium-Gallium-Nitrid (InGaN), Indium-Arsenid-Antimonid (InAsSb), Indium-Gallium-Antimonid (InGaSb), Aluminium-Gallium-Indium-Phosphid (AlGaInP), Aluminium-Gallium-Arsenid-Phosphid (AlGaAsP), Indium-Gallium-Arsenid-Phosphid (InGaAsP), Indium-Arsenid-Antimonid-Phosphid (InArSbP), Aluminium-Indium-Arsenid-Phosphid (AlInAsP), Aluminium-Gallium-Arsenid-Nitrid (AlGaAsN), Indium-Gallium-Arsenid-Nitrid (InGaAsN), Indium-Aluminium-Arsenid-Nitrid (InAlAsN), Gallium-Arsenid-Antimonid-Nitrid (GaAsSbN), Gallium-Indium-Nitrid-Arsenid-Aluminium-Antimonid (GaInNAsSb), Gallium-Indium-Arsenid-Antimonid-Phosphid (GaInAsSbP) und Kombinationen davon.
  • Wie oben erwähnt und wie in den 2A und 2B gezeigt, kann eine eine mechanische Spannung erzeugende Schicht 20 direkt auf einer Oberfläche des Germaniumsubstrats 1, wie in 2A dargestellt, oder auf einer Rückseitenmaterialschicht 14, welche auf dem Germaniumsubstrat 1 vorliegt, wie in 2B dargestellt, abgeschieden werden. In einer bestimmten Ausführungsform besteht die eine mechanische Spannung erzeugende Schicht 20 aus einer Metall enthaltenden Schicht, einer Polymerschicht, einem Klebeband oder einer Kombination davon. In einigen Ausführungsformen der vorliegenden Erfindung kann die Oberfläche, auf welcher die eine mechanische Spannung erzeugende Schicht 20 abgeschieden wird, vor der weiteren Verarbeitung gereinigt werden, um Oberflächenoxide und/oder andere Verschmutzungen davon zu entfernen. In einer bestimmten Ausführungsform der vorliegenden Erfindung kann die Oberfläche, auf welcher die eine mechanische Spannung erzeugende Schicht 20 abgeschieden wird, durch Anwenden eines Lösemittels wie zum Beispiel Aceton und Isopropanol gereinigt werden.
  • In einigen Ausführungsformen wird vor dem Bilden der eine mechanische Spannung erzeugenden Schicht 20 eine wahlweise, Metall enthaltende Adhäsionsschicht 16 auf einer Oberfläche des Germaniumsubstrats 1, wie in 2A gezeigt, oder auf einer Oberfläche der Rückseitenmaterialschicht 14, wie in 2B gezeigt, gebildet. Die wahlweise, Metall enthaltende Adhäsionsschicht 16 wird in Ausführungsformen verwendet, bei welchen die eine mechanische Spannung erzeugende Schicht 20 nur schlecht an der Oberfläche haftet, auf welcher die eine mechanische Spannung erzeugende Schicht 20 gebildet werden soll. Üblicherweise wird die Metall enthaltende Adhäsionsschicht 16 verwendet, wenn eine aus einem Metall bestehende, eine mechanische Spannung erzeugende Schicht 20 verwendet wird.
  • Die in der vorliegenden Erfindung verwendete wahlweise, Metall enthaltende Adhäsionsschicht 16 enthält ein beliebiges Metalladhäsionsmaterial wie Ti/W, Ti, Cr, Ni oder eine beliebige Kombination davon, ohne aber darauf beschränkt zu sein. Die wahlweise, Metall enthaltende Adhäsionsschicht 16 kann eine einzige Schicht umfassen, oder sie kann eine mindestens zwei Schichten aus verschiedenen Metalladhäsionsmaterialien umfassende mehrschichtige Struktur enthalten.
  • Die Metall enthaltende Adhäsionsschicht 16 kann bei Raumtemperatur (15°C bis 40°C) oder darüber gebildet werden. In einer bestimmten Ausführungsform wird die wahlweise, Metall enthaltende Adhäsionsschicht 16 bei einer Temperatur zwischen 20°C und 180°C gebildet. In einer weiteren Ausführungsform wird die wahlweise, Metall enthaltende Adhäsionsschicht 16 bei einer Temperatur zwischen 20°C und 60°C gebildet.
  • Die Metall enthaltende Adhäsionsschicht 16, welche wahlweise verwendet werden kann, kann unter Verwendung von Abscheidungsverfahren gebildet werden. Zum Beispiel kann die wahlweise, Metall enthaltende Adhäsionsschicht 16 durch Sputtern, chemische Abscheidung aus der Gasphase, plasmaunterstützte chemische Abscheidung aus der Gasphase, chemische Abscheidung aus der Lösung, Aufdampfen und Galvanisieren gebildet werden. Bei Verwendung von Sputtern kann der Sputter-Prozess außerdem einen an Ort und Stelle erfolgenden Sputter-Reinigungsprozess vor der Abscheidung umfassen.
  • Wenn sie verwendet wird, hat die wahlweise, Metall enthaltende Adhäsionsschicht 16 üblicherweise eine Dicke von 5 nm bis 200 nm, wobei eine Dicke von 100 nm bis 150 nm üblicher ist. Andere Dicken für die wahlweise, Metall enthaltende Adhäsionsschicht 16, die unter und/oder über den oben erwähnten Dickenbereichen liegen, können in der vorliegenden Erfindung ebenfalls verwendet werden.
  • In einigen Ausführungsformen wird die eine mechanische Spannung erzeugende Schicht 20 auf einer freiliegenden Oberfläche der wahlweisen, Metall enthaltenden Adhäsionsschicht 16 gebildet. In einigen Ausführungsformen, in welchen die wahlweise, Metall enthaltende Adhäsionsschicht 16 nicht vorliegt, wird die eine mechanische Spannung erzeugende Schicht 20 direkt auf dem Germaniumsubstrat 1 oder direkt auf der Rückseitenmaterialschicht 14, die auf dem Germaniumsubstrat 1 vorliegt, gebildet. Diese besonderen Ausführungsformen sind in den Zeichnungen nicht gezeigt, können aber ohne weiteres aus den in der vorliegenden Anmeldung enthaltenen Zeichnungen hergeleitet werden.
  • In einer bestimmten Ausführungsform kann die eine mechanische Spannung erzeugende Schicht 20, die in der vorliegenden Erfindung verwendet wird, jedes Material enthalten, das bei der Abplatztemperatur auf dem Germaniumsubstrat 10 unter Zugspannung steht. Zu anschaulichen Beispielen solcher Materialien, die unter Zugspannung stehen, wenn sie auf das Germaniumsubstrat 1 aufgebracht sind, zählen, ohne aber darauf beschränkt zu sein, ein Metall, ein Polymer wie eine ein Abplatzen bewirkende Bandschicht und jede beliebige Kombination davon. Die eine mechanische Spannung erzeugende Schicht 20, welche eine einzelne, eine mechanische Spannung erzeugende Schicht oder eine mehrschichtige spannungserzeugende Struktur, die aus mindestens zwei Schichten aus verschiedenen spannungserzeugenden Materialen besteht, umfassen kann, kann verwendet werden.
  • In einer bestimmten Ausführungsform ist die eine mechanische Spannung erzeugende Schicht 20 ein Metall und wird das Metall auf einer oberen Oberfläche der wahlweisen, Metall enthaltenden Adhäsionsschicht 16 gebildet. In einer weiteren Ausführungsform ist die eine mechanische Spannung erzeugende Schicht 20 ein ein Abplatzen bewirkendes Band und wird das ein Abplatzen bewirkende Band direkt auf die Oberfläche des Germaniumsubstrats 1 aufgebracht, wie in 2A dargestellt, oder wird es direkt auf die Rückseitenmaterialschicht 14 aufgebracht, wie in 2B dargestellt. In einer weiteren Ausführungsform kann die eine mechanische Spannung erzeugende Schicht 20 zum Beispiel eine zweiteilige, eine mechanische Spannung erzeugende Schicht, welche einen unteren Teil und einen oberen Teil enthält, umfassen. Der obere Teil der zweiteiligen, eine mechanische Spannung erzeugenden Schicht kann aus einer ein Abplatzen bewirkenden Bandschicht bestehen.
  • Wenn ein Metall als die eine mechanische Spannung erzeugende Schicht 20 verwendet wird, kann das Metall zum Beispiel Ni, Ti, Cr, Fe oder W enthalten. Auch Legierungen dieser und anderer Metalle können verwendet werden. In einer bestimmten Ausführungsform umfasst die eine mechanische Spannung erzeugende Schicht 20 mindestens eine aus Ni bestehende Schicht. Wenn ein Polymer als die eine mechanische Spannung erzeugende Schicht 20 verwendet wird, ist das Polymer ein großes, aus sich wiederholenden Grundeinheiten bestehendes Makromolekül. Diese Teileinheiten sind üblicherweise durch kovalente chemische Bindungen verbunden. Anschauliche Beispiele von Polymeren, welche als die eine mechanische Spannung erzeugende Schicht 20 verwendet werden können, sind unter anderem, ohne aber darauf beschränkt zu sein, Polyimide, Polyester, Polyolefine, Polyacrylate, Polyurethan, Polyvinylacetat und Polyvinylchlorid.
  • Wenn eine ein Abplatzen bewirkende Bandschicht als die eine mechanische Spannung erzeugende Schicht 20 verwendet wird, umfasst die ein Abplatzen bewirkende Bandschicht ein beliebiges druckempfindliches Band, welches bei der zum Bilden des Bands verwendeten ersten Temperatur flexibel, weich und spannungsfrei, bei der während des Entfernens des oberen Teils des Grundsubstrats verwendeten zweiten Temperatur aber fest, verformbar und dehnbar ist. Mit „druckempfindlichem Band” ist ein Klebeband gemeint, das nach Anwendung von Druck klebt, ohne dass ein Lösemittel, Wärme oder Wasser zur Aktivierung erforderlich wäre. Die Zugspannung im Band ist hauptsächlich auf eine Wärmeausdehnungsdifferenz zwischen der ersten Schicht aus Germanium 15 (mit einem niedrigeren Wärmeausdehnungskoeffizienten) des Germaniumsubstrats 1 und dem Band (mit einem höheren Wärmeausdehnungskoeffizienten) zurückzuführen.
  • Üblicherweise enthält das druckempfindliche Band, das in der vorliegenden Erfindung als die eine mechanische Spannung erzeugende Schicht 20 verwendet wird, mindestens eine Klebeschicht und eine Grundschicht. Zu den Materialien für die Klebeschicht und die Grundschicht des druckempfindlichen Bands zählen polymere Materialien wie zum Beispiel Acryle, Polyester, Olefine und Vinyle mit oder ohne geeignete Weichmacher. Weichmacher sind Zusätze, welche die Verformbarkeit des polymeren Materials, welchem sie beigegeben werden, erhöhen können.
  • In einer bestimmten Ausführungsform wird die eine mechanische Spannung erzeugende Schicht 20, die in der vorliegenden Erfindung verwendet wird, bei Raumtemperatur (15°C bis 40°C) gebildet. In einer weiteren Ausführungsform kann bei Verwendung einer Bandschicht die Bandschicht bei einer Temperatur zwischen 15°C und 60°C gebildet werden.
  • Wenn die eine mechanische Spannung erzeugende Schicht 20 ein Metall oder ein Polymer ist, kann die eine mechanische Spannung erzeugende Schicht 20 unter Verwendung von Abscheidungsverfahren gebildet werden, welche dem Fachmann wohlbekannt sind, darunter zum Beispiel Tauchbeschichtung, Drehbeschichtung, Bürstenbeschichtung, Sputtern, chemische Abscheidung aus der Gasphase, plasmaunterstützte chemische Abscheidung aus der Gasphase, chemische Abscheidung aus der Lösung, Aufdampfen und Galvanisieren.
  • Wenn die eine mechanische Spannung erzeugende Schicht 20 eine ein Abplatzen bewirkende Bandschicht ist, kann die Bandschicht von Hand oder mit mechanischen Mitteln auf die Struktur aufgebracht werden. Das ein Abplatzen bewirkende Band kann unter Anwendung bekannter Verfahren gebildet oder von irgendeinem bekannten Klebebandhersteller im Handel erworben werden. Einige Beispiele von ein Abplatzen bewirkenden Bändern, die in der vorliegenden Erfindung als eine eine mechanische Spannung erzeugende Schicht 20 verwendet werden können, umfassen zum Beispiel Nitto Denko 3193MS „Thermal Release Tape”, Kapton® KPT-1 und CLEAR-170 von Diversified Biotech (Acrylklebstoff, Vinylbasis).
  • In einer bestimmten Ausführungsform kann eine zweiteilige, eine mechanische Spannung erzeugende Schicht 20 direkt auf einer Oberfläche des Germaniumsubstrats 1 gebildet werden, wie in 2A dargestellt, oder kann sie direkt auf einer Oberfläche einer Rückseitenmaterialschicht 14 gebildet werden, wie in 2B dargestellt, wobei ein unterer Teil der zweiteiligen, eine mechanische Spannung erzeugenden Schicht 20 bei einer ersten Temperatur, welche bei Raumtemperatur oder leicht darüber liegt (z. B. zwischen 15°C und 60°C), gebildet wird, wobei ein oberer Teil der zweiteiligen, eine mechanische Spannung erzeugenden Schicht 20 eine ein Abplatzen bewirkende Bandschicht bei einer Hilfstemperatur, welche bei Raumtemperatur liegt, umfasst.
  • Wenn die eine mechanische Spannung erzeugende Schicht 20 von metallischer Art ist, hat sie üblicherweise eine Dicke zwischen 3 μm und 50 μm, wobei eine Dicke zwischen 4 μm und 8 μm üblicher ist. Auch andere Dicken für die eine mechanische Spannung erzeugende Schicht 20, die unter und/oder über den oben genannten Dickenbereichen liegen, können in der vorliegenden Erfindung verwendet werden.
  • Wenn die eine mechanische Spannung erzeugende Schicht 20 von polymerer Art ist, hat sie üblicherweise eine Dicke zwischen 10 μm und 200 μm, wobei eine Dicke zwischen 50 μm und 100 μm üblicher ist. Auch andere Dicken für die eine mechanische Spannung erzeugende Schicht 20, die unter und/oder über den oben genannten Dickenbereichen liegen, können in der vorliegenden Erfindung verwendet werden.
  • Wie in den 2A und 2B weiter gezeigt, kann ein wahlweises Handhabungssubstrat 25 auf der eine mechanische Spannung erzeugenden Schicht 20 gebildet werden. Das in der vorliegenden Erfindung verwendete wahlweise Handhabungssubstrat 25 umfasst ein beliebiges flexibles Material, das einen Mindest-Krümmungsradius von unter 30 cm aufweist. Anschauliche Beispiele von flexiblen Materialien, welche als das wahlweise Handhabungssubstrat 25 verwendet werden können, sind unter anderem eine Metallfolie oder eine Polyimidfolie.
  • Das wahlweise Handhabungssubstrat 25 kann verwendet werden, um eine bessere Bruchsteuerung und eine vielseitigere Handhabung des abgeplatzten Teils des Germaniumsubstrats 1 bereitzustellen. Außerdem kann das wahlweise Handhabungssubstrat 25 verwendet werden, die Rissausbreitung während des Abplatzprozesses der vorliegenden Erfindung zu leiten. Das wahlweise Handhabungssubstrat 25 der vorliegenden Erfindung wird üblicherweise, aber nicht notwendigerweise bei Raumtemperatur (15°C bis 40°C) gebildet. Das wahlweise Handhabungssubstrat 25 kann unter Verwendung von Abscheidungsverfahren gebildet werden, welche dem Fachmann wohlbekannt sind, darunter zum Beispiel Tauchbeschichtung, Drehbeschichtung, Bürstenbeschichtung, Sputtern, chemische Abscheidung aus der Gasphase, plasmaunterstützte chemische Abscheidung aus der Gasphase, chemische Abscheidung aus der Lösung, Aufdampfen, Galvanisieren, mechanische Adhäsion, Thermokompressionskontaktierung oder Löten. Das wahlweise Handhabungssubstrat 25 hat üblicherweise eine Dicke zwischen 1 μm und einigen mm, wobei eine Dicke zwischen 70 μm und 120 μm üblicher ist. Andere Dicken für das wahlweise Handhabungssubstrat 18, die unter und/oder über den oben erwähnten Dickenbereichen liegen, können in der vorliegenden Erfindung ebenfalls verwendet werden.
  • Die 3A und 3B veranschaulichen das Anlegen einer mechanischen Spannung aus der eine mechanische Spannung erzeugenden Schicht 20 an das Germaniumsubstrat 1, wobei die mechanische Spannung das Germaniumsubstrat 1 spaltet, um eine Spaltoberfläche 4 auf dem Restteil der ersten Schicht aus Germanium 5a bereitzustellen. 3A veranschaulicht das Anlegen einer mechanischen Spannung aus einer eine mechanische Spannung erzeugenden Schicht 20, welche direkt auf dem Germaniumsubstrat 1 abgeschieden ist, an das Germaniumsubstrat 1. 3B veranschaulicht das Anlegen einer mechanischen Spannung aus einer eine mechanische Spannung erzeugenden Schicht 20, welche auf einer Rückseitenmaterialschicht 14 abgeschieden ist, welche auf dem Germaniumsubstrat 1 vorliegt, an das Germaniumsubstrat 1. Die Germanium- und Zinnlegierungsschicht 10 liegt zwischen der Oberfläche, auf welcher die eine mechanische Spannung erzeugende Schicht 20 gebildet ist, und der Spaltoberfläche 4 des Germaniumsubstrats 1. In den 3A und 3B bezeichnet das Bezugszeichen 5a den Restteil der ersten Schicht aus Germanium 5, welcher an der eine mechanische Spannung erzeugenden Schicht 20 hängt, während das Bezugszeichen 5b den abgeplatzten Teil der ersten Schicht aus Germanium 5, der entfernt wird, bezeichnet.
  • Die Bedingung, welche im Abplatzen des Germaniumsubstrats 1 resultiert, hängt mit der Kombination des Dickenwerts der eine mechanische Spannung erzeugenden Schicht 20 und des Spannungswerts für die eine mechanische Spannung erzeugende Schicht 20 sowie mit den mechanischen Eigenschaften des Germaniumsubstrats 1 zusammen. Bei einem gegebenen Dickenwert der eine mechanische Spannung erzeugenden Schicht 20 gibt es einen Spannungswert, oberhalb dessen es zu spontanem Abplatzen kommt. Ebenso gibt es bei einem gegebenen Spannungswert der eine mechanische Spannung erzeugenden Schicht 20 einen Dickenwert, oberhalb dessen es zu spontanem Abplatzen kommt.
  • Ein ungefährer Richtwert für den Dickenwert der eine mechanische Spannung erzeugenden Schicht 20, bei welchem Abplatzen möglich wird, ist für den Fall, wo die eine mechanische Spannung erzeugende Schicht 20 im Wesentlichen aus unter Zugspannung stehendem Ni besteht, durch die Beziehung t* = [(2,5 × 106)(KIC 3/2)]/σ2 gegeben, wobei t* der Dickenwert (in Mikrometer) der eine mechanische Spannung erzeugenden Schicht 20 ist, bei welchem gesteuertes Abplatzen möglich wird, KIC der Bruchzähigkeitswert des Germaniumsubstrats 1 (in MPa·m1/2) ist, z. B. der Bruchzähigkeitswert der ersten Schicht aus Germanium 5, und σ die Größe des Werts der mechanischen Spannung in der eine mechanische Spannung erzeugenden Schicht 20 (in MPa oder Megapascal) ist. Wenn die Dicke der unter Zugspannung stehenden Schicht 20 um etwa 50% größer als der durch t* gegebene Wert ist, kann spontanes Abplatzen auftreten. In einem anderen Aspekt kann die Dicke der eine mechanische Spannung erzeugenden Schicht 20 irgendwo zwischen etwa 1 μm und etwa 50 μm oder zwischen etwa 3 μm und etwa 30 μm oder zwischen etwa 4 μm und etwa 20 μm Dicke liegen.
  • Die Auswahl der eine mechanische Spannung erzeugenden Schicht 20 muss sich nicht auf die Differenz zwischen dem Wärmeausdehnungskoeffizienten der eine mechanische Spannung erzeugenden Schicht 20 und dem Wärmeausdehnungskoeffizienten des Germaniumsubstrats 1 stützen, welche das spontane Abplatzen fördert wie nach dem bekannten Stand der Technik, wo das Abplatzen durch Abkühlen der Struktur von einer hohen Temperatur (ungefähr 900°C) auf eine niedrigere Temperatur bewirkt wird. Die vorliegende Erfindung stützt sich nicht auf spontanes Abplatzen, sondern vielmehr auf die Nutzung mechanischer Kraft und gesteuerten Brechens im Wesentlichen bei Raumtemperatur (ungefähr 20°C), um Schichten oder Schichten vom Germaniumsubstrat 1 zu trennen, z. B. einen ersten Teil 5a der ersten Schicht aus Germanium 5 vom Germaniumsubstrat 1 zu trennen. Die Dicke des Restteils 5a der ersten Schicht aus Germanium 5 aus dem Germaniumsubstrat 1, welcher an der eine mechanische Spannung erzeugenden Schicht 20 hängt, beträgt ungefähr das Zwei- bis Dreifache des Dickenwerts der eine mechanische Spannung erzeugenden Schicht 20. Durch Steuern des Betrags der mechanischen Spannung in der eine mechanische Spannung erzeugenden Schicht 20 kann der durchführbare Dickenwert der eine mechanische Spannung erzeugenden Schicht 20 (t*) so gewählt werden, dass eine gesteuerte Dicke der ersten Schicht aus Germanium 5 entfernt wird.
  • Zum Beispiel wenn die Dicke des Restteils des Germaniumsubstrats 1 nach dem Abplatzen, welcher die zweite Schicht aus Germanium 15, die Germanium- und Zinnlegierungsschicht 10 und den Restteil 5a der ersten Schicht aus Germanium umfasst, etwa 10 μm betragen soll, müsste eine eine mechanische Spannung erzeugende Schicht 20 aus Nickel etwa 4 μm dick sein. Durch Verwenden des KIC-Werts für Ge<111> (0,59 MPa·m1/2) kann der obige Ausdruck für t* verwendet werden, um zu berechnen, dass ein Spannungswert von etwa 600 MPa erforderlich ist.
  • Obwohl der Ursprung der mechanischen Spannung in der eine mechanische Spannung erzeugenden Schicht 20 strukturell (vom Gefüge ausgehend) ist und nicht auf eine durch den Wärmeausdehnungskoeffizienten bedingte Spannung zurückgeführt werden kann, hat eine Erwärmung der eine mechanische Spannung erzeugenden Schicht 20 häufig zur Folge, dass die mechanische Spannung zunimmt. Dies liegt an Gefügeänderungen innerhalb der eine mechanische Spannung erzeugenden Schicht 20, welche beim Ausglühen auftreten, und ist unumkehrbar. Daher wird lokales Erwärmen erwogen, um einen Bruch am Rand des als Schicht zu versetzenden Gebiets einzuleiten. In anderen Worten, spontanes Abplatzen kann in kleinen, ausgewählten Bereichen hervorgerufen werden, um das Einleiten von Brüchen zu unterstützen, z. B. durch Erhöhen der Dicke der eine mechanische Spannung erzeugenden Schicht in diesen kleinen, ausgewählten Bereichen. Lokales Erwärmen kann mittels eines Lasers, durch induktive Erwärmung aus einer gewissen Entfernung oder durch Erwärmen in direktem Kontakt erfolgen.
  • Der erste Teil 5a der ersten Schicht aus Germanium 5 mit der Spaltoberfläche 4, welche durch den oben erwähnten gesteuerten Abplatzprozess gebildet wird, hat üblicherweise eine Dicke zwischen 1000 nm und einigen zehn μm, wobei eine Dicke zwischen 5 μm und 50 μm üblicher ist. Der zweite Teil 5b der ersten Schicht aus Germanium 5, welcher durch den oben erwähnten Abplatzprozess vom Germaniumsubstrat entfernt wird, hat üblicherweise eine Dicke zwischen 1000 nm und einigen zehn μm, wobei eine Dicke zwischen 5 μm und 50 μm üblicher ist.
  • In einigen Ausführungsformen der vorliegenden Erfindung können das wahlweise Handhabungssubstrat 25, die eine mechanische Spannung erzeugende Schicht 20 und die wahlweise, Metall enthaltende Adhäsionsschicht 16 vom Germaniumsubstrat 1 entfernt werden. Zum Beispiel, und in einer bestimmten Ausführungsform, kann Königswasser (HNO3/HCl) zum Entfernen des wahlweisen Handhabungssubstrats 25, der eine mechanische Spannung erzeugenden Schicht 20 und der wahlweisen, Metall enthaltenden Adhäsionsschicht 16 verwendet werden. In einem anderen Beispiel wird eine UV- oder Wärmebehandlung verwendet, um das wahlweise Handhabungssubstrat 25 zu entfernen, auf welche chemisches Ätzen folgt, um die unter Zugspannung stehende Schicht 20 zu entfernen, worauf ein andersartiges chemisches Ätzen folgt, um die wahlweise, Metall enthaltende Adhäsionsschicht 16 zu entfernen.
  • Die 4A und 4B zeigen einige Ausführungsformen des selektiven Ätzens der Spaltoberfläche 4 des Germaniumsubstrats 1 bis zur Germanium- und Zinnlegierungsschicht 10. 4A veranschaulicht das Ätzen der Spaltoberfläche 4 der in 3A dargestellten Struktur, und 4B veranschaulicht das Ätzen der Spaltoberfläche 4 der in 3B dargestellten Struktur. In einigen Ausführungsformen fungiert die Germanium- und Zinnlegierungsschicht 10 als ein Ätzstopp, um die Spaltoberfläche 4 zu entfernen und um eine Dickenschwankung im Germaniumsubstrat 1, die aus der Spaltoberfläche 4 resultiert, zu beseitigen. Wie hierin verwendet, bedeutet der Begriff „selektiv” im Zusammenhang mit einem Materialentfernungsprozess, dass die Materialentfernungsgeschwindigkeit für ein erstes Material größer ist als die Materialentfernungsgeschwindigkeit für mindestens ein anderes Material der Struktur, auf welche der Materialentfernungsprozess angewendet wird. In einigen Beispielen kann die Selektivität größer als 100:1, z. B. 1000:1 sein.
  • In einer bestimmten Ausführungsform besteht der Ätzprozess, welcher die Spaltoberfläche 4 des Restteils 5a der ersten Schicht aus Germanium 5 entfernt, aus Nassätzen mit einer Ätzchemie, die selektiv bis zur Germanium- und Zinnlegierungsschicht 10 wirkt. In einer bestimmten Ausführungsform enthält die Ätzchemie Wasserstoffperoxid (H2O2). In einer weiteren Ausführungsform umfasst die Ätzchemie zum selektiven Entfernen des Restteils 5a der ersten Schicht aus Germanium 5 bis zur Germanium- und Zinn-Schicht 10 Lösungen von Wasserstoffperoxid (H2O2), Flusssäure (HF) und Wasser (H2O) oder Trockenätzverfahren wie Xenondifluorid-(XeF2-)Ätzen. Es ist zu beachten, dass die obigen Ätzchemien nur zur Veranschaulichung angegeben sind und die vorliegende Erfindung nicht begrenzen sollen. Zum Beispiel können andere Ätzchemien verwendet werden, solange die Ätzchemie den Restteil 5a der ersten Schicht aus Germanium 5 entfernt, ohne die Germanium- und Zinnlegierungsschicht 10 zu entfernen. Weitere Ätzprozesse, welche an dieser Stelle der vorliegenden Erfindung verwendet werden können, umfassen reaktives Ionenätzen, Ionenstrahlätzen, Plasmaätzen oder Laserabschmelzung.
  • Nach dem Ätzen der Spaltoberfläche 4 und dem Entfernen des Restteils 5a der ersten Schicht aus Germanium 5 kann die Dicke des Germaniumsubstrats 1, d. h. der zweiten Schicht aus Germanium 15 und der Germanium- und Zinnlegierungsschicht 10, zwischen 0,1 Mikrometer und 20 Mikrometer liegen. In einem anderen Beispiel kann die Dicke des Germaniumsubstrats, d. h. der zweiten Schicht aus Germanium 15 und der Germanium- und Zinnlegierungsschicht 10, zwischen 0,5 Mikrometer und 5 Mikrometer liegen. Die Dickenschwankung über die gesamte Breite des Germaniumsubstrats 1 an dieser Stelle der vorliegenden Erfindung beträgt unter 100 nm. Die 5A und 5B veranschaulichen einige Ausführungsformen des Entfernens der Germanium- und Zinnlegierungsschicht 10. 5A veranschaulicht das Entfernen der Germanium- und Zinnlegierungsschicht 10 von der in 4A dargestellten Struktur, und 5B veranschaulicht das Entfernen der Germanium- und Zinnlegierungsschicht 10 von der in 4B dargestellten Struktur. In einer bestimmten Ausführungsform wird die Germanium- und Zinnlegierungsschicht 10 selektiv bis zur zweiten Schicht aus Germanium 15 entfernt. In einer bestimmten Ausführungsform besteht der Ätzprozess, welcher die Germanium- und Zinnlegierungsschicht 10 entfernt, aus Nassätzen mit einer Ätzchemie, die selektiv bis zur zweiten Schicht aus Germanium 15 wirkt. In einer bestimmten Ausführungsform enthält die Ätzchemie zum selektiven Entfernen der Germanium- und Zinnlegierungsschicht 10 bis zur zweiten Schicht aus Germanium 15 Ammoniumhydroxid (NH4OH), Kaliumhydroxid (KOH), Tetramethylammoniumhydroxid (TMAH) und Gemische oder verdünnte Lösungen davon. Es ist zu beachten, dass die obigen Ätzchemien nur zur Veranschaulichung angegeben sind und die vorliegende Erfindung nicht begrenzen sollen. Zum Beispiel können andere Ätzchemien verwendet werden, solange die Ätzchemie die Germanium- und Zinnlegierungsschicht 10 entfernt, ohne die zweite Schicht aus Germanium 15 zu entfernen. Weitere Ätzprozesse, welche an dieser Stelle der vorliegenden Erfindung verwendet werden können, umfassen reaktives Ionenätzen, Ionenstrahlätzen, Plasmaätzen oder Laserabschmelzung.
  • Nach dem Entfernen der Germanium- und Zinnlegierungsschicht 10 kann die Dicke des Germaniumsubstrats 1, d. h. der zweiten Schicht aus Germanium 15, zwischen 0,1 Mikrometer und 20 Mikrometer liegen. In einem anderen Beispiel kann die Dicke der zweiten Schicht aus Germanium 15 zwischen 0,5 Mikrometer und 5 Mikrometer liegen. Die Dickenschwankung über die gesamte Breite der zweiten Schicht aus Germanium 15 an dieser Stelle der vorliegenden Erfindung beträgt unter 100 nm.
  • Die 1 bis 5B veranschaulichen eine Ausführungsform eines Abplatzverfahrens, wobei die Germanium- und Zinnlegierungsschicht 10 als eine Ätzstoppschicht fungiert, um eine Germaniumschicht mit einer gleichbleibenden Dicke über ihre gesamte Breite bereitzustellen. In einer weiteren Ausführungsform der vorliegenden Erfindung dient die Germanium- und Zinnlegierungsschicht 10 als Bruchleitschicht, wenn sie in Verbindung mit einem gesteuerten Abplatzverfahren verwendet wird. In einigen Ausführungsformen kann die Germanium- und Zinnlegierungsschicht 10, wenn sie als Bruchleitschicht verwendet wird, Wasserstoff ausgesetzt werden, um die Bindungsstruktur der Germanium- und Zinnlegierungsschicht 10 zu verändern, um einen Bruch innerhalb der Germanium- und Zinnlegierungsschicht 10 zu erleichtern. Die 6A und 6B zeigen einige Ausführungsformen, welche das Schwächen der Germanium- und Zinnlegierungsschicht 10 vor dem Anlegen einer mechanischen Spannung aus der eine mechanische Spannung erzeugenden Schicht 10 an das Germaniumsubstrat 1 darstellen, wobei in dieser Ausführungsform die Germanium- und Zinnlegierungsschicht 10 eine Bruchebene bereitstellt. Das Germaniumsubstrat 1, welches in den 6A und 6B dargestellt ist, gleicht dem Germaniumsubstrat 1, welches in 1 dargestellt ist. Deshalb eignet sich die obige Beschreibung des Germaniumsubstrats 1, welches die erste Schicht aus Germanium 5, die Germanium- und Zinnlegierungsschicht 10 und die zweite Schicht aus Germanium 15 enthält, die in 1 dargestellt sind, dazu, das Germaniumsubstrat 1 zu beschreiben, welches die erste Schicht aus Germanium 5, die Germanium- und Zinnlegierungsschicht 10 und die zweite Schicht aus Germanium 15 enthält, die in den 6A und 6B dargestellt sind. Ferner eignet sich die Beschreibung der Rückseitenmaterialschicht 14, der eine mechanische Spannung erzeugenden Schicht 20, des Handhabungssubstrats 25 und der wahlweisen, Metall enthaltenden Adhäsionsschicht 16, die in den 2A und 3B dargestellt sind, für die Rückseitenmaterialschicht 14, die eine mechanische Spannung erzeugende Schicht 20, das Handhabungssubstrat 25 und die wahlweise, Metall enthaltende Adhäsionsschicht 16, die in den 6A und 6B dargestellt sind.
  • In der Ausführungsform, in welcher die Germanium- und Zinnlegierungsschicht 10 eine Bruchebene bereitstellt, ist die Zusammensetzung der Germanium- und Zinnlegierungsschicht 10 so gewählt, dass die Germanium- und Zinnlegierungsschicht 10 unter einer strukturellen mechanischen Spannung steht. Spezieller ist die Germanium- und Zinnlegierungsschicht 10 üblicherweise ein unter Druckspannung stehendes Material, wenn sie auf einem Germaniummaterial wie der ersten Schicht aus Germanium 5 gebildet ist. Wenn die Germanium- und Zinnlegierungsschicht 10 Silicium enthält und das Silicium in der Germanium- und Zinnlegierungsschicht 10 in einer höheren Konzentration vorliegt als das Zinn, das in der Germanium- und Zinnlegierungsschicht 10 vorliegt, ist die Germanium- und Zinnlegierungsschicht 10 ein unter Zugspannung stehendes Material. Da ternäre Ge(SiSn)-Legierungen bei einem molaren Verhältnis von Si:Sn von etwa 4:1 eine Gitterkonstante ähnlich derjenigen von Ge ergeben, ist der allgemeinste Legierungsbereich, der eine mechanische Druckspannung ergibt, gegeben durch: Gex(Si1-ySny)1-x wobei (0 ≤ x ≤ 1) und (0,2 < y ≤ 1). Ebenso ergibt sich eine mechanische Zugspannung, wenn (0 ≤ y < 0,2). Zum Beispiel kann eine unter Zugspannung stehende Germanium- und Zinnlegierungsschicht 10 50 Atom-% Germanium, 5 Atom-% Zinn und 45 Atom-% Silicium enthalten. Im Vergleich dazu kann eine unter Druckspannung stehende Germanium- und Zinnlegierungsschicht 10 50 Atom-% Germanium, 15 Atom-% Zinn und 35 Atom-% Silicium enthalten.
  • In einer bestimmten Ausführungsform wird die Germanium- und Zinnlegierungsschicht 10 durch Behandeln mindestens einer Oberfläche des Germaniumsubstrats 1 mit einem Wasserstoff enthaltenden Gas und/oder Plasma oder einer Wasserstoff enthaltenden Säure geschwächt. Die Behandlung des Germaniumsubstrats 1 mit dem Wasserstoff enthaltenden Gas und/oder Plasma oder einer Wasserstoff enthaltenden Säure kann vor der Abscheidung der eine mechanische Spannung erzeugenden Schicht 20 auf dem Germaniumsubstrat 1 durchgeführt werden, oder die Behandlung des Germaniumsubstrats 1 mit dem Wasserstoff enthaltenden Gas und/oder Plasma oder einer Wasserstoff enthaltenden Säure kann nach der Abscheidung der eine mechanische Spannung erzeugenden Schicht 20 auf dem Germaniumsubstrat 1 durchgeführt werden. Entsprechend den oben mit Bezugnahme auf die 1 bis 5B beschriebenen Ausführungsformen kann die eine mechanische Spannung erzeugende Schicht 20 direkt auf der zweiten Schicht aus Germanium 15 des Germaniumsubstrats 1 abgeschieden werden oder kann die eine mechanische Spannung erzeugende Schicht 20 auf einer Rückseitenmaterialschicht 14, welche auf dem Germaniumsubstrat 1 vorliegt, abgeschieden werden.
  • In einer bestimmten Ausführungsform ist das Wasserstoff enthaltende Gas Wasserstoffgas (H2). Der Wasserstoff kann von einem Trägergas wie Argon oder Helium begleitet sein. In einer bestimmten Ausführungsform kann das Germaniumsubstrat 1 mit einem Wasserstoff enthaltenden Plasma behandelt werden. Ein Plasma ist ein Gas, in welchem eine Mehrheit der Atome oder Moleküle ionisiert sind. Das Plasma kann durch eine HF-Frequenz (Wechselspannung) oder durch eine Gleichspannungsentladung zwischen zwei Elektroden erzeugt werden, wobei sich in dem Raum zwischen den zwei Elektroden Wasserstoff enthaltende Gase befinden. Die elektrische Energie verwandelt das Wasserstoff enthaltende Gasgemisch in reaktionsfähige Radikale, Ionen, neutrale Atome und Moleküle und andere hochangeregte Species. An dem Prozess sind chemische Reaktionen beteiligt, welche nach Erzeugung eines Plasmas aus den Reaktionsgasen erfolgen. Zum Beispiel wirken die atomaren und molekularen Fragmente und das Germaniumsubstrat 1 aufeinander ein, um Wasserstoff in das Germaniumsubstrat 1 einzubringen. In einer bestimmten Ausführungsform schwankt die partielle Ionisierung in zum Abscheiden und zum Verarbeiten entsprechender Materialien verwendeten Plasmen zwischen 10–4 bei üblichen kapazitiven Entladungen und sogar 5 bis 10% in hochdichten induktiven Plasmen. Plasmen werden üblicherweise bei Drücken zwischen wenigen Millitorr (133 mPa), z. B. 1 Millitorr bis 10 Millitorr, und wenigen Torr (1,33 hPa), z. B. 1 Torr bis 10 Torr, betrieben, obwohl Lichtbogenentladungen und induktive Plasmen bei Atmosphärendruck gezündet werden können. Das Plasma kann durch kapazitive Hochfrequenz-Entladung, induktiv gekoppeltes Plasma (ICP (Inductively Coupled Plasma)), Elektronenzyklotronresonanz (ECR (Electron Cylclotron Resonance)) und Helikon-Wellen bereitgestellt werden. In den Ausführungsformen, in welchen das Germaniumsubstrat mit einer Wasserstoff enthaltenden Säure behandelt wird, kann die Wasserstoff enthaltende Säure durch Flusssäure (HF), Salzsäure (HCl) oder Schwefelsäure bereitgestellt werden. Erwogen wird auch, bei hohen Drücken (z. B. vielen Atmosphären) eine Hydrierung oder Deuterierung durchzuführen, um den Transport von Wasserstoff in das Substrat zu erhöhen.
  • Nach der Behandlung mit dem Wasserstoff enthaltenden Gas und/oder Plasma oder mit der Wasserstoff enthaltenden Säure diffundiert der Wasserstoff zu den Bindungen in der strukturell gespannten Germanium- und Zinnlegierungsschicht 10, wobei die Wechselwirkung zwischen dem Wasserstoff und den Bindungen der Germanium- und Zinnlegierungsschicht 10 die Bindungsstruktur innerhalb der Germanium- und Zinnlegierungsschicht 10 schwächt.
  • Die 7A und 7B veranschaulichen einige Ausführungsformen des Anlegens einer mechanischen Spannung aus der eine mechanische Spannung erzeugenden Schicht 20 an das in den 6A und 6B dargestellte Germaniumsubstrat 1, wobei die mechanische Spannung das Germaniumsubstrat 1 entlang der Germanium- und Zinnlegierungsschicht 10 spaltet. Das Anlegen der mechanischen Spannung durch die unter Zugspannung stehende Schicht 20 an das Germaniumsubstrat 1, welches in den 7A und 7B dargestellt ist, gleicht dem Verfahren des Anlegens der mechanischen Spannung an das Germaniumsubstrat 1 mittels der eine mechanische Spannung erzeugenden Schicht 20, welches oben mit Bezugnahme auf die 2A und 2B beschrieben ist, abgesehen davon, dass im in den 7A und 7B dargestellten Verfahren das Germaniumsubstrat 1 entlang der Germanium- und Zinnlegierungsschicht 10 gespalten wird.
  • Die 8A und 8B veranschaulichen einige Ausführungsformen des Entfernens des Restteils 10a der Germanium- und Zinnlegierungsschicht 10 von der in 7 dargestellten Struktur. In einer bestimmten Ausführungsform wird der Restteil 10a der Germanium- und Zinnlegierungsschicht 10 selektiv bis zur zweiten Schicht aus Germanium 15 entfernt. In einer bestimmten Ausführungsform besteht der Ätzprozess, welcher den Restteil 10a der Germanium- und Zinnlegierungsschicht 10 entfernt, aus Nassätzen mit einer Ätzchemie, die selektiv bis zur zweiten Schicht aus Germanium 15 wirkt. In einer bestimmten Ausführungsform enthält die Ätzchemie zum selektiven Entfernen des Restteils 10a der Germanium- und Zinnlegierungsschicht 10 bis zur zweiten Schicht aus Germanium 15 Ammoniumhydroxid (NH4OH), Kaliumhydroxid (KOH), Tetramethylammoniumhydroxid (TMAH) und Gemische oder verdünnte Lösungen davon. Es ist zu beachten, dass die obigen Ätzchemien nur zur Veranschaulichung angegeben sind und die vorliegende Erfindung nicht begrenzen sollen. Zum Beispiel können andere Ätzchemien verwendet werden, solange die Ätzchemie die Germanium- und Zinnlegierungsschicht 10 entfernt, ohne die zweite Schicht aus Germanium 15 zu entfernen. Weitere Ätzprozesse, welche an dieser Stelle der vorliegenden Erfindung verwendet werden können, umfassen reaktives Ionenätzen, Ionenstrahlätzen, Plasmaätzen oder Laserabschmelzung.
  • Nach dem Entfernen der Germanium- und Zinnlegierungsschicht 10 kann die Dicke des Germaniumsubstrats 1, d. h. der zweiten Schicht aus Germanium 15, zwischen 0,1 Mikrometer und 20 Mikrometer betragen. In einem anderen Beispiel kann die Dicke der zweiten Schicht aus Germanium 15 zwischen 0,5 Mikrometer und 10 Mikrometer betragen. Die Dickenschwankung über die gesamte Breite der zweiten Schicht aus Germanium 15 an dieser Stelle der vorliegenden Erfindung beträgt unter 100 nm.
  • Die oben beschriebenen Verfahren können zum Herstellen verschiedener Arten von Dünnschichteinheiten, darunter, ohne aber darauf beschränkt zu sein, Halbleitereinheiten und Photovoltaikeinheiten, verwendet werden. 9A veranschaulicht eine Ausführungsform des Bildens einer Photovoltaikeinheit 100a auf dem Restteil des Germaniumsubstrats 1, welcher aus der in den 1 bis 8A dargestellten Verfahrensfolge resultiert.
  • 9A veranschaulicht eine Ausführungsform einer Photovoltaikeinheit 100a wie einer III-V-Mehrfach-Photovoltaikzelle, welche eine zweite Schicht aus Germanium 15 (im Folgenden als Schicht aus Germanium 15 bezeichnet) mit einer Dicke zwischen 1 Mikrometer und 10 Mikrometer umfasst, wobei die Schicht aus Germanium 15 eine Dickenschwankung über die gesamte Breite der ersten Schicht aus Germanium aufweist, welche unter 100 nm beträgt. Die Schicht aus Germanium 15 kann durch mindestens eines der Abplatzverfahren, die oben mit Bezugnahme auf die 1 bis 8A beschrieben sind, bereitgestellt sein und mindestens eine Komponente der unteren Zelle 150 der Photovoltaikeinheit 100a bereitstellen. Die Schicht aus Germanium 15 hat üblicherweise einen ersten Leitungstyp, und üblicherweise ist auf der Schicht aus Germanium 15 eine Halbleiterschicht 6 gebildet, welche einen zweiten Leitungstyp aufweist, der dem ersten Leitungstyp entgegengerichtet ist. Zum Beispiel wenn die Schicht aus Germanium 15 für eine p-Leitfähigkeit dotiert ist, ist die Halbleiterschicht 6 für eine n-Leitfähigkeit dotiert und umgekehrt. Die Halbleiterschicht 6 kann aus einem Silicium enthaltenden Material oder aus einem Germanium enthaltenden Material bestehen. Die Halbleiterschicht 6 kann eine epitaktisch abgeschiedene Schicht sein. Zu Beispielen von zum Bilden der Halbleiterschicht 6 geeigneten CVD-Prozessen zählen Atmosphärendruck-CVD (APCVD (Atmospheric Pressure CVD)), Niederdruck-CVD (LPCVD (Low Pressure CVD)), plasmaunterstützte CVD (PECVD (Plasma Enhanced CVD)), metallorganische CVD (MOCVD (Metal-Organic CVD)), Ultrahochvakuum-CVD (UHV-CVD (Ultra-High Vacuum CVD)) und Kombinationen davon. Die Kombination der Schicht aus Germanium 15 und der Halbleiterschicht 6 kann die untere Zelle 150 der Photovoltaikeinheit 100a bereitstellen. Die untere Zelle 150 der Photovoltaikeinheit kann eine Dicke von 10 Mikrometer oder weniger aufweisen.
  • In einer bestimmten Ausführungsform umfasst die Photovoltaikeinheit 100a mindestens eine obere Zelle 200, welche aus mindestens einem III-V-Halbleiterwerkstoff besteht, welche in direktem Kontakt mit einer unteren Zelle 150 steht, welche aus einem Germanium enthaltenden Material besteht. Die mindestens eine obere Zelle 200 besteht aus einer beliebigen Anzahl von Schichten aus einer beliebigen Anzahl von III-V-Halbleiterwerkstoffen. Ein „III-V-Halbleiterwerkstoff” ist eine Legierung, welche aus Elementen aus den Gruppen III und V des Periodensystems der Elemente besteht. In einer bestimmten Ausführungsform besteht die mindestens eine obere Zelle 200 aus mindestens einem III-V-Halbleiterwerkstoff, welcher aus der aus Aluminiumantimonid (AlSb), Aluminiumarsenid (AlAs), Aluminiumnitrid (AlN), Aluminiumphosphid (AlP), Galliumarsenid (GaAs), Galliumphosphid (GaP), Indiumantimonid (InSb), Indiumarsenid (InAs), Indiumnitrid (InN), Indiumphosphid (InP), Aluminium-Gallium-Arsenid (AlGaAs), Indium-Gallium-Phosphid (InGaP), Aluminium-Indium-Arsenid (AlInAs), Aluminium-Indium-Antimonid (AlInSb), Gallium-Arsenid-Nitrid (GaAsN), Gallium-Arsenid-Antimonid (GaAsSb), Aluminium-Gallium-Nitrid (AlGaN), Aluminium-Gallium-Phosphid (AlGaP), Indium-Gallium-Nitrid (InGaN), Indium-Arsenid-Antimonid (InAsSb), Indium-Gallium-Antimonid (InGaSb), Aluminium-Gallium-Indium-Phosphid (AlGaInP), Aluminium-Gallium-Arsenid-Phosphid (AlGaAsP), Indium-Gallium-Arsenid-Phosphid (InGaAsP), Indium-Arsenid-Antimonid-Phosphid (InArSbP), Aluminium-Indium-Arsenid-Phosphid (AlInAsP), Aluminium-Gallium-Arsenid-Nitrid (AlGaAsN), Indium-Gallium-Arsenid-Nitrid (InGaAsN), Indium-Aluminium-Arsenid-Nitrid (InAlAsN), Gallium-Arsenid-Antimonid-Nitrid (GaAsSbN), Gallium-Indium-Nitrid-Arsenid-Aluminium-Antimonid (GaInNAsSb), Gallium-Indium-Arsenid-Antimonid-Phosphid (GaInAsSbP) und Kombinationen davon bestehenden Gruppe ausgewählt ist.
  • Jeder der III-V-Halbleiterwerkstoffe, welche die mindestens eine obere Zelle 200 bereitstellen, kann eine monokristalline, multikristalline oder polykristalline Kristallstruktur haben. Jeder der III-V-Halbleiterwerkstoffe kann epitaktisch sein. Um einen Übergang mit jeder einzelnen Zelle in der mindestens einen oberen Zelle 200 bereitzustellen und um einen Übergang mit der unteren Zelle 150 bereitzustellen, können die III-V-Halbleiterwerkstoffe für eine p- oder n-Leitfähigkeit dotiert werden. Die Wirkung des Dotieratoms, d. h. ob es ein p-leitender oder ein n-leitender Dotierungsstoff ist, hängt von dem durch das Dotieratom im Gitter des Grundmaterials besetzten Platz ab. In einem III-V-Halbleiter wirken Atome aus Gruppe II als Akzeptoren, d. h. p-leitend, wenn sie den Platz eines Gruppe-III-Atoms besetzen, während Atome aus Gruppe VI als Donatoren, d. h. n-leitend, wirken, wenn sie Atome aus der Gruppe V ersetzen. Dotieratome aus Gruppe IV, wie Silicium (Si), haben die Eigenschaft, dass sie als Akzeptoren oder Donatoren wirken können, je nachdem, ob sie den Platz von Gruppe-III- oder von Gruppe-V-Atomen besetzen. Solche Fremdatome sind als amphotere Fremdatome bekannt. Jede der Schichten in der mindestens einen oberen Zelle 200, welche p-n-Übergänge bereitstellen, kann eine Dicke zwischen 100 nm und 6.000 nm haben. In einer weiteren Ausführungsform kann jede der Schichten in der mindestens einen oberen Zelle 20 eine Dicke zwischen 500 nm und 4.000 nm haben.
  • Wie in 9A weiter gezeigt, können dann Vorderseitenkontakte 500 so gebildet werden, dass sie mit mindestens der mindestens einen oberen Zelle 200 aus mindestens einem III-V-Halbleiterwerkstoff elektrisch verbunden sind. Die Vorderseitenkontakte 500 können mittels eines Siebdruckverfahrens abgeschieden werden. In einer weiteren Ausführungsform werden die Vorderseitenkontakte 500 durch Aufbringen eines geätzten oder durch Galvanoplastik hergestellten Metallmusters bereitgestellt. Das beim Bilden des Metallmusters für die Vorderseitenkontakte 500 verwendete Metallmaterial kann das Aufbringen einer Metallpaste umfassen. Die Metallpaste kann jede beliebige leitfähige Paste wie Al-Paste, Ag-Paste oder AlAg-Paste sein. Das beim Bilden des Metallmusters für den Vorderseitenkontakt 500 verwendete Metallmaterial kann auch durch Sputtern oder Galvanisieren abgeschieden werden. In einigen Ausführungsformen kann der Rückseitenkontakt durch die eine mechanische Spannung erzeugende Schicht 20 bereitgestellt werden, wenn die eine mechanische Spannung erzeugende Schicht 20 aus einem leitfähigen Material wie einem Metall besteht. In weiteren Ausführungsformen kann der Rückseitenkontakt unter Verwendung ähnlicher Verfahren und Materialien wie diejenigen der Vorderseitenkontakte 500 gebildet werden.
  • 9B veranschaulicht eine Ausführungsform einer Photovoltaikeinheit 100b, welche aus den in den 1 bis 8B dargestellten Verfahrensfolgen resultiert.
  • Die in den 9A und 9B dargestellte Photovoltaikstruktur 100a, 100b ist nur zur Veranschaulichung angegeben und soll die vorliegende Erfindung nicht begrenzen, während weitere Photovoltaikstrukturen im Umfang der vorliegenden Erfindung enthalten sind. Zum Beispiel kann die Photovoltaikstruktur, welche in den 9A und 9B dargestellt ist, weitere Tunnelschichten, Reflexschichten, Antireflexschichten und transparente, leitfähige Oxidschichten umfassen. In einem anderen Beispiel liegt eine eigenleitende Halbleiterschicht zwischen der Schicht aus Germanium 15 und der Halbleiterschicht 6 vor und ist die Photovoltaikeinheit eine p-i-n-Solarzelle.

Claims (18)

  1. Verfahren zum Spalten eines Halbleitermaterials, welches folgende Schritte umfasst: Bereitstellen eines Germaniumsubstrats, wobei eine Germanium- und Zinnlegierungsschicht innerhalb des Germaniumsubstrats vorliegt; Abscheiden einer eine mechanische Spannung erzeugenden Schicht auf dem Germaniumsubstrat; Anlegen einer mechanischen Spannung aus der eine mechanische Spannung erzeugenden Schicht an das Germaniumsubstrat, wobei die mechanische Spannung das Germaniumsubstrat spaltet, um eine Spaltoberfläche bereitzustellen, wobei die Germanium- und Zinnlegierungsschicht zwischen der eine mechanische Spannung erzeugenden Schicht und der Spaltoberfläche des Germaniumsubstrats liegt; und selektives Ätzen der Spaltoberfläche des Germaniumsubstrats bis zur Germanium- und Zinnlegierungsschicht des Germaniumsubstrats.
  2. Verfahren nach Anspruch 1, wobei die Germanium- und Zinnlegierungsschicht 0,5 Atom-% bis 20 Atom-% Zinn, unter 50 Atom-% Silicium und einen Restanteil Germanium enthält.
  3. Verfahren nach Anspruch 2, wobei der Siliciumgehalt der Germanium- und Zinnlegierungsschicht so gewählt wird, dass die durch den Zinngehalt der Germanium- und Zinnlegierungsschicht bewirkte mechanische Spannung ausgeglichen wird.
  4. Verfahren nach Anspruch 2, wobei das Bereitstellen des Germaniumsubstrats folgende Schritte umfasst: Bilden einer ersten Schicht aus Germanium; Bilden der Germanium- und Zinnlegierungsschicht auf der ersten Schicht aus Germanium; und Bilden einer zweiten Schicht aus Germanium auf der Germanium- und Zinnlegierungsschicht, wobei die Spaltoberfläche in der ersten Schicht des Germaniumsubstrats gebildet wird, wenn die mechanische Spannung aus der eine mechanische Spannung erzeugenden Schicht an das Germaniumsubstrat angelegt wird.
  5. Verfahren nach Anspruch 4, wobei die erste Schicht aus Germanium ein Grundmaterial aus 100% Germanium umfasst und die zweite Schicht aus Germanium ein Grundmaterial aus 100% Germanium umfasst.
  6. Verfahren nach Anspruch 1, wobei das Bilden der Germanium- und Zinnlegierungsschicht Molekularstrahlepitaxie aus Germanium- und Zinn-Festkörperquellen-Materialien, chemische Abscheidung aus der Gasphase aus mindestens einem Germanium enthaltenden Quellengas und einem Zinn enthaltenden Quellengas oder eine Kombination davon umfasst.
  7. Verfahren nach Anspruch 6, wobei das Zinn enthaltende Quellengas Stannan (SnH4), Stannan-d4 (SnD4) oder eine Kombination davon umfasst.
  8. Verfahren nach Anspruch 1, wobei die eine mechanische Spannung erzeugende Schicht aus einer Metall enthaltenden Schicht, einer Polymerschicht, einem Klebeband oder einer Kombination davon besteht.
  9. Verfahren nach Anspruch 1, wobei das Abscheiden der eine mechanische Spannung erzeugenden Schicht auf dem Germaniumsubstrat eine Adhäsivverbindung einer Metall enthaltenden Schicht mit der Oberseite des Germaniumsubstrats umfasst.
  10. Verfahren nach Anspruch 3, wobei eine Rückseitenfeldschicht, eine Rückseiten-Passivierungsschicht, eine Tunnelschicht oder ein rückseitiger Solarzellen-Übergang oder eine Kombination beliebig vieler davon auf einer Rückseite der ersten Schicht aus Germanium gebildet wird, welche der Oberfläche der ersten Schicht aus Germanium, auf welcher die Germanium- und Zinnlegierungsschicht gebildet ist, entgegengesetzt ist, wobei die Rückseitenfeldschicht, die Rückseiten-Passivierungsschicht, die Tunnelschicht oder der Solarzellen-Übergang oder eine Kombination beliebig vieler davon eine Oberfläche des Germaniumsubstrats bereitstellt, auf welcher die eine mechanische Spannung erzeugende Schicht abgeschieden wird.
  11. Verfahren nach Anspruch 1, welches außerdem ein Handhabungssubstrat umfasst, das auf eine Oberfläche der eine mechanische Spannung erzeugenden Schicht kontaktiert wird, die einer Oberfläche der eine mechanische Spannung erzeugenden Schicht entgegengesetzt ist, welche auf dem Germaniumsubstrat abgeschieden ist.
  12. Verfahren nach Anspruch 1, wobei das Anlegen der mechanischen Spannung aus der eine mechanische Spannung erzeugenden Schicht an das Germaniumsubstrat eine auf die eine mechanische Spannung erzeugende Schicht angewendete mechanische Kraft, welche eine mechanische Spannung im Germaniumsubstrat erzeugt, oder eine auf die eine mechanische Spannung erzeugende Schicht angewendete Temperaturänderung, welche eine Wärmeausdehnungsdifferenz zum Germaniumsubstrat erzeugt, um eine mechanische Spannung im Germaniumsubstrat bereitzustellen, umfasst, wobei die mechanische Spannung im Germaniumsubstrat, welche aus der mechanischen Kraft oder der Temperaturänderung, welche auf die eine mechanische Spannung erzeugende Schicht angewendet wird, resultiert, eine Rissausbreitung innerhalb des Germaniumsubstrats bewirkt und die Spaltoberfläche bereitstellt.
  13. Verfahren nach Anspruch 1, wobei das Ätzen der Spaltoberfläche des Germaniumsubstrats, das selektiv bis zur Germanium- und Zinnlegierungsschicht des Germaniumsubstrats wirkt, Nassätzen mit Wasserstoffperoxid umfasst.
  14. Verfahren nach Anspruch 3, welches außerdem das selektive Entfernen der Germanium- und Zinnlegierungsschicht bis zur zweiten Schicht aus Germanium umfasst.
  15. Verfahren zum Spalten eines Halbleitermaterials, welches folgende Schritte umfasst: Bereitstellen eines Germaniumsubstrats, wobei eine Germanium- und Zinnlegierungsschicht innerhalb des Germaniumsubstrats vorliegt; Schwächen der Germanium/Zinnlegierungsschicht, wobei das Schwächen der Germanium/Zinnlegierungsschicht das Behandeln des Germaniumsubstrats mit einem Wasserstoff enthaltenden Gas, einer Wasserstoff enthaltenden Säure oder einer Kombination davon umfasst, wobei Wasserstoff aus dem Wasserstoff enthaltenden Gas, der Wasserstoff enthaltenden Säure oder der Kombination davon in die Germanium/Zinnlegierungsschicht diffundiert, um die Bindungsstruktur innerhalb der Germanium/Zinnlegierungsschicht zu schwächen; Abscheiden einer eine mechanische Spannung erzeugenden Schicht auf dem Germaniumsubstrat; und Anlegen einer mechanischen Spannung aus der eine mechanische Spannung erzeugenden Schicht an das Germaniumsubstrat, wobei die mechanische Spannung das Germaniumsubstrat entlang der Germanium/Zinnlegierungsschicht spaltet.
  16. Verfahren nach Anspruch 15, wobei die Germanium- und Zinnlegierungsschicht 0,5 Atom-% bis 20 Atom-% Zinn, unter 50 Atom-% Silicium und einen Restanteil Germanium enthält.
  17. Verfahren nach Anspruch 15, wobei das Bereitstellen des Germaniumsubstrats folgende Schritte umfasst: Bilden einer ersten Schicht aus Germanium; Bilden der Germanium- und Zinnlegierungsschicht auf der ersten Schicht aus Germanium; und Bilden einer zweiten Schicht aus Germanium auf der Germanium- und Zinnlegierungsschicht, und/oder wobei der Siliciumgehalt der Germanium- und Zinnlegierungsschicht so gewählt wird, dass er eine mechanische Zugspannung in der Germanium- und Zinnlegierungsschicht bereitstellt, oder der Zinngehalt der Germanium- und Zinnlegierungsschicht so gewählt wird, dass er eine mechanische Druckspannung bereitstellt.
  18. Verfahren nach Anspruch 15, wobei das Anlegen der mechanischen Spannung aus der eine mechanische Spannung erzeugenden Schicht an das Germaniumsubstrat eine auf die eine mechanische Spannung erzeugende Schicht angewendete mechanische Kraft, welche eine mechanische Spannung im Germaniumsubstrat erzeugt, oder eine auf die eine mechanische Spannung erzeugende Schicht angewendete Temperaturänderung, welche eine Wärmeausdehnungsdifferenz zum Germaniumsubstrat erzeugt, um eine mechanische Spannung im Germaniumsubstrat bereitzustellen, umfasst, wobei die mechanische Spannung im Germaniumsubstrat, welche aus der mechanischen Kraft oder der Temperaturänderung, welche auf die eine mechanische Spannung erzeugende Schicht angewendet wird, resultiert, eine Rissausbreitung entlang der Germanium/Zinnlegierungsschicht bewirkt und das Germaniumsubstrat spaltet, welches außerdem vorzugsweise das selektive Ätzen eines Restteils der Germanium/Zinnlegierungsschicht bis zur zweiten Schicht aus Germanium umfasst.
DE102012209887.9A 2011-06-14 2012-06-13 Abplatzverfahren zur Bildung einer Mehrfach-Photovoltaikstruktur Expired - Fee Related DE102012209887B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/160,067 US8927318B2 (en) 2011-06-14 2011-06-14 Spalling methods to form multi-junction photovoltaic structure
US13/160,067 2011-06-14

Publications (2)

Publication Number Publication Date
DE102012209887A1 DE102012209887A1 (de) 2012-12-20
DE102012209887B4 true DE102012209887B4 (de) 2016-06-23

Family

ID=46261604

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102012209887.9A Expired - Fee Related DE102012209887B4 (de) 2011-06-14 2012-06-13 Abplatzverfahren zur Bildung einer Mehrfach-Photovoltaikstruktur

Country Status (4)

Country Link
US (2) US8927318B2 (de)
CN (1) CN102832117B (de)
DE (1) DE102012209887B4 (de)
GB (1) GB2492439B (de)

Families Citing this family (367)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130025654A1 (en) 2011-07-29 2013-01-31 International Business Machines Corporation Multi-junction photovoltaic device and fabrication method
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9079269B2 (en) * 2011-11-22 2015-07-14 International Business Machines Corporation Spalling with laser-defined spall edge regions
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
EP2626917B1 (de) * 2012-02-10 2017-09-27 IHP GmbH-Innovations for High Performance Microelectronics / Leibniz-Institut für innovative Mikroelektronik CMOS-kompatibler, abstimmbarer Germanium-Laser
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9780248B2 (en) * 2012-05-05 2017-10-03 Sifotonics Technologies Co., Ltd. High performance GeSi avalanche photodiode operating beyond Ge bandgap limits
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US10535735B2 (en) * 2012-06-29 2020-01-14 Intel Corporation Contact resistance reduced P-MOS transistors employing Ge-rich contact layer
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US8921209B2 (en) * 2012-09-12 2014-12-30 International Business Machines Corporation Defect free strained silicon on insulator (SSOI) substrates
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9006088B2 (en) * 2013-04-01 2015-04-14 Tsinghua University Method for forming semiconductor gate structure and semiconductor gate structure
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) * 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9330907B2 (en) * 2013-10-10 2016-05-03 The Board Of Trustees Of The Leland Stanford Junior University Material quality, suspended material structures on lattice-mismatched substrates
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
DE102013020693A1 (de) 2013-12-04 2015-06-11 Siltectra Gmbh Verfahren zum Erzeugen großflächiger Festkörperschichten
US9058990B1 (en) * 2013-12-19 2015-06-16 International Business Machines Corporation Controlled spalling of group III nitrides containing an embedded spall releasing plane
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9799675B2 (en) * 2014-04-02 2017-10-24 International Business Machines Corporation Strain engineering in back end of the line
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
EP3238230A4 (de) * 2014-12-23 2018-08-22 INTEL Corporation Diffusionstolerante iii-v-halbleiterheterostrukturen und vorrichtungen damit
WO2016105397A1 (en) 2014-12-23 2016-06-30 Intel Corporation Iii-v semiconductor alloys for use in the subfin of non-planar semiconductor devices and methods of forming the same
US9493025B2 (en) 2015-01-19 2016-11-15 International Business Machines Corporation Graphene layers for identification of products
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9601368B2 (en) 2015-07-16 2017-03-21 Infineon Technologies Ag Semiconductor device comprising an oxygen diffusion barrier and manufacturing method
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10032670B2 (en) * 2016-06-14 2018-07-24 Infineon Technologies Ag Plasma dicing of silicon carbide
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
TWI751158B (zh) * 2016-07-06 2022-01-01 荷蘭商Asm智慧財產控股公司 包括鍺-錫膜之結構與裝置及該膜之形成方法
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US11069560B2 (en) * 2016-11-01 2021-07-20 Shin-Etsu Chemical Co., Ltd. Method of transferring device layer to transfer substrate and highly thermal conductive substrate
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11075313B2 (en) * 2017-06-16 2021-07-27 Utica Leaseco, Llc Optoelectronic devices manufactured using different growth substrates
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) * 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN107611012B (zh) * 2017-08-31 2020-10-02 长江存储科技有限责任公司 一种预制背面薄膜的应力控制方法及结构
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11658258B2 (en) * 2020-09-25 2023-05-23 Alliance For Sustainable Energy, Llc Device architectures having engineered stresses
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
WO2022221271A1 (en) * 2021-04-13 2022-10-20 Impact Photonics Llc Silicon-germanium avalanche photodiode
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
CN113948389B (zh) * 2021-08-30 2023-03-14 西安电子科技大学 一种基于衬底背面SiSn外延层的硅基AlGaN/GaN HEMT及制备方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115046921B (zh) * 2022-08-11 2022-12-02 四川至臻光电有限公司 一种表征塑料光学元件膜层附着力的测试方法及测试装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100180945A1 (en) * 2006-03-17 2010-07-22 Silicon Genesis Corporation Method and Structure for Fabricating Solar Cells
US20110048517A1 (en) * 2009-06-09 2011-03-03 International Business Machines Corporation Multijunction Photovoltaic Cell Fabrication
EP2330632A1 (de) * 2008-08-29 2011-06-08 Kaneka Corporation Fotoelektrischer dünnschichtwandler und herstellungsverfahren dafür

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4227941A (en) 1979-03-21 1980-10-14 Massachusetts Institute Of Technology Shallow-homojunction solar cells
US4248675A (en) 1980-02-25 1981-02-03 Massachusetts Institute Of Technology Method of forming electrical contact and antireflection layer on solar cells
JP3352340B2 (ja) 1995-10-06 2002-12-03 キヤノン株式会社 半導体基体とその製造方法
US20030087503A1 (en) * 1994-03-10 2003-05-08 Canon Kabushiki Kaisha Process for production of semiconductor substrate
TW200406811A (en) * 2002-06-03 2004-05-01 Tien-Hsi Lee Transferring method of a layer onto a substrate
US10374120B2 (en) * 2005-02-18 2019-08-06 Koninklijke Philips N.V. High efficiency solar cells utilizing wafer bonding and layer transfer to integrate non-lattice matched materials
FR2926672B1 (fr) * 2008-01-21 2010-03-26 Soitec Silicon On Insulator Procede de fabrication de couches de materiau epitaxie
WO2010014037A1 (en) 2008-07-30 2010-02-04 Worldsforge Private Limited A method for representing a result, and a method for obtaining a result and an outcome for a specific instance
US20110254052A1 (en) * 2008-10-15 2011-10-20 Arizona Board of Regents, a body corporate acting for and on behalf of Arizona State University Hybrid Group IV/III-V Semiconductor Structures
TWI377684B (en) 2008-10-27 2012-11-21 Univ Nat Yunlin Sci & Tech Si-ge thin-film solar cells with poly-germanium thin film and method for performing the same
GB2467935B (en) * 2009-02-19 2013-10-30 Iqe Silicon Compounds Ltd Formation of thin layers of GaAs and germanium materials
CN102449775B (zh) 2009-06-05 2014-07-02 独立行政法人产业技术综合研究所 半导体基板、光电转换器件、半导体基板的制造方法和光电转换器件的制造方法
US8802477B2 (en) 2009-06-09 2014-08-12 International Business Machines Corporation Heterojunction III-V photovoltaic cell fabrication

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100180945A1 (en) * 2006-03-17 2010-07-22 Silicon Genesis Corporation Method and Structure for Fabricating Solar Cells
EP2330632A1 (de) * 2008-08-29 2011-06-08 Kaneka Corporation Fotoelektrischer dünnschichtwandler und herstellungsverfahren dafür
US20110048517A1 (en) * 2009-06-09 2011-03-03 International Business Machines Corporation Multijunction Photovoltaic Cell Fabrication

Also Published As

Publication number Publication date
GB2492439B (en) 2013-09-18
DE102012209887A1 (de) 2012-12-20
GB2492439A (en) 2013-01-02
US8927318B2 (en) 2015-01-06
GB201206930D0 (en) 2012-06-06
US20150068604A1 (en) 2015-03-12
CN102832117B (zh) 2015-07-22
CN102832117A (zh) 2012-12-19
US20120318334A1 (en) 2012-12-20

Similar Documents

Publication Publication Date Title
DE102012209887B4 (de) Abplatzverfahren zur Bildung einer Mehrfach-Photovoltaikstruktur
DE102012212447B4 (de) Verfahren zur herstellung einer photovoltaikeinheit mit mehreren grenzschichten
EP3378104B1 (de) Solarzelle mit mehreren durch ladungsträger-selektive kontakte miteinander verbundenen absorbern
DE112012003057T5 (de) Verfahren zum Stabilisieren von hydriertem, amorphem Silicium und amorphen, hydrierten Siliciumlegierungen
EP2823514B1 (de) Mehrfachsolarzelle und deren herstellungsverfahren
DE102010012080B4 (de) Herstellungsverfahren einer invertierten Multijunction-Solarzelle mit GeSiSn und invertierte Multijunction-Solarzelle mit GeSiSn
DE112010003140B4 (de) Verfahren zur Herstellung einer Mehrfach-Fotovoltaikvorrichtung
DE10106491B4 (de) Fotoelektrischer Wandler mit einem ersten und einem zweiten pn-Übergang aus III-V-Verbindungshalbleitern
EP2817829B1 (de) Verfahren zum herstellen einer solarzelle
DE112014001192B4 (de) Verfahren zur Herstellung photoaktiver Bauelemente mit aktiven Schichten mit kleiner Bandlücke, gestaltet für verbesserten Wirkungsgrad
DE112012005397T5 (de) Verfahren zur Herstellung von verdünnten Nitrid-Halbleitermaterialien zur Verwendung in photoaktiven Vorrichtungen und verwandten Strukturen
DE102012025774B3 (de) Verfahren zur Herstellung von Photovoltaikelementen mit Gruppe-III/V-Halbleitern
DE3426338A1 (de) Solarzelle
DE19936941B4 (de) Verfahren zur Herstellung dünner Schichten, insbesondere Dünnschichtsolarzellen, auf einem Trägersubstrat
DE112019003770B4 (de) Fotosensitive Vorrichtung und Verfahren für deren Herstellung
DE102012104140A1 (de) Verbesserte Emitterstruktur und Verfahren zur Herstellung einer Silicium-Solarzelle mit Heteroübergang
DE102010044348A1 (de) Photovoltaische Solarzelle und Verfahren zu deren Herstellung
DE102014205350B4 (de) Photoaktives Halbleiterbauelement sowie Verfahren zum Herstellen eines photoaktiven Halbleiterbauelementes
DE112012004059T5 (de) Gestapelter Körper zum Herstellen einer Verbindungshalbleitersolarbatterie, Verbindungshalbleitersolarbatterie und Verfahren zum Herstellen einer Verbindungshalbleiterbatterie
DE112015001440T5 (de) Passivierung von lichtempfangenden Oberflächen von Solarzellen
DE102014218948A1 (de) Solarzelle mit einer amorphen Siliziumschicht und Verfahren zum Herstellen solch einer photovoltaischen Solarzelle
DE102009057020B4 (de) Wachstumssubstrate für invertierte metamorphe Multijunction-Solarzellen
EP2569805A1 (de) Halbleiterbauteil mit defektreicher schicht zur optimalen kontaktierung von emittern sowie verfahren zu dessen herstellung
DE112010001895T5 (de) Hochwertige Kontaktstruktur einer TCO-Silizium-Schnittstelle für hocheffiziente Dünnschicht-Silizium-Solarzellen
WO2010081858A2 (de) Verfahren zum herstellen eines halbleiterbauelementes, insbesondere einer solarzelle, auf basis einer halbleiterdünnschicht mit einem direkten halbleitermaterial

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: INTERNATIONAL BUSINESS MACHINES CORPORATION, ARMONK, N.Y., US

R082 Change of representative

Representative=s name: RICHARDT PATENTANWAELTE GBR, DE

Representative=s name: RICHARDT PATENTANWAELTE PART GMBB, DE

Representative=s name: RICHARDT PATENTANWAELTE PARTG MBB, DE

R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW YORK, N.Y., US

R082 Change of representative

Representative=s name: RICHARDT PATENTANWAELTE PARTG MBB, DE

R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: GLOBALFOUNDRIES US 2 LLC (N.D.GES.DES STAATES DELAWARE), HOPEWELL JUNCTION, N.Y., US

R082 Change of representative

Representative=s name: RICHARDT PATENTANWAELTE PARTG MBB, DE

R020 Patent grant now final
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee