JP5367562B2 - 太陽電池の製造方法および構造 - Google Patents

太陽電池の製造方法および構造 Download PDF

Info

Publication number
JP5367562B2
JP5367562B2 JP2009500632A JP2009500632A JP5367562B2 JP 5367562 B2 JP5367562 B2 JP 5367562B2 JP 2009500632 A JP2009500632 A JP 2009500632A JP 2009500632 A JP2009500632 A JP 2009500632A JP 5367562 B2 JP5367562 B2 JP 5367562B2
Authority
JP
Japan
Prior art keywords
substrate
layer
silicon
surface portion
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2009500632A
Other languages
English (en)
Other versions
JP2009530833A (ja
JP2009530833A5 (ja
Inventor
ジェイ. ヘンリー、フランコイズ
ジェームズ オング、フィリップ
Original Assignee
シリコン ジェネシス コーポレーション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by シリコン ジェネシス コーポレーション filed Critical シリコン ジェネシス コーポレーション
Publication of JP2009530833A publication Critical patent/JP2009530833A/ja
Publication of JP2009530833A5 publication Critical patent/JP2009530833A5/ja
Application granted granted Critical
Publication of JP5367562B2 publication Critical patent/JP5367562B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0232Optical elements or arrangements associated with the device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/036Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes
    • H01L31/0392Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including thin films deposited on metallic or insulating substrates ; characterised by specific substrate materials or substrate features or by the presence of intermediate layers, e.g. barrier layers, on the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/036Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes
    • H01L31/0392Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including thin films deposited on metallic or insulating substrates ; characterised by specific substrate materials or substrate features or by the presence of intermediate layers, e.g. barrier layers, on the substrate
    • H01L31/03925Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including thin films deposited on metallic or insulating substrates ; characterised by specific substrate materials or substrate features or by the presence of intermediate layers, e.g. barrier layers, on the substrate including AIIBVI compound materials, e.g. CdTe, CdS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier
    • H01L31/072Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN heterojunction type
    • H01L31/0725Multiple junction or tandem solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier
    • H01L31/072Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN heterojunction type
    • H01L31/0745Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN heterojunction type comprising a AIVBIV heterojunction, e.g. Si/Ge, SiGe/Si or Si/SiC solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier
    • H01L31/075Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PIN type
    • H01L31/077Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PIN type the devices comprising monocrystalline or polycrystalline materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/186Particular post-treatment for the devices, e.g. annealing, impurity gettering, short-circuit elimination, recrystallisation
    • H01L31/1872Recrystallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1892Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof methods involving the use of temporary, removable substrates
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/547Monocrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Description

(関連出願の相互参照)
本特許出願は、下記の米国仮特許出願、つまり2006年3月17日の仮特許出願第60/783,586号、2006年8月15日の仮特許出願第60/822,473号、2006年8月23日の仮特許出願第60/823,354および2006年8月23日の仮特許出願60/823,356号に基づく優先権を主張するものであり、これらの米国仮特許出願の全ては、全ての目的において参照されて、本特許出願に包含される。
原始から、人類は、利用可能な形態のエネルギーの殆ど全てを入手するために、”太陽”を頼ってきた。かかるエネルギーは、石油、放射光、材木および様々な形態の熱エネルギーから得られる。これは単なる例示にすぎないが、人類は自身の需要の多くのために、石炭やガスのような石油資源に重度に依存してきた。不幸にも、このような石油資源は、枯渇しつつあり、更に他の問題を引き起こしつつある。部分的ではあるが、代替品として、太陽エネルギーでもって、我々の石油資源に対する依存を減少させることが提案されている。これは単なる例示にすぎないが、太陽エネルギーは、「太陽電池」から得ることができ、「太陽電池」は一般にシリコンから製造される。
太陽からの太陽光に曝されると、シリコン太陽電池は電力を発生する。光はシリコンの原子と相互作用して、電子とホールを形成する。その電子とホールは、シリコン内でp−ドープ領域およびn−ドープ領域に移動し、両ドープ領域の間で電位差と電流を生み出す。実施例によっては、太陽電池は、効率を向上するために集光要素を備えるものもあった。例えば、前記集光要素を用いて太陽光線を蓄積し、活性光電子材料の1または複数の部位に焦点を当てていた。これらは有効であるが、これらの太陽電池には、なお多くの問題があった。
一例を挙げれば、太陽電池は、シリコンなどの出発原料に依存する。そのようなシリコンは、多くの場合、ポリシリコンおよび/または単結晶シリコン材料を用いて製造される。これらの材料は、多くの場合、製造することが難しい。ポリシリコンセルは、多くの場合、ポリシリコンプレートを製造することによって形成される。これらのプレートは、効率的に形成されるが、高効率太陽電池に最適な特性を有しない。単結晶シリコンは、高品質な太陽電池に適した特性を有する。しかしながら、そのような単結晶シリコンは高価なので、効率的かつ費用効果に優れた方法で太陽電池に適用して用いるのは困難である。一般的に、薄膜太陽電池は、少量のシリコン材料を用いるので高価ではないが、それらのアモルファスあるいは多結晶構造は、単結晶シリコン基板から製造されたより高価なバルク型結晶シリコン太陽電池よりも低性能である。これらおよび他の制約は、本明細書、特に以下で詳述する。
上記から、費用効果が大きく効率的な大型基板を製造するための技術が望まれていることが理解される。
本発明の実施例によれば、光電子材料の製造についての技術が提供される。より詳しくは、発明の一実施例は、光電子装置の層転写技術を用いた太陽電池構造を形成するための方法および構造を含む技術を提供する。本発明のある実施例は、光電子デバイスの製造に対して望ましい蒸着速度と熱量を有するシラン基種(silane type species)を用いた厚膜作成プロセスを提供する。しかし、本発明はより広範に適用されること、つまり、集積半導体装置の三次元のパッケージング、フォトニックデバイス、圧電素子、フラットパネルディスプレイ、マイクロマシン技術(”MEMS”)、ナノテクノジー構造、センサー、アクチュエーター、集積回路、生物学的装置および生物医学的装置などの他の種類のアプリケーションに適用されることが認識される。
ある特定の実施例では、本発明は、太陽電池、ソーラーパネルなどの光電子セルを製造する方法を提供する。前記製造方法は、半導体基板を調成する工程を含んでおり、前記半導体基板は、表面部、劈開部、表面部と劈開部との間で除去される第1の材料層とを有している。また、前記製造方法は、半導体基板の表面部を、ガラス、石英、プラスチックなどの光学透明性基板の第1の表面部に結合する工程を有する。好適な実施例では、光学透明性基板は、第1の表面部と第2の表面部とを有する。前記製造方法は、劈開表面部の形成を促すために、前記表面部が第1の表面部に結合したままで、半導体基板から第1の材料層を取り除くように半導体基板を劈開する工程も有する。前記製造方法は、半導体材料の生成層を形成するように劈開表面部の上を覆う第2の半導体材料層を形成する工程を有する。
他の特定の実施例において、本発明は、太陽電池、ソーラーパネルなどの光電子セル装置を提供する。前記装置は、第1の表面および第2の表面を含む光学透明性基板を有する。また、第1の表面部および第2の表面部を備える材料(半導体材料、単結晶材料など)の第1の材料層を含む。好適な実施例では、前記表面部は、光学透明性基板の第1の表面の上を覆っている。前記装置は、材料層の第1の表面部と光学透明性材料の第1の表面部との間に担持される光学結合材料(酸化錫、インジウム錫酸化物(ITO)、二酸化チタン、酸化亜鉛(ZnO)または他の誘電性積層構造材料、スピンオンガラス(SOG)、または他の適切な材料など)を有する。本実施例によれば、前記光学結合材料は、一般的に光に対して透過性である(ガラスと同様に)適切な光学特性を有し、また、粘着力、熱対応性、信頼性などの適切な物理的性質も有する。第2の半導体材料層は、半導体材料の生成層を形成するための第2の表面部の上を覆う。
さらに他の特定の実施例において、本発明は、マルチパス法およびマルチパス構造を提供する。つまり、本構造は、1または複数の光電部の活性部に光を戻す反射表面を有する。ある特定の実施例では、光はガラス基板および光電部を通過して、光電部が光を電力に変換する。そのため、光電部を通過する全ての光は、光電部の1または複数の部分に反射表面を介して反射して戻る。もちろん、他に変形し、改良し、あるいは代替することも可能である。
さらに本発明の実施例に係る代替手段において、本発明の方法および構造は、1または複数の光捕獲構造を提供する。その構造は、ガラスまたは他の材料の裏面上で光をさらに傾いた角度に散乱/転送して薄膜セルでの集光効率を増加するプラスチック製のフレネルシートのような構造となっている。もちろん、他に変形し、改良し、あるいは代替することも可能である。
ある特定の実施例では、このような光を捕獲する構造の主要な効果は、薄膜セル用ガラスの表面に当たる反射光をよりランバート(Lambertian)光源に修正することである。そこでは、薄膜セルがより多くの光と交差して、効率的に集光効率を増加するように光が様々な角度で転送される。もちろん、他に変形し、改良し、あるいは代替することも可能である。
さらにその他の実施例では、光捕獲層は、導波路として機能するように設計されたシリコン製の薄膜層内部で、捕獲され得るような傾斜角で光の大部分を転送してもよい。光の捕獲角度、シリコン薄膜の層および介在する結合材料の層と構成は、改良されてこのような光の捕獲を最適化までし、さらに、電池の有効な厚さとして、電池の縦軸(X−Y座標での)を用いるように設計されてもよい。多くの実施例では、光電子接合は、同じ様に、シリコン層および縦軸の範囲内にあるので、これにより、薄膜太陽電池での光の転換効率を効果的に最適化するためのさらなる方法を提供できる。もちろん、他に変形し、改良し、あるいは代替することも可能である。
ある特定の実施例では、本発明は、1または複数の光電子部の効率を改善する光導波路を備える太陽電池装置を提供する。前記装置は、第1の表面および第2の表面を含む光透過性基板を有する。光学透明性材料は、第1の屈折率を有する。開口部は、前記光学透明性基板の前記第2の表面の一部に配置される。前記装置は、第1の表面部および第2の表面部を有する第1の半導体材料層を有し、前記第1の表面部は前記光学透明性基板の第1の表面の上を覆っている。光結合材料は、前記材料層の第1の表面部と前記光学透明性基板の第1の表面との間に担持される。前記第1の半導体層は、第2の屈折率を有する。ある特定の実施例では、前記第2の屈折率は、前記第1の屈折率よりも約2ないし3倍大きい。前記装置は、前記第1の半導体材料層の1または複数の部分に形成される光電子部と、前記第1の半導体材料層の1または複数の部分に形成される導波路構造とを有する。
ある特定の実施例では、本発明は、太陽電池などの光電子材料の製造方法を提供する。前記製造方法は、単結晶シリコン基板、単結晶ゲルマニウム基板、シリコン−ゲルマニウム基板およびその他などのドナー基板を形成する工程を有する。好ましい実施例では、前記ドナー基板は、劈開部、表面部および前記劈開部と前記表面部との間で定められる第1のシリコン材料層を有する。前記製造方法は、劈開部周辺内部でドナー基板の一部を分離するために、第1のシリコン材料層を処理基板の処理基板表面部に転写する工程を有する。前記製造方法は、第1のシリコン材料層の上を覆う劈開表面部の形成を促すために、前記表面部を前記処理基板の前記処理基板表面部に結合(接合や接着など)する。前記製造方法は、電子サイクロトロン共鳴(ECR)プラズマ蒸着装置あるいは、その他のプラズマを基礎とする適切な装置のように反応室にシラン種(トリシラン種のようなシラン種)を含むガスを供給する工程を有する。好ましい実施例では、前記方法は、前記反応室の内部で前記シラン種を用いる。一例として、前記製造方法は、蒸着を促すために、グロー放電、熱化学蒸着、光強化化学蒸着、一般的にPECVDと呼ばれるプラズマ強化化学蒸着、一般的にLE−PECVDと呼ばれる低エネルギープラズマ強化化学蒸着などを含む1または複数のガス反応技術を用いて、シラン種を含むガスを反応する。本明細書で用いられるように、「PECVD」という用語は、ありふれた意味で解釈されるべきであり、限定されずに誘電結合プラズマ蒸着、容量結合プラズマ蒸着などを含む。前記方法は、シラン種を用いて第1のシリコン材料層の上を覆う第2の材料層を形成する工程を含む。本実施例によれば、本製造方法は、第2のシリコン材料層を結晶化するために、熱処理プロセスで第2の材料層を処理する工程を含む。本実施例によれば、シラン、ジシラン、および、ジクロロシランおよびトリクロロシランのような塩化シランなどのようなトリシラン種以外のシラン種が用いられてもよい。ある特定の実施例では、特定のシラン種の選択は、望ましいあるいは許容される温度、圧力、蒸着中のシラン種の希釈、これらの条件で達成可能な蒸着速度、生成蒸着膜の質に依存する。ある特定の実施例に従えば、ジシランおよびトリシランのようなポリシランは、光電子プロセスに適したガラスに適合するより低温条件下で費用効果の高い蒸着速度を与える。
ある特定の実施例では、前記製造方法は、適切な希釈率でH(水素)ガスを混合したモノシラン(SiH)ガスを用いる。さらにその他の特定の実施例では、前記製造方法は、適切な希釈率でHおよびヘリウムガスを混合したモノシラン(SiH)ガスを用いる。もちろん、他に変形し、改良し、あるいは代替することも可能である。
もう一つの特定の実施例では、本発明は、光電子材料の基板を製造するその他の方法を提供する。前記製造方法は、ドナー基板を形成する工程を有しており、前記ドナー基板は、劈開部、表面部および前記劈開部と前記表面部との間で定められる第1のシリコン材料層(単結晶シリコン、単結晶ゲルマニウム、シリコン−ゲルマニウムなど)を有する。前記製造方法は、第1のシリコン材料層をガラス、石英、ガラスセラミック、光学透明性材料などの処理基板の処理基板表面部に転写する工程を有する。前記製造方法は、前記表面部を前記処理基板の前記処理基板表面部に結合するために、第1のシリコン材料層の上を覆う劈開部の形成を促して、前記劈開部周辺内部で前記ドナー基板の一部を除去する。前記製造方法は、反応室でシラン種を用いて放電するために、前記反応室にシラン種を含むガスを供給する。一例として、前記製造方法は、グロー放電、プラズマ強化化学蒸着、光強化化学蒸着、あるいは熱化学蒸着(CVD、LPCVD、APCVDなど)などを含む1または複数のガス反応技術を用いて蒸着を促すために、シラン種を含むガスを反応させる。好ましい実施例では、本製造方法は、グロー放電CVD、プラズマCVD、光強化CVDまたは熱CVDなどを用い、シラン種を含有し、第1のシリコン材料層の上を覆う材料を結晶化するための材料の固相エピタキシャル再成長速度と同じ、あるいはこれより速い、あるいはこれより遅い蒸着速度で第1のシリコン材料層を厚くするために、前記劈開部の上を覆う材料を蒸着する工程を有する。
もう一つの特定の実施例では、本発明は、光電子材料用基板の製造方法を提供する。前記製造方法は、ドナー基板を形成する工程を有し、前記ドナー基板は劈開部、表面部、および前記劈開部と前記表面部との間で定められる第1のシリコンまたはゲルマニウム材料層を含んでいる。前記製造方法は、劈開部周辺内部のドナー基板の一部を除去するため、および前記表面部を、第1のシリコンまたはゲルマニウム材料層の上を覆う劈開表面部の形成を促して処理基板の処理基板表面部に結合するために、前記第1のシリコンまたはゲルマニウム材料層を処理基板の処理基板表面部に転写する工程も有する。好ましい実施例では、本製造方法は、シランおよび/またはゲルマン種を含有するガスを反応室に供給する工程を含む。本製造方法は、第1のシリコンまたはゲルマニウム材料層を厚くするために、少なくともグロー放電、プラズマ、光強化または熱CVD、シランおよび/またはゲルマン種から選ばれるプロセスを用い、第1のシリコンまたはゲルマニウム材料層の上を覆う材料を結晶化するような材料の固相エピタキシャル再成長速度と等しい、またはこれより大きい、またはこれより小さい蒸着速度で、前記劈開表面の上を覆う第1の材料を蒸着すると好ましい。処理基板の両表面が反応ガスに曝されているバッチ式炉型システムのようなある特定のCVDシステムでは、本製造方法は、少なくとも第1の材料を蒸着する時間の一部で、処理基板の裏面部の上を覆う多結晶またはアモルファス材料を形成するために、前記処理基板の裏面部の上を覆う第2の材料を蒸着してもよい。本実施例によれば、前記第2の材料は、単結晶あるいは、好ましくはアモルファスまたは多結晶であればよい。
さらにもう一つの実施例では、本発明は、光電子装置を提供する。前記装置は、処理基板表面部からなる処理基板を有する。ある特定の実施例では、前記装置は、前記処理基板表面部の上を覆う界面材を有する。層転写フィルムは、前記界面材の上を覆う。ある特定の実施例では、前記装置は、1または複数の欠陥を有する単結晶シリコン、単結晶ゲルマニウム材料または単結晶シリコン−ゲルマニウム合金材料の蒸着層を有する。
更には、本発明は、光電子装置を提供する。前記装置は、処理基板表面部を構成する処理基板を有する。前記装置は、処理基板の処理基板表面部に転写される第1のシリコンまたはゲルマニウム材料層を有する。前記装置は、第1のシリコンまたはゲルマニウム材料層から調成される劈開表面部も有する。少なくともグロー放電、プラズマ、光強化または熱CVD、およびシランおよび/またはゲルマン種から選ばれるプロセスを用いて調成される蒸着された第1の単結晶シリコンまたはゲルマニウム材料は、前記第1のシリコンまたはゲルマニウム材料層を厚くするために、前記劈開表面の上を覆っている。前記装置は、前記処理基板の裏面部の上を覆うポリまたはアモルファス材料を形成するために、処理基板の裏面部の上を覆って蒸着された第2の材料を有する。
特に言及されるように、ある実施例では、本製造方法は、グロー放電、プラズマ、光強化または熱CVDなどを用い、シラン種を含み、第1のシリコン材料層の上を覆う材料を結晶化するための材料の固相エピタキシャル再成長速度と同じまたはこれより大きいまたはこれより小さい蒸着速度で、第1のシリコン材料層を厚くするために劈開部の上を覆う材料を蒸着する工程を有する。好ましい実施例では、材料の蒸着速度は、蒸着が生じている間に、原位置(in−situ)で材料を結晶化するような材料の固相エピタキシャル再成長速度と同じか、これよりも小さい。他の実施例では、前記蒸着速度は、結晶性材料を効率的かつ高品質に形成するために、固相エピタキシャル再成長速度よりも僅かに大きい。他の実施例では、蒸着速度がエピタキシャル再成長速度よりも大きいかあるいは遙かに大きい場合、蒸着は、一時的に停止されたり、結晶材料が再成長するために減速されたりする。最終的な層に応じて、本製造方法は、材料を第1の層に蒸着して、前記第1の層の材料を結晶内部で再成長させ、追加の材料を第2の層に蒸着して、前記第2の層を結晶内部で再成長させ、追加の材料を第N(Nは2より大きい整数)の層に蒸着して、第Nの層にある材料を結晶内中で再成長させる。上述したように、蒸着およびエピタキシャル再成長のステップは、ある特定の実施例に係る所望の最終的な層と膜品質が得られるまで繰り返される。もちろん、他に変形し、改良し、あるいは代替することも可能である。
特定の実施例では、本発明は、1または複数のソーラーモジュールに用いる太陽電池の製造方法を提供する。本製造方法は、表面部と底部と所定の層を有する支持部材(好ましくは、以下でより詳述される転写用ドナー材料と同等の熱膨張係数(CTE)を有する)を調成する工程を有する。本製造方法は、前記支持部材の表面部の上を覆う脱着可能な材料(粗領域、二酸化ケイ素粗領域など)を形成する工程を有する。好ましい実施例では、前記支持部材は、シリコンエピタキシャル成長などの高温プロセスを可能にするために、再使用可能な媒体として用いられるシリコン基板のような適切な材料から作られてもよい。これらは同一材料であるので、前記支持部材、前記転写シリコン膜およびエピタキシャル成長層の間のCTEは、プロセスに適合できると保証される。後述する説明では、シリコン支持部材は、前記シリコン層転写および前記シリコンエピタキシャル成長に一致する例として用いられているが、前記支持部材は、プロセスの温度範囲、化学処理に抵抗できる異なる材料または材料と層の組み合わせから作製され、汚染が低く、転写フィルムおよび蒸着フィルムに一致する適切なCTEを有し、一回のみの使用が、製造装置の目標コストを裏付けできる程の低コストでない限り、再使用が経済的観点から許容されることを理解すべきである。本製造方法は、前記脱着可能な材料の上を覆うドナー基板から第1のシリコン材料層を転写する工程も有する。本製造方法は、全材料層を形成するために、第1のシリコン材料層の上を覆う第2の半導体材料層を形成する工程を有する。そして、本製造方法は、前記脱着可能な材料から全材料層を除去し、前記全材料層の1または複数の部分に1または複数の光電子装置を形成する。
もう一つの特定の実施例では、本発明は、1または複数のソーラーモジュールに用いる太陽電池の製造方法を提供する。本製造方法は、シリコン支持部材を形成する工程を有する。前記シリコン支持部材は、表面部、底部および前記表面部と前記底部との間に所定の層を有する。本製造方法は、前記シリコン部材の表面部の上を覆う脱着可能な材料を形成する工程を有する。本製造方法は、前記脱着可能な材料の上を覆う第1のシリコン材料層を形成するために、前記脱着可能な材料の上を覆う第1のドナー基板から第1のシリコン材料層を転写し、一方、前記脱着可能な材料は、第1のシリコン材料層を転写する工程を容易にする。好ましい実施例では、前記脱着可能な材料は、前記シリコン部材の上を覆い、前記第1のシリコン材料層を前記脱着可能な材料に接続するのを容易にする表面粗さを有する。本製造方法は、前記脱着可能な材料の上を覆って全材料層を形成するために、前記第1のシリコン材料層の上を覆う第2の半導体材料層を形成する工程も有する。そして、本製造方法は、前記全材料層の1または複数の部分に1または複数の光電子セルを形成し、前記材料層から前記脱着可能な材料を除去する。
もう一つの特定の実施例では、本発明は、1または複数のソーラーモジュールに用いる太陽電池の製造方法を提供する。本製造方法は、支持部材を形成する工程を有する。前記支持部材は、表面部、底部、および前記表面部と底部との間に所定の層を有してもよい。前記支持部材は、ある特定の実施例でのキャリア素子であってもよい。本製造方法は、前記支持部材の上を覆う第1のシリコン材料層を形成するために、前記支持部材の上を覆う第1のドナー基板から第1のシリコン材料層を転写する。そして、本製造方法は、前記支持部材の上を覆う全材料層を形成するために、第1のシリコン材料層の上を覆う第2の半導体材料層を形成する。そして、本製造方法は、全材料層の1または複数の部分に1または複数の光電子材料を形成する。
さらにもう一つの実施例では、本発明は、太陽電池や集積回路を含む他の同様な基板を製造する装置を提供する。前記装置は、表面部、底部および前記表面部と前記底部の間に所定の層を有する支持部材を有する。1または複数の開口(単一形状、配列形状および円形状など)は、前記支持部材の表面部に、空間的な形状で備えられる。流体源は1または複数の開口に結合される。特定の実施例では、前記流体源は、前記支持部材の表面部で、1または複数の開口を貫いて流体を供給するのに適している。脱着可能な材料は、前記支持部材の表面部の上を覆っている。前記装置は、前記脱着可能な材料の上を覆う第1の転写材料層を形成するために、前記脱着可能な材料の上を覆う第1の半導体材料層を有し、第1の半導体材料層は、前記支持部材の上を覆う。本実施例によれば、他に変形し、改良し、あるいは代替することも可能である。
本発明を用いれば、数多くの恩恵が、既存の技術を超えて得られる。特に本発明は、ある特定の実施例に従って、選択的にガラス基板上面の薄い光電子膜を劈開するために、制御されたエネルギーと選択された条件を用いる。ある特定の実施例では、本発明の製造方法および装置は、ガラス上に極めて高品質の光電子材料を備え、前記光電子材料は、パッケージング材の一部として用いられてもよい。好ましい実施例では、本発明の製造方法および構造で実現されるのは、太陽電池を使用して高効率の電力を供給するための単結晶シリコンである。
さらに、本発明の製造方法で実現されるのは、高成長速度厚膜プロセスであり、前記高成長速度厚膜プロセスは、ある特定の実施例に従って、望ましい温度特性を有する。つまり、ある特定の実施例に従って、本製造方法で実現されるのは、ガラス処理基板材が損傷を受けない結晶材料または結晶化される材料の蒸着である。他の実施例において、前記製造方法で実現されるのは、基板部材の正面側および裏面側での光電子材料の形成である。本実施例によれば、1または複数のこれらの恩恵が得られる。これらおよび他の恩恵は、本明細書、特に以下でより詳述に開示される。
さらに、本発明に係る実施例は、過度のエネルギー放出および/または熱エネルギーからこのようなフィルムへ損傷を与える可能性なく、材料の薄い光電子フィルムを選択的に劈開および処理するために、制御されたエネルギーと選択された条件を用いる。この劈開プロセスは、前記フィルムまたは前記基板の残存部分への損傷の可能性を防ぐと同時に、基板から薄い光電子フィルムを選択的に除去する。さらに、本発明の製造方法および構造で実現されるのは、一連の半導体プロセスを通じて基板に備えられる劈開層を用いたさらに効率的なプロセスであり、前記効率的なプロセスは、ある特定の実施例に従って、より高温で実行される。ある特定の実施例では、処理基板に備えられる劈開フィルムは、前記劈開フィルムと前記処理基板との間に備えられる界面部周辺内部に欠陥が形成されることなく、前記劈開フィルムを前記処理基板に強固に固定するために、速熱処理プロセスに曝される。好ましい実施例では、本発明の製造方法および構造で実現されるのは、光電子セルを用いて効率的な電力を供給するための単結晶シリコンである。好ましい実施例では、本発明の製造方法および構造は、2つの材料間での装着および2つの材料の互いからの除去を容易にする脱着可能な材料を用いる。本実施例によれば、1または複数のこれらの恩恵が受けられるであろう。これらおよびその他の恩恵は、本明細書、特に以下でより詳細に開示される。
本発明によって得られるのは、公知プロセス技術に関連するこれらの恩恵と他の恩恵である。しかしながら、本発明の特質と利点の更なる理解は、本明細書の後半部分と添付の図面を参照して実現される。
本発明の実施例によれば、光電子材料の製造に対する技術が提供される。より詳しくは、本発明に係る1つの実施例は、光電子アプリケーションに対する層転写技術を用いて太陽電池の構造を形成するための方法と構造とを含む技術を提供する。本発明に係る他の実施例は、光電子装置の製造のための望ましい蒸着速度と熱量を有するシラン基種を用いた光電子厚膜プロセスを提供する。本発明に係るある特定の実施例は、ジシランまたはトリシラン基種を利用しているが、ある特定の実施例によれば、その他のシラン種を用いることもできる。前記実施形態によれば、常圧CVD(APCVD)、原子層CVD(ALCVD)(原子層エピタキシーおよび原子層蒸着(ALD)など)、エアロゾルアシストCVD(AACVD)、直接液体注入CVD(DLICVD)、熱線CVD(HWCVD)(触媒CVD(Cat−CVD)または熱フィラメントCVD(HFCVD))、低圧CVD(LPCVD)、マイクロ波プラズマアシストCVD(MPCVD)、プラズマ強化CVD(PECVD)、急熱CVD(RTCVD)、遠隔プラズマ強化CVD(RPECVD)、超真空CVD(UHVCVD)などを含む種々の技術を用いて、蒸着が起こってもよい。しかし、本発明は、より広い範囲に適用できることが認識されるであろう。つまり、本発明は、集積半導体装置の三次元パッケージング、フォトニック装置、ピエゾエレクトリック・デバイス、フラットパネルディスプレイ、微小電気機械システム(MEMS)、ナノテクノロジー構造、センサー、アクチュエーター、集積回路、生物学的および生物医学的装置などのような他の種類のアプリケーションに適用できる。
半導体基板上の光電子層を製造する方法は、以下で概説される。
(1)半導体基板を形成する。半導体基板は、表面部、劈開部および前記表面部と前記劈開部の間にあって、除去される第1の材料層を有する。
(2)光学透明性基板に半導体基板を配置する。
(3)光学透明性基板の第1の表面部に半導体基板の表面部を結合する。
(4)劈開部の一部で、制御された劈開動作を開始する。
(5)半導体基板から第1の材料層を除去するため、半導体基板を劈開する。一方、劈開表面部の形成を促すために、前記表面部は、前記第1の表面部に結合されたままとなっている。
(6)半導体材料の生成層を形成するために、(例えば、シラン種を用いて)劈開表面部の上を覆って、第2の半導体材料層を形成する。半導体材料の生成層は、1または複数の光電子部を有する。
(7)第2の半導体材料層の上を覆って、カバーガラス材料を取り付ける。
(8)必要に応じて、その他のステップを実行する。
上記一連のステップによって、本発明の実施例に係る製造方法が実現される。以下に示すように、本技術は、光電子アプリケーションに層転写技術を用いて太陽電池の構造を形成する方法および構造を含む。好ましい実施例では、第2の材料層を形成するために、前記方法はシラン種の反応ガスを用いており、前記第2の材料層は、第1の材料層を厚くする。あるいは、請求項の範囲から逸脱することなく、ステップが追加されたり、1または複数のステップが除去されたり、あるいは1または複数のステップが異なるシーケンスに設けられたりしてもよい。例えば、1つの実施例では、第2の半導体材料層が形成される前に、シード層が、劈開表面部の上方にグラフォエピタキシーに形成されてもよい。
あるいは、前記構造を形成する他の方法を用いることもできる。つまり、前記シーケンスが、カバーガラスおよびその他の適切な材料のようなカバーシートに最初に形成され、その後、ある特定の実施例に基づくその他の層を形成することもできる。前記層転写は、カバーガラス上で生じ、前記カバーガラスは、太陽電池装置の残余部を形成するのに用いられる。他の技術では、層転写材料を処理基板の上に転写する転写基板を用いることもできる。本発明の方法のさらなる詳細は、本明細書、特に以下で詳述される。
図1で示すように、本製造方法は透明性処理基板を調成し、前記透明性処理基板は、第1の撓み特性、裏面および表面を有する。前記透明処理基板は、ガラス、石英、ガラスセラミック、ポリマーまたはその他の合成物などであってもよい。一例を挙げれば、前記透明基板は、層、裏面および表面を有する。前記透明基板は、太陽電池などを覆うのに用いられるようなガラスである。本実施例によれば、前記ガラスはある程度柔軟であるので、剛性を得るために裏板に固定されるべきである。もちろん、他に変形、修正、あるいは代替することも可能である。
もう一つの実施例では、前記処理基板は均質な材料、組成が徐々に変化する材料、または多層の材料、あるいはこれらのいずれかの組み合わせであってもよい。つまり、前記処理基板は、ほとんど全ての単結晶、多結晶、さらにはアモルファス型基板から作られてもよい。さらに、前記基板は、ヒ化ガリウム、窒化ガリウム(GaN)などのようなIII/V材料から作られてもよい。さらに、前記基板は、シリコンカーバイド、ゲルマニウム、シリコン、ガラス、ガラスセラミック、あるいは、合成石英、プラスチック、およびポリマーであってもよく、柔軟な特性を有する。前記処理基板は、ある特定の実施例に係る層転写プロセスには適さないある程度柔軟な特性を有すると好ましい。前記基板の不適切な性質は、ある特定の実施例によれば、過度の粗さ、破損、部分的な膜剥離などの原因となる。材料の他の全ての組み合わせが、ある特定の実施例によって用いられてもよい。
好ましい実施例では、本発明は、図2に示すように、処理基板の構造に剛性を加えるために、裏基板を備えている。前記裏基板は、前記処理基板の上に転写されるようなドナー基板からのシリコン支持材料の層に適するように、少なくとも裏基板と処理基板から構成される有効な撓み特性を有する多層構造を調成するに足る厚さと材料とを有すると好ましい。
一例を挙げれば、前記裏基板は、石英処理基板用のシリコンウエーハである。このような裏基板は、725±15ミクロンの厚さを有し、例えば、200ミリメーターのドナー/処理/裏基板構造を用いた単結晶シリコンから作られる。このような基板は、約130ギガパスカルのヤング率を有する。プラスチック、金属、ガラス、ガラスセラミック、石英、複合材料などの他の種類の材料および所定の層は、一体にされた裏基板および処理基板構造に剛性を与えるために用いられてもよい。もちろん、当該技術分野における通常の知識の1つに基づいて、他に変形し、修正し、あるいは代替することが認識されるであろう。
あるオプションの特定の実施例では、本製造方法は、図3および図4に示すように、裏側および/または透明処理基板の表面で、洗浄および/または活性化工程(プラズマ活性工程など)を実行する。このようなプラズマ活性工程は、基板の表面を洗浄および/または活性化する。前記プラズマ活性工程は、酸素と窒素を含んだプラズマを20〜40℃で使用することで実現される。前記プラズマ活性工程は、カリフォルニア州サンノゼのシリコン・ジェネシス社(Silicon Genesis Corporation)で製造される2周波共用プラズマ活性化装置で実行されるとよい。別の実施例では、いかなる裏材を有さなくてもよい。あるいは、さらに別の実施例では、本発明の製造方法は、静電チャックおよび/またはポーラスチャックなどを介して裏材を用いてもよい。ある特定の実施例に基づいて、本発明の裏材は、処理基板またはドナー基板のいずれか、処理基板またはドナー基板の双方に備えられてもよい。もちろん、他に変形し、改良し、あるいは代替することも可能である。
図5を参照すると、本製造方法は、透明処理基板の裏側へ裏基板の係合を開始する。前記係合は、しばしば他の構造から物理的に除去される。本製造方法は、多層構造を形成する前記透明処理基板に裏基板を強固に係合するために、前記透明処理基板の裏側に裏基板を一時的に取り付けるとよい。一例を挙げれば、シリコンウエーハの裏基板は、好ましい実施例に係る他のいかなる形態および/または代替によらず、石英板に強固に取り付けられる。さて、自然酸化物によらない実施例もあり得るが、図6に示すように、前記シリコンウエーハは、自然酸化物の非常に薄いコーティングを有し、前記コーティングは、前記石英板の表面と結合する。他の実施例では、共有結合を含む静電プロセスあるいはウェブ結合(web bonding)、あるいはこれらの任意の組み合わせなどを用いて、結合が生じてもよい。さらにもう一つの実施例では、スピンオンガラス、接着剤層または、これらの任意の組み合わせなどを用いて、前記結合が生じてもよい。もちろん、他に変形し、改良し、あるいは代替することも可能である。
本製造方法は、図7に示すように、劈開部、表面、裏面、および前記表面と前記劈開部との間のシリコン支持材料の層を含むドナー基板を形成する工程を含む。一例を挙げれば、前記ドナー基板は、シリコンウエーハ、ゲルマニウムウエーハ、シリコン−ゲルマニウム材料、シリコンカーバイド支持材料、III/V族化合物およびこれらの任意の組み合わせなどであればよい。好ましい実施例では、前記ドナー基板は、感光性材料を用いて作られる。もちろん、他に変形し、改良し、あるいは代替することも可能である。
前記実施例によれば、劈開部は種々の技術を用いて形成されてもよい。つまり、前記劈開部は、注入粒子、蒸着層、拡散物質、パターン領域およびその他の技術の任意の適切な組み合わせを用いて形成されてもよい。図6を参照すると、本製造方法は、注入プロセスを用いて、所定のエネルギー粒子をドナー基板の上面を通って選択深度まで導入する。前記選択深度は、材料の「薄膜」と呼ばれる材料部の厚さを定める。シリコンウエーハにエネルギー粒子を注入するために、種々の技術が用いられてもよい。これらの技術は、例えば、アプライド・マテリアル社(Applied Material,Inc)などのような会社から製造されるビーム・ライン・イオン注入装置を用いたイオン注入を含む。あるいは、プラズマ浸漬イオン注入(”PIII”)技術、イオンシャワー、および他の非質量的な特別な技術を用いて、注入がなされる。そのような技術の組み合わせが、用いられてもよい。もちろん、アプリケーションに応じた技術が用いられる。
前記アプリケーションによれば、好ましい実施例に係る通常より小さい質量粒子が、材料部に対する損傷の可能性を低減するように選ばれる。つまり、より小さい質量粒子は、粒子が横断する前記材料部に実質的に損傷を与えることなく、前記基板材料を通って選択深度まで容易に伝播する。例えば、より小さい質量粒子(あるいはエネルギー粒子)は、ほぼ全ての荷電(正または負など)粒子および/または、中性原子または分子、あるいは電子などであってもよい。ある特定の実施例では、前記粒子は、中性粒子および/または、水素のイオンおよびその同位元素のようなイオン、ヘリウムおよびその同位元素、並びにネオンのような希ガスイオン、あるいは本実施例に従ってその他のイオンを含む荷電粒子であってもよい。前記粒子は、水素ガス、水蒸気、メタン、および水素化合物などのガス、並びにその他の軽量の原子質量粒子のような化合物から誘導されてもよい。あるいは、前記粒子は、上記粒子および/またはイオンおよび/または分子種および/または原子種の如何なる組み合わせであってもよい。前記粒子は、一般的に、前記表面を通って前記表面下の選択深度まで貫くための十分な運動エネルギーを有している。
一例として、シリコンウエーハ内部への注入種として水素を用いる場合、ある特定の一連の条件を用いることで、注入プロセスが実行される。注入量は、約1×10e15原子/cmから約1×10e18原子/cmの範囲にあり、好ましくは、前記注入量は約1×10e16原子/cm以上であるとよい。注入エネルギーは、約1KeVから約1MeVの範囲にあり、通常約50KeVである。注入温度は、約20℃から約600℃の範囲にあり、注入シリコンウエーハから相当量の水素イオンが拡散する可能性、注入損傷およびストレスのアニールを回避するために、400℃以下であるとよい。前記水素イオンは、選択深度に対して約±0.03ミクロンから±0.05ミクロンの精度で、前記シリコンウエーハの内部に選択的に導入されてもよい。もちろん、用いられるイオンの種類とプロセス条件は、アプリケーション次第である。
前記注入粒子は、選択深度にある基板の頂面に平行な平面に沿って、ストレスを加えたり、破損エネルギーを減じたりすると効果的である。前記エネルギーは、ある程度、注入種および注入条件に依存する。これらの粒子は、選択深度にある基板の破損エネルギーレベルを減ずる。これにより、選択深度にある注入面に沿って制御された劈開が実現される。注入は、基板のエネルギー状態が、全ての内部の場所で、基板材料で不可逆的な破損(分離あるいは劈開など)を開始するのに不十分な条件下で生じてもよい。しかしながら、注入が基板内部である程度の欠陥(マイクロ検出など)を概して誘発することに留意すべきである。前記欠陥は、典型的には、熱アニールまたは速熱アニールなどの以降の熱処理によって少なくとも部分的に修復される。注入を受けている生成基板は、図7に概略図で示される。
本実施例によれば、劈開部および/または劈開層を形成するために、その他の技術を用いてもよい。一例を挙げれば、そのような劈開部は、カリフォルニア州のサンタクララにあるシリコン・ジェネシス社(Silicon Genesis Corporation)のナノ劈開(Nanocleave(商標))プロセス、フランスにあるソイテックSA(Soitec SA)のスマートカット(SmartCut(商標名))プロセスおよび日本の東京にあるキャノン株式会社のエルトラン(Eltran(商標名))プロセスなどと呼ばれるようなその他のプロセスを用いて形成される。もちろん、他に変形し、改良し、あるいは代替することも可能である。
ある特定の実施例では、裏側に接続された透明処理基板とドナー基板は、図8に示されたように、共にプラズマ活性プロセスに供される。そのようなプラズマ活性プロセスは、基板の表面を洗浄および/または活性化する。前記プラズマ活性プロセスは、20℃から40℃で酸素あるいは窒素を含んだプラズマを用いて実現される。前記プラズマ活性プロセスは、カリフォルニア州サンノゼにあるシリコン・ジェネシス社(Silicon Genesis Corporation)で製造される2周波共用プラズマ活性化装置で実行されるとよい。もちろん、他に変形し、改良し、あるいは代替することも可能であり、それらは、本明細書外で記述されるのと同様にここに記述されている。
その後、これらの基板のそれぞれは、図9で示されるように、互いに結合される。図示されるように、前記処理基板は、ドナーウエーハに結合されている。前記基板は、エレクトロニック・ヴィジョン・グループ(Electronic Vision Group)で製造されたEVG850ボンディング工具あるいは他の同様のプロセスを用いて結合されてもよい。また、カール・サス(Karl Suss)によって製造されるような他の種類の工具が、用いられてもよい。もちろん、他に変形し、改良し、あるいは代替することも可能である。前記透明処理基板と前記ドナー基板の間の結合は、十分に長持ちし高い信頼性を有すると好ましい。
結合後には、結合構造は加熱処理に供される。前記加熱処理は、所定温度および所定時間で結合基板を維持する。温度は、約200℃または250℃から約400℃の範囲にあれば好ましく、シリコンドナー基板および透明処理基板に対して、約1時間程度約350℃であればより好ましい。ある特定の実施例では、前記加熱処理は、ホットプレートから結合基板まで直接的に熱エネルギーを供給するホットプレートおよび/または表面で伝導加熱プロセスを用いて行われてもよい。他の実施例では、熱エネルギーが、放射線、伝導性、対流、またはこれらの技術のあらゆる組み合わせなどを用いて供給されてもよい。ある特定の実施例によれば、他に変形し、改良し、あるいは代替することも可能である。
ある特定の実施例では、前記基板は、低温熱ステップを用いて、共に接合または融着される。低温熱プロセスは、概して、注入粒子が過度の応力を材料部に及ぼさないことを保証し、非制御の劈開活動を生じることができる。プラズマ活性表面処理と共同するこのステップでもう1つ考慮すべきは、同じ加熱処理ステップの間に、使用される異なった材料の熱膨張係数の不一致によって誘発される応力が通常原因となって、アッセンブリを剥離するのを避けるために、結合強度が増加されることである。ある特定の実施例では、低温結合プロセスは自己結合によって生じる。特に、1つのウエーハは、そこでの酸化を防止するために、取り除かれる(あるいは、1つの基板が酸化されない)。洗浄溶液は、ウエーハ表面でO−H結合を形成するために、ウエーハの表面を処理する。ウエーハを洗浄するのに用いられる溶液の一例として、過酸化水素と硫酸との混合物およびその他の同様な溶液が挙げられる。乾燥機は、基板表面からあらゆる残留溶媒または粒子を除去するために、ウエーハ表面を乾燥する。自己結合は、共に洗浄された基板の表面を配置することによって生じる。
あるいは、基板表面の一方または双方に載置される接着剤が、一方の基板をもう一方の基板に接着する。ある特定の実施例では、前記接着剤は、エポキシまたはポリイミド型材料などを含む。一方の基板表面をもう一方の表面に接着するために、スピンオンガラス層が用いられてもよい。これらのスピンオンガラス(「SOG」)材料は、例えば、シロキサンまたはケイ酸を含み、たいていアルコールを主成分とする溶媒またはその種の他のものと混合される。ウエーハの表面に備えられた後に、SOGを硬化するのに要する温度が低い(150〜250℃)ため、SOGは、望ましい材料となり得る。
あるいは、ドナー基板と処理基板を接合するために、種々の他の低温技術が用いられてもよい。例えば、2つの基板を互いに接合するために、静電接着技術が用いられてもよい。特に、一方または双方の基板は、他の基板に引き付けられるために帯電されている。加えて、ドナー基板は、種々の他の一般的に知られている技術を用いて処理基板に融着されていてもよい。ある特定の実施例では、ドナー基板と処理基板を共に接合するための接着プロセスには、原位置(in−situ)プラズマ活性接着プロセス、原位置(in−situ)静電接着プロセス、これらのあらゆる組み合わせなどを用いればよい。もちろん、用いられる技術はアプリケーション次第である。
好ましい実施例では、本製造方法は、2つの基板間に光結合材料を用いる。前記光学結合材料とは、約1.8〜約2.2の屈折率を有する全ての適切な材料のことであるが、その他の材料であってもよい。前記材料は、酸化スズ、酸化インジウムスズ(ITO)、酸化亜鉛(ZnO)、二酸化チタンまたは、これらの組み合わせを含むその他の誘電積層材料などから選ばれてもよい。本実施例によれば、前記材料は、1または複数の層および他の形態を含んでもよい。もちろん、他に変形し、改良し、あるいは代替することも可能である。
図10および図11で示されるように、本製造方法は、制御された劈開プロセスを結合基板構造上で実行する。前記制御された劈開プロセスは、ドナー基板の劈開部の一部の内部に選択されたエネルギーを供給する。一例を挙げれば、前記制御された劈開プロセスは、「制御された劈開プロセス」という題名で、米国特許6,013,563号に開示され、通例、カリフォルニア州サンノゼにあるシリコン・ジェネシス社(Silicon Genesis Corporation)に帰属され、および全ての目的において参照されて、ここに取り込まれる。次に本製造方法は、図12に示すように、前記ドナー基板から前記材料層を完全に取り除くため、前記ドナー基板から前記材料層を除去している。
好ましい実施例では、本製造方法は図13に示すように、透明処理基板から裏基板を除去する。また、好ましい実施例では、裏基板と処理基板の間の結合は、仮結合であり、いずれの基板にも損傷を与えることなく、機械的な力を用いて除去されてもよい。ある特定の実施例では、処理基板から裏基板を外すのに、分離プロセスが用いられてもよい。また、ある特定の実施例では、裏基板は、静電チャック、真空チャックあるいは機械的なチャックおよび/または取付装置を用いて裏基板部材が備えられたときに、解放されてもよい。もちろん、他に変形し、改良し、あるいは代替することも可能である。
図14を参照すると、本製造方法は、材料層の表面上に光電子装置を形成する。また、そのような装置は、集積半導体装置および光電子装置を含んでもよい。また、そのような装置は、蒸着、エッチング、注入、フォトマスクプロセス、インクジェット印刷、スクリーン印刷、これらのあらゆる組み合わせなどを用いて作られてもよい。もちろん、他に変形し、改良し、あるいは代替することも可能である。
ある特定の実施例では、本製造方法は、蒸着プロセスに用いられる転写材料層を厚くしてもよい。また、ある特定の実施例では、本製造方法は、固相エピタキシャルプロセスおよび/または他の形態の蒸着プロセスを用いる。前記プロセスは、適切な単結晶シリコンまたはある特定の実施例に係る同様な材料を形成する。一例を挙げれば、前記材料は、アモルファスシリコン、多結晶シリコン、ゲルマニウムおよびシリコン−ゲルマニウム合金であってもよい。例えば、アモルファスシリコンは、テンプレートとして覆う転写シリコン膜を用いた単結晶シリコンの固相エピタキシャル成長を実現すると都合がよい。シリコン材蒸着の有効率を向上できるもう1つの方法は、テンプレートとして覆う転写シリコン膜を用いた単結晶シリコンを製造するのに熱処理されるシリコンナノ粒子(アモルファスシリコンが好適である)で、表面をスプレーしたり被覆したりすることである。これは、以後のプロセスの間に除去される液体を用いた乾燥に適用できる。多結晶シリコンおよびその他の材料は、レーザーアニール、フラッシュ熱処理などのような適当な処理を用いた単結晶の再成長を許容してもよい。もちろん、他に変形し、改良し、あるいは代替することも可能である。
好ましい実施例では、本製造方法はシラン種を用いており、前記シラン種は、望ましい熱量および成長速度を用いて蒸着される。ある特定の実施例では、本製造方法は、トリシラン種を含有するガスを反応室に供給する工程を含む。本実施例によれば、前記シランは、ジシランまたはトリシランとその他の組み合わせであってもよい。トリシランの例は、ニュージャージー州08876の私書箱5357の北部支店にあるボルタイクス社(Voltaix,Inc)と称される会社から提供され得る。もちろん、他に変形、修正、あるいは代替することも可能である。本製造方法の更なる詳細および特に本発明のための装置および/または工具は、本明細書、特に以下で詳述される。
一例を挙げれば、反応室は、図14Aではグロー放電型反応器の概略図によって、または図14Bでは炉型反応器の概略図によって示される。これらの図は、一例に過ぎず、ここでの請求の範囲を不当に制限するものではない。本技術分野における通常の技術の1つによれば、他に変形し、改良し、あるいは代替することが想起されるであろう。図14Aに示すように、グロー放電型は、誘電結合されたRFグロー放電によって励起された後に、反応室にフィードする反応ガス供給を含む。ある特定の実施例では、反応器は、高スループット製造のために、多様な基板のバッチを処理できる。反応室の一例は、オランダのブリスベンに本社があるエーエスエム・インターナショナル・エヌヴィー(ASM International N.V.)によって製造されたエーエスエム・エー・400(ASM A 400)と称されるエピタキシャルシリコン蒸着機器であってもよいが、その他のものであってもよい。
ある特定の実施例では、共通ガスは、その他のガスの中で、トリシラン、ジシラン、ホスフィンおよびジボランのようなドーパントガスおよび水素のような希釈ガスを含む。好ましい実施例では、本製造方法は、反応室内部でのグロー放電に用いる蒸着膜を形成するために、トリシラン種を用いる。さらに好ましいもう一つの実施例では、本製造方法は、反応室内部で熱CVDプロセスを用いて蒸着膜を形成するために、トリシラン種を用いる。他のCVD法は、プラズマCVDおよび光強化CVDの反応ガス励起法を含む。本製造方法は、シラン種を含有して、およびシリコン材料の第1の層の上を覆う材料を結晶化するような材料の固相エピタキシャル再成長速度と同等またはそれ以上の蒸着速度でシリコン材料の第1の層を厚くするために、前記劈開表面の上を覆う1または複数のこれらのCVD蒸着プロセスを用いて、材料を蒸着する。ある特定の実施例では、固相エピタキシャル再成長速度は、以下の図を参照してより詳細に説明される。
図14Cは、温度の関数としてのシリコンの固相エピタキシャル成長速度の簡易プロットである。この図は、水素フリーな固相エピタキシャル成長速度を表しており、一例に過ぎず、ここでの請求の範囲を不当に制限するものではない。例えば、水素リッチなアモルファスシリコン膜は、異なる速度で再結晶化するので、不適切な再成長条件の選択のために、結晶再成長中に絶縁破壊から損害を被る可能性がある。例えば、ピー・ストランディス(P.Strandis)などは、(サンフランシスコでの2006年のマテリアルリサーチソシエティのスプリングミーティングで)低温(320℃〜370℃)で蒸着された高水素アモルファス膜の結晶再成長絶縁破壊を論じている。そのような膜は、厚さが制限されたり、蒸着条件が低水素アモルファス膜を成長させるために変更されたりする場合、単結晶の絶縁破壊が起こることなく再結晶化される。低純度膜によって、ランダム位相核生成プロセスが、固相エピタキシャル結晶化温度処理の間にアモルファス層の内部で生じない場合、原理上は、非常に厚い膜が首尾良く再結晶化されるであろう。ランダムな核生成の動力学を理解することは、この競争プロセスを回避するのに重要である。例えば、結晶成長ハンドブック第3巻(1994年のエルゼビアサイエンス(Elsevier Science))(「結晶成長」)の第7章は、結晶性/非結晶性の界面周辺の内部の水素濃度に依存して、水素濃度は、0と凡そ50%の間で、固相エピタキシャル成長率を遅らせることができることを教示する。再結晶化温度が650℃のとき、図15の結晶成長は、2000オングストロームのアモルファスシリコン膜の完全な結晶化とランダムな結晶相の核生成との間には、約2.5オーダーの大きさが存在することを示している。前記プロットは、この結晶成長温度では、高水素含有率による2倍の成長遅延速度の場合でさえも、プロセス条件によって凡そ20〜30μmのシリコン膜がランダムな核生成プロセスが生じることなく結晶化されるのを依然として許容することを明らかにしている。これは、高効率の薄膜光電子太陽電池には好ましいと記述されている目標シリコン膜厚さを遵守している(例えば、エー・ダブリュー・ブラッカーズ(A.W.Blakers)などが執筆した1992年6月1日発行のアプライド・フィジカル・レター(Appl.Phys.Lett.)第22巻60号の2752〜2754頁を参照のこと)。より高温での結晶成長も可能であるが、ランダム位相核形成が生じる前に、入口厚さは、温度の増加に伴って減少するであろう。本技術分野での通常の知識の1つによって、他に変形し、改良し、あるいは代替することが認識されるであろう。
また、図14Cで示されるように、固相エピタキシャル成長速度または再成長速度は、垂直軸に沿ってプロットされており、水平軸上の温度と交わる。成長速度は、1秒当たりのより高い結晶相の厚み成長の大きさとして提供され、温度は1/kTとして提供される。成長速度は、図示された目盛り上で対数表示される。一例として、固体相エピタキシャル成長速度という用語は、単結晶のテンプレートに近づいたアモルファスの準安定状態での無秩序な原子の再配列工程によって、アモルファス/結晶相が進展する速度として定義される。配列が進行するにつれ、結晶/アモルファス界面が、固相エピタキシャル成長速度として定義される所定の速度で移動する。この結晶相の肥厚は、図示されるような速度論(温度活性など)プロセスであるが、水素のような不純物によって影響され得る。単結晶の高品質膜を生み出す固相エピタキシャル成長を許容するために、プロセス条件とテンプレート結晶の品質を選択することは特に好都合である。固相エピタキシャル成長速度の定義は、本技術分野における通常の技術の1つと一致する他の意味を有してもよい。もちろん、他に変形し、改良し、あるいは代替することもできる。そして、固相エピタキシャル成長速度は、シランガスを用いた膜成長速度に対して図14Dにプロットされ、以下でより詳細に提供される。
図14Dは、温度の関数としてのシランガスの蒸着速度とシリコンの固相エピタキシャル成長速度の簡易プロットである。この図は、エーエスエム・アメリカ社(ASM America,Inc)に帰属する圧力40Torrでのシラン種ガスの蒸着速度に関する米国特許第6,821,825号の図10から提供されたものであり、一例に過ぎず、ここでの請求の範囲を不当に制限するものではない。図示されるように、固相エピタキシャル成長速度または再成長速度は、垂直軸に沿ってプロットされ、水平軸上で温度と交わる。成長速度は、1秒当たりの厚さの大きさとして提供され、温度は1/kTとして提供される。図は、シラン、ジシランおよびトリシランの蒸着速度についても表示する。図示されるように、固相エピタキシャル成長速度が、トリシランまたはジシランを用いた膜成長速度よりも大きいか同じになるプロット領域が存在する。好ましい実施例では、第2の材料厚さの形成を促すように第1の材料層を厚くする本製造方法は、成長段階の間、原位置(in−situ)で再結晶化されるように前記プロット領域で実行される。仮に固相エピタキシャル成長速度または不純物放出速度が十分に速くなければ、時々および一時的にシラン種をパージすることによって、(同一または異なる温度で)前記フィルムアニールが蒸着プロセスを再開する前に完全な結晶状態に維持されるのを促す。もちろん、本技術分野における通常の技術の1つによれば、他に変形し、改良し、あるいは代替することが認識されるであろう。
ある特定の実施例では、第1の材料層の上を覆う材料の蒸着速度は、所望の速度で実現される。また、ある特定の実施例では、蒸着速度は、図14Dの特定の蒸着プロセス条件において、約450から約550オングストローム/分の範囲にある。前記プロセス条件によれば、速度論あるいは物質移動制限のある蒸着プロセスが選ばれてもよい。この例では、トリシラン蒸着は、速度論的に物質移動が制限された蒸着に対して、約620Cで交差する。特定条件の選択には、成長速度、膜品質および膜均一性が含まれる。前記成長速度は、太陽電池あるいは例示される他の装置の製造を助成するように選ばれる。特定の実施例では、第1の膜厚さに横臥する処理基板は、米国特許公開公報第2006/0088985号で開示されるような炉型蒸着システムを用いるように処理されたバッチプロセスであるが、その他であってもよい。もちろん、他に変形し、改良し、あるいは代替することも可能である。
ある特定の実施例では、本製造方法は、処理基板へのあらゆる損傷を回避するために、所望の温度で材料を蒸着する工程を含み、前記材料は、ガラスまたは他の温度感知材料であればよい。また、ある特定の実施例では、温度は約650℃以下に保持される。好ましい実施例では、温度は約550℃以下に保持される。ある特定の実施例によれば、蒸着速度は、物質移動律速、運動律速、または他の移動律速によって制限される。一例を挙げれば、蒸着速度は、物質移動速度によって十分に特徴付けられる。もう一つの例では、蒸着速度は、反応速度によって十分に特徴付けられる。もちろん、他に変形し、改良し、あるいは代替することも可能である。
ある特定の実施例では、本製造方法は、光電子部を形成する、および/または厚層を形成するあらゆるステップの前に劈開部を平坦にするためのエッチングおよび/または蒸着プロセス(プラズマ補助蒸着)を有する。本製造方法は、ある特定の実施例に係る雰囲気に含まれる水素と塩化水素を用いた壁開フィルムの熱処理を含む平坦プロセスを用いてもよい。あるいは、腐食液が、劈開部を所定量にエッチングするための化学浴(KOH,TMAHなど)であってもよい。エッチングプロセスは、例えば、水素損傷シリコンを約300から約800オングストローム除去するのに用いられてもよい。ある特定の実施例では、エッチングプロセスは、水素損傷部を酸化物に転換するための酸化プロセスによって進行されてもよく、前記酸化物は、緩衝性酸化物エッチング液および/または他の適切なエッチング種を用いて後に除去される。もちろん、他に変形し、改良し、あるいは代替することも可能である。
好ましい実施例では、転写材料は、アモルファスシリコン層を用いて厚くされる。また、好ましい実施例では、アモルファスシリコンは、再結晶化などされる。ある特定の実施例では、アモルファスシリコン層は、ナノ粒子(アモルファスシリコン、結晶性シリコン、ポリシリコンまたはこれらの組み合わせなど)のアプリケーションを用いて蒸着され、前記アモルファスシリコン層は、後に厚膜材料のシートの形成を促すように熱処理に曝される。あるいは、アモルファスシリコン層は、ある特定の実施例に従って低温で物理蒸着または化学蒸着(プラズマ強化など)を用いて形成されてもよい。好ましい実施例では、ガラス材料の上を覆って蒸着されるアモルファスシリコン層は、そのようなシリコン層が形成される間、500℃以下の温度で保持される。ある特定の実施例では、前記生成フィルムは、ある特定の実施例に係る単結晶性および/または多結晶性構造であってもよい。好ましい実施例では、前記生成フィルムは単結晶であり、適切な電気的特性を有している。もちろん、他に変形し、改良し、あるいは代替することも可能である。
本実施例によれば、厚膜材料は、太陽電池構造を形成するために不純物に曝される。ある特定の実施例では、前記不純物は、イオンビーム、プラズマ浸漬注入、イオンシャワー、非質量分離注入、実質的または部分的な非質量分離、または従来の注入技術を用いて、原位置(in−situ)ドープ、拡散および/または注入されてもよい。これらの太陽電池構造は、ある特定の実施例に係るP型およびN型不純物に対する不純物領域を含んでもよい。もちろん、他に変形し、改良し、あるいは代替することも可能である。
ある特定の実施例では、本製造方法は、光電子装置を形成するために、前記厚膜の上を覆うもう一つの層を形成してもよい。他の層は、ある特定の実施例に係る半導体層であってもよく、前記半導体層は、完全な太陽電池構造に備えられる光電子装置を強化するために用いられてもよい。もう一つの実施例では、他の層は、ゲルマニウム、シリコン−ゲルマニウム、II/IV族化合物、III/V族化合物および、これらのあらゆる組み合わせなどであってもよい。他の層は、もう一組の光電子部を形成するのに用いられてもよく、前記光電子部は、総光電子強度を強化するために、他の光電子装置に結合されてもよい。もちろん、他に変形し、改良し、あるいは代替することも可能である。
モノシラン種を用いた好ましい実施例では、本製造方法は、シラン種を蒸着させるためにプラズマ強化CVDプロセスを用いる。一例として、前記シラン種は、電子サイクロン共鳴(ECR)、容量結合型平行平板、誘導結合プラズマ(ICP)などのような励起源を用いるプラズマ蒸着システムを用いて蒸着されてもよい。あるいは、他のプラズマ蒸着システムが用いられてもよい。ある特定の実施例では、蒸着システムは、ヘリウムや水素ガスのような希釈ガスと混合されたモノシラン(SiH)ガスを用いて単結晶シリコンをシリコン基板上に蒸着するために、約650℃以下あるいはより好ましくは550℃以下の蒸着温度を保持できる。ある特定の実施例では、水素(H)対モノシラン(SiH)の比率は、約3以下であり、その比率のために、高い成長速度が得られるが、より粗膜となるであろう。約3以上の比率を用いた特定の実施例では、より高質のエピタキシャル膜が得られるが、成長速度が遅い。このような蒸着プロセスの詳細な説明は、アイオワ州5011のエイムスにあるアイオワ州立大学の電気およびコンピューター工学部のスコット・デボア(Scott Deboer)とヴィクラム・ダラル(Vikram Dalal)が、1994年12月5日から9日にハワイで開催された第1回太陽光発電世界会議(First WCPEC)で、論文名「ECRプラズマ蒸着によって成長される高質結晶性シリコンフィルムの調製と諸特性」(”Preparation and Properties of High Quality Crystalline Silicon Film Grown by ECR Plasma Deposition”)で発表した内容に見出すことができ、その説明は、参照によりここに含有される。本実施例によれば、他のガス混合物が用いられてもよい。
ある特定の実施例では、劈開表面は、シリコンの厚膜を形成する前に洗浄される。好ましい実施例では、本製造方法は、少なくともRCA洗浄およびフッ化水素浸漬などの湿式洗浄を用い、前記湿式洗浄は、劈開表面上の薄膜酸化物層を除去する。これに加えて、シリコンである湿式洗浄表面は、ある特定の実施例に係る水素プラズマを含んだ原位置近傍で(ex−situ)、より好ましくは原位置(in−situ)でプラズマ洗浄に曝される。水素プラズマは、たいてい真空下で供給され、劈開表面から不要な炭素と酸素種を除去する。もちろん、他に変形し、改良し、あるいは代替することも可能である。
本実施例によれば、本製造方法および構造は、厚膜および/または層転写フィルムと厚膜との組み合わせのある特定の層で形成されてもよい。ある特定の実施例では、厚膜は、シリコン材料を用いて、約1ミクロンないし20ミクロンとしてもよい。他の実施例では、厚膜は、1ミクロン以下または20ミクロン以上であってもよい。また、他の実施例では、厚膜は、約50ミクロン以下とすればよい。もちろん、他に変形し、改良し、あるいは代替することも可能である。
図15ないし図18は、本発明の実施例に係る太陽電池の構成の概略図を示している。これらの図は、一例に過ぎず、ここでの請求の範囲を不当に制限するものではない。本技術分野における通常の技術の1つによれば、多くの変形、修正および代替が認識されるであろう。図15に示すように、第1の接触層は、ガラス基板と第1の半導体層表面との間に挟まれて形成される。ある特定の実施例では、接触層は、ITOなどの透明導電性材料のような適切な材料から作られてもよい。また、他の材料が使用されてもよい。第1の接触層は、光電子セル用の第1の電極構造に結合し、前記第1の接触層は、たいていp−n接合あるいは多重p−n接合から構成される。一例を挙げれば、半導体層は、適切な単結晶シリコンなどのような材料から構成されてもよい。第2の接触層は、第2の半導体層表面の上を覆って形成される。前記第2の接触層は、前記第1の接触層に平行な方向に配置される。ある特定の実施例では、前記第2の接触層は、複数の電極を形成するためにパターニングされ、それぞれの光電子部に結合される。ある特定の実施例によれば、それぞれの電極は、平行および/または連続して設けられてもよい。もちろん、他に変形し、改良し、あるいは代替することも可能である。
ある特定の実施例では、図16の概略図で示されるように、太陽電池の効率を増加するために、追加接合が、第2の接触層と半導体基板の間に形成されてもよい。図示されるように、前記追加接合は、ある特定の実施例に係る単結晶シリコン材料層の上を覆って厚膜上に備えられる。前記追加接合は、単結晶シリコン層の中で光電子装置から離されてもよい。これら追加接合のそれぞれは、互いに平行および/または連続して設けられてもよく、シリコン材料層の中で光電子装置に結合されてもよい。もちろん、他に変形し、改良し、あるいは代替することも可能である。
図17は、本発明の実施例に係るさらにもう一つの太陽電池の構成例を示している。図示されるように、ガラス基板は、第1の半導体層表面に結合されている。第1の接触構造および第2の接触構造は、第2の半導体層表面の上を覆って形成される。前記第1の接触構造は、前記第2の接触構造に十分平行に備えられる。図示されるように、光電子装置のそれぞれは、少なくとも第1および第2の接触構造に結合され、単結晶シリコン材料層の上を覆っている。あるいは、追加接合が、図18に示すように太陽電池の効率を増加するために、前記接触構造と前記半導体基板の間に形成されてもよい。もちろん、他に変形し、改良し、あるいは代替することも可能である。
図19は、本発明の実施例に係る反射表面部を有する太陽電池の概略図である。図示されるように、太陽電池が実現される。前記太陽電池は、第1の半導体表面に結合されるガラス基板を有する。複数の接触構造は、第2の半導体層表面の上を覆って形成される。図示されるように、光電子装置のぞれぞれは、少なくとも接触構造に結合され、単結晶シリコン材料層の上を覆っている。光は、ガラス基板と半導体層中の光電子装置を通過し、電気エネルギーに変換される。図示されるように、反射面1901は、1または複数の光電子装置をさらに活性化して電気エネルギーに変換するために、光電子部を通るあらゆる残光を反射するように備えられる。前記反射表面は、アルミニウム、銀、金、または他の適切な反射材料のような材料を用いて備えられてもよい。あるいは、仮に、非導電性反射板が望ましいならば、絶縁スタック反射板は、単独であるいは導電性の反射板と組み合わされて設計されてもよい。前記反射表面は、光電子装置に複数の光の通過手段を提供し、太陽電池の効率を増加する。もちろん、他に変形し、改良し、あるいは代替することも可能である。
図20は、本発明の実施例に係るレンズ領域を有する太陽電池の概略図である。図示されるように、太陽電池が実現される。前記太陽電池は、第1の半導体表面に結合されるガラス基板を含む。複数の接触構造は、第2の半導体層表面の上を覆って形成される。図示されるように、光電子装置のそれぞれは、少なくとも前記接触構造に結合され、単結晶シリコン材料層の上を覆っている。光は、ガラス基板と半導体層中の光電子装置を通過し、電気エネルギーに変換される。ある特定の実施例では、より傾いた角度で光を導いて太陽電池の捕集効率を増加するために、前記ガラス基板に結合される光学素子2001を用いて、光は転送および/または散乱される。このような光学素子の一例として、フレネルレンズが挙げられる。前記フレネルレンズは、プラスチック材料あるいはガラス材料から作られてもよい。あるいは、ガラス基板は、光を散乱させたり、転送したりして、フレネルレンズとして機能するように加工されてもよい。光学素子2001の形状を加工することで、シリコン薄膜内部の導波路効果あるいはランバート(Lambertian)光源に接近し薄膜電池の有効な厚さを増加することにより許容されるような全反射による光捕獲作用が生じ得る。これらの効果は、電池の総光変換効率を改善し、さらに最適化するために組み合わされてもよい。もちろん、他に変形し、改良し、あるいは代替することも可能である。
図21は、大部分の反射光線が、薄膜光電池の方へ傾いた角度で向けられるように光学素子が選ばれるある特定の実施例を示している。この図は、一例に過ぎず、ここでの請求の範囲を不当に制限するものではない。本技術分野における通常の技術の1つによって、他の変形、修正および代替が認識されるであろう。ある特定の実施例では、薄膜の層は、導波路が、ガラス基板内の角度で衝突する光を捕獲できるのみでなく、関連のある光波長の範囲に対する薄膜内部の伝播を支持するように選ばれる。こういった訳で、関連のある全ての波長(例えば、最大太陽光スペクトル波長分布を構成する赤外線(IR)から近紫外線(near−UV))に対して、直交電圧(TE)、垂直偏波(transverse magnetic(商標名))、および伝播することが許容される薄膜電池の層内部のモードとより高次モードとの組み合わせの伝播を許容するように設計が実現される。導波路がこの設計目標を達するための適当な分散曲線は、許容されるシリコン厚さの範囲をもたらし、導波路クラッディングとして作用する光学結合層を選択するであろう。透明基板内の光の照射角は、電池での照射角の全範囲に渡るシステムの正確な操作を考慮した設計となるであろう。一旦薄膜内部で結合されると、前記伝播は、放射線の吸収と薄膜内部でキャリアを放出することによる電気への変換のため、大いに弱まる。縦方向のPN接合に一致する縦方向の光の伝播は、光変換効率を最大にするのを促すであろう。生成電力は、接触層1および接触層2によって貯蔵されるであろう。前記構造で実現されるのは、薄膜太陽電池の活性領域内部での結合光エネルギーを低下する反射の弱化を容易にする光結合層である。ある特定の実施例では、導波管は、マルチモードまたはシングルモードで動作可能であってもよい。さらに、前記波が、ある特定の実施例に係る入射光の内部反射に対する屈折率の違いを誘発するように内部材料を用いて作られてもよい。好ましい実施例では、シリコン−ゲルマニウムの薄層が、1または複数の光電子部の領域(中心領域など)への光閉じ込めを改善し、さらに最適化するために、シリコン構造の内部で挟まれていてもよい。もちろん、他に変形し、改良し、あるいは代替することも可能である。
図22ないし図24は、本発明の実施例に従うもう1つの単接合シリコン電池の構成を示している。この図は、一例に過ぎず、ここでの請求の範囲を不当に制限するものではない。本技術分野における通常の技術の1つによれば、他の変形、修正および代替が認識されるであろう。図示されるように、装置2200は、処理基板表面部を含む処理基板を有する。ある特定の実施例では、前記処理基板は、ガラス、ガラスセラミック、石英、あるいは、本技術分野における通常の技術の1つとして知られるような本明細書外に記載されたものと同様に、ここに記載された材料の全てであってもよい。ある特定の実施例では、前記装置は、1または複数の接着、光学および反射特性を改善および/またはさらに最適化するために、処理基板表面部の上を覆う界面材を有する。ある特定の実施例では、前記界面材は、二酸化ケイ素のような誘電材の薄層、あるいはタングステン、アルミニウム、プラチナ、チタンのような金属層、あるいはその他の型式の接着層であってもよい。ある特定の実施例では、前記界面層は、一般的にITOと呼ばれるインジウムスズ酸化物のように透明または光学的に透明である。もちろん、他に変形し、改良し、あるいは代替することも可能である。
ある特定の実施例では、前記装置は、前記界面材の上を覆う層転写フィルムを含む。ある特定の実施例では、前記層転写フィルムは、単結晶シリコン材料、単結晶ゲルマニウム材料などであってもよい。本実施例によれば、前記層は、層転写技術を用いて形成されてもよい。一例を挙げれば、このような層転写技術は、カリフォルニア州サンタクララにあるシリコン・ジェネシス社(Silicon Genesis Corporation)のナノ劈開(Nanocleave(商標名))プロセス、フランスにあるソイテック・エスエー(Soitec SA)社のスマートカット(SmartCut(商標名))プロセス、および日本の東京にあるキャノン株式会社のエルトラン(Eltran(商標名))プロセスと呼ばれるもの、前記プロセスと同様な全てのプロセスおよびその他であってもよい。もちろん、他に変形し、改良し、あるいは代替することも可能である。
再度図22を参照すると、前記装置は、1または複数の欠陥を有する単結晶シリコンまたは単結晶ゲルマニウム材料の蒸着層を含む。ある特定の実施例では、単結晶シリコンまたは単結晶ゲルマニウムの蒸着層は、前記層転写フィルムの上を覆うP型材料と前記P型材料の上を覆うN型材料を有する。図示されるように、前記P型材料は、接触目的でP+型材料を含み、前記P+型材料は、光吸収領域としてP型材料の上を覆う。ある特定の実施例では、蒸着層は、約1μmないし約50μmの範囲にある厚さを有するが、これ以外であってもよい。図示されるように、太陽または他のエネルギー源からの電磁放射は、N型材料の上を覆って供給されてもよい。もちろん、他に変形し、改良し、あるいは代替することも可能である。
さて、図23を参照すると、装置2300は、処理基板表面部を含む処理基板を有する。ある特定の実施例では、前記処理基板は、ガラス、ガラスセラミック、石英、あるいは、本技術分野における通常の技術の1つとして知られるような本明細書外に記載されたものと同様に、ここに記載された材料の全てであればよい。ある特定の実施例では、前記装置は、前記処理基板表面部の上を覆う界面材を有する。ある特定の実施例では、前記界面材は、あらゆる適切な型式の材料および/または処理基板の上を覆う層転写フィルムの接着または接合を促す材料であればよい。ある特定の実施例では、前記界面材は、二酸化ケイ素のような誘電材の薄膜、あるいはタングステン、アルミニウム、白金、チタンのような金属層、あるいは他の型式の接着層であればよい。ある特定の実施例では、前記界面層は、一般的にITOと呼ばれるインジウムスズ酸化物のように、透明または光学的に透明である。もちろん、他に変形し、改良し、あるいは代替することも可能である。
ある特定の実施例では、前記装置は、前記界面材の上を覆う層転写フィルムを含む。ある特定の実施例では、前記層転写フィルムは、単結晶シリコン材料、単結晶ゲルマニウム材料などであればよい。本実施例によれば、前記層は、層転写技術を用いて形成されてもよい。一例を挙げれば、このような層転写技術は、カリフォルニア州サンタクララにあるシリコン・ジェネシス社(Silicon Genesis Corporation)のナノ劈開(Nanocleave(商標名))プロセス、フランスにあるソイテック・エスエー(Soitec SA)社のスマートカット(SmartCut(商標名))プロセス、および日本の東京にあるキャノン株式会社のエルトラン(Eltran(商標名))プロセスなどと呼ばれるもの、前記プロセスと同様なあらゆるプロセスおよびその他であってもよい。もちろん、他に変形し、改良し、あるいは代替することも可能である。
再度図23を参照すると、前記装置は、1または複数の欠陥を有する単結晶シリコンあるいは単結晶ゲルマニウム材料の蒸着層を有する。ある特定の実施例では、単結晶シリコンまたは単結晶ゲルマニウムの蒸着層は、接合用のN型材料と、前記層転写フィルムの上を覆う関連する外部接触と、N型材料の上を覆うP型材料とを有する。図示されるように、P型材料は、P型材料の上を覆うP+型材料を有し、前記P+材料は、N型材料の上を覆う。ある特定の実施例では、前記蒸着層は、約1μmないし約50μmの範囲にある厚さを有するが、それ以外であってもよい。図示されるように、太陽または他のエネルギー源からの電磁放射は、P+型材料の上を覆って供給されてもよい。あるいは、電磁放射は、前記処理基板の裏側表面上に供給されてもよく、前記裏側表面は、上面を覆う光電部に前記電磁放射を透過する。もちろん、他に変形し、改良し、あるいは代替することも可能である。
さて、図24を参照すると、装置2400は、処理基板表面部を含む処理基板を有する。ある特定の実施例では、前記処理基板は、ガラス、石英、ガラスセラミック、あるいは、本技術分野における通常の技術の1つとして知られるような本明細書外に記載されたものと同様に、ここに記載された材料の全てであればよい。ある特定の実施例では、前記装置は、前記処理基板表面部の上を覆う界面材を有する。ある特定の実施例では、前記界面材は、二酸化ケイ素のような誘電材料の薄層、あるいはタングステン、アルミニウム、白金、チタンのような金属層、あるいは他の型式の接着層であってもよい。ある特定の実施例では、前記界面層は、一般的にITOと呼ばれるインジウムスズ酸化物のように透明または光学的に透明である。もちろん、他に変形し、改良し、あるいは代替することも可能である。
ある特定の実施例では、前記装置は、前記界面材の上を覆う層転写フィルムを有する。ある特定の実施例では、前記層転写フィルムは、単結晶シリコン材、単結晶ゲルマニウム材などであればよい。本実施例によれば、前記層は、層転写技術を用いて形成されてもよい。一例を挙げれば、このような層転写技術は、カリフォルニア州サンタクララにあるシリコン・ジェネシス社(Silicon Genesis Corporation)のナノ劈開(Nanocleave(商標名))プロセス、フランスにあるソイテック・エスエー(Soitec SA)社のスマートカット(SmartCut(商標名))プロセス、および日本の東京にあるキャノン株式会社のエルトラン(Eltran(商標名))プロセスなどと呼ばれるもの、前記プロセスと同様なあらゆるプロセスおよびその他であってもよい。もちろん、他に変形し、改良し、あるいは代替することも可能である。
再度図24を参照すると、前記装置は、1または複数の欠陥を有する単結晶シリコンまたは単結晶ゲルマニウム材料の蒸着層を有する。ある特定の実施例では、単結晶シリコンまたは単結晶ゲルマニウムの蒸着層は、前記層転写フィルムの上を覆う電気接触用のP+型材料と、P+型材料の上を覆う光吸収部用のP−型材料を有する。ある特定の実施例では、前記P型材料は、界面層であって上面を覆うアモルファスシリコン材料と、電池の電気光電子PN接合を形成するためにエミッターとして機能するアモルファスシリコン材料の上を覆うN+型材料を有する。ある特定の実施例では、前記N+型材料も、特性の点でアモルファスである。ある特定の実施例では、蒸着層は、約1μmないし約50μmの範囲の厚さを有するが、それ以外であってもよい。図示されるように、太陽または他のエネルギー源からの電磁放射は、P+型材料の上を覆って供給される。あるいは、電磁放射は、処理基板の裏側表面上に供給されてもよく、前記裏側表面は、上面を覆う光電部に前記電磁放射を透過する。もちろん、他に変形し、改良し、あるいは代替することも可能である。
図25は、本発明のもう一つの実施例に係る二重接合太陽電池装置を示している。この図は、一例に過ぎず、ここでの請求の範囲を不当に制限するものではない。本技術分野における通常の技術の1つによって、他の変形、修正および代替が認識されるであろう。図示されるように、前記装置は、処理基板表面部からなる処理基板を含む。ある特定の実施例では、前記処理基板は、ガラス、石英、ガラスセラミック、あるいは、本技術分野における通常の技術の1つとして知られるような本明細外に記載されたものと同様に、ここに記載された材料の全てであればよい。ある特定の実施例では、前記装置は、前記処理基板表面部の上を覆う界面材を有してもよい。ある特定の実施例では、前記界面材は、二酸化ケイ素のような誘電材の薄膜、あるいはタングステン、アルミニウム、白金、チタンのような金属層、あるいは他の型式の接着層であればよい。ある特定の実施例では、前記界面層は、一般的にITOと呼ばれるインジウムスズ酸化物のように透明または光学的に透明である。もちろん、他に変形し、改良し、あるいは代替することも可能である。
ある特定の実施例では、前記装置は、処理基板の処理基板表面部に転写される第1のシリコンまたはゲルマニウム材料の層を有する。前記装置は、第1のシリコンまたはゲルマニウムの材料層から調成される劈開部も有する。本実施例によれば、前記層は、層転写技術を用いて備えられてもよい。一例を挙げれば、このような層転写技術は、カリフォルニア州サンタクララにあるシリコン・ジェネシス社(Silicon Genesis Corporaion)のナノ劈開(Nanocleave(商標名))プロセス、フランスにあるソイテック・エスエー(Soitec SA)社のスマートカット(SmartCut(商標名))プロセス、および日本の東京にあるキャノン株式会社のエルトラン(Eltran(商標名))プロセスなどと呼ばれるもの、前記プロセスと同様なあらゆるプロセスおよびその他であってもよい。もちろん、他に変形し、改良し、あるいは代替することも可能である。
ある特定の実施例では、前記装置は、蒸着される第1の単結晶シリコンまたはゲルマニウム材料も有し、前記第1の単結晶シリコンまたはゲルマニウム材料は、少なくともグロー放電CVD、プラズマCVD、光強化CVDまたは熱CVD、およびシランおよび/またはゲルマン種から選ばれるプロセスを用いて、第1のシリコンまたはゲルマニウム材料の層を厚くするように劈開表面の上を覆って備えられる。ある特定の実施例では、厚膜は、P型とN型材料またはN型とP型材料またはあらゆる他の組み合わせから構成されてもよく、光電子材を形成する。さらに、前記装置は、ある特定の実施例に係る第1の光電子装置の上を覆う追加的な光電子装置を形成するために、P型とN型材料の他の組み合わせを含んでもよい。
好ましい実施例では、前記装置は、処理基板の裏側部の上を覆うポリまたはアモルファス材料を形成するために、処理基板の裏側部の上を覆って蒸着される第2の材料を有してもよい。好ましい実施例では、前記処理基板は、バッチ型反応器に投入され、前記バッチ型反応器によって、前記処理基板のそれぞれの側面が露出され得る。単結晶材料が、層転写単結晶材料で形成するにつれ、アモルファスまたは多結晶材料が、ある特定の実施例に係る処理基板の裏側に形成する。あるいは、第2の層転写単結晶材料が、ある特定の実施例に係る処理基板の裏側に備えられてもよい。このような第2の層は、シード材料として、処理基板の裏側の上面に転写されて第2の層の上を覆って形成される第2の単結晶材料に用いられる。もちろん、他に変形し、改良し、あるいは代替することも可能である。
図26は、本発明のもう一つの実施例に係る三重接合太陽電池を示している。この図は、一例に過ぎず、ここでの請求の範囲を不当に制限するものではない。本技術分野における通常の技術の1つによって、他の変形、修正および代替が認識されるであろう。図示されるように、前記装置は、処理基板表面部からなる処理基板を含む特定の実施例では、前記処理基板は、ガラス、石英、ガラスセラミック、あるいは、本技術分野における通常の技術の1つとして知られるような本明細書外に記載されたものと同様に、ここに記載された材料の全てであってもよい。ある特定の実施例では、前記装置は、前記処理基板表面部の上を覆う界面材を有してもよい。ある特定の実施例では、前記界面材は、二酸化ケイ素のような誘電材の薄膜、あるいはタングステン、アルミニウム、白金、チタンのような金属層、あるいは他の型式の接着層であればよい。ある特定の実施例では、前記界面層は、一般的にITOと呼ばれるインジウムスズ酸化物のように透明または光学的に透明である。もちろん、他に変形し、改良し、あるいは代替することも可能である。
ある特定の実施例では、前記装置は、処理基板の処理基板表面部に転写される第1のシリコンまたはゲルマニウム材料の層を有する。前記装置は、第1のシリコンまたはゲルマニウムの層から調成される劈開部も有する。本実施例によれば、前記層は、層転写技術を用いて形成されてもよい。一例を挙げれば、このような層転写技術は、カリフォルニア州サンタクララにあるシリコン・ジェネシス社(Silicon Genesis Corporation)のナノ劈開(Nanocleave(商標名))プロセス、フランスにあるソイテック・エスエー(Soitec SA)社のスマートカット(SmartCut(商標名))プロセス、および日本の東京にあるキャノン株式会社のエルトラン(Eltran(商標名))プロセスなどと呼ばれるもの、前記プロセスと同様なあらゆるプロセスおよびその他であってもよい。もちろん、他に変形し、改良し、あるいは代替することも可能である。
ある特定の実施例では、前記装置は、蒸着される第1の単結晶シリコンまたはゲルマニウム材料も有し、前記第1の単結晶シリコンまたはゲルマニウム材は、少なくともグロー放電CVD、プラズマCVD、光強化CVDまたは熱CVD、およびシランおよび/またはゲルマン種から選ばれるプロセスを用いて、第1のシリコンまたはゲルマニウム材料の層を厚くするように劈開表面の上を覆って備えられる。ある特定の実施例では、厚膜は、P型とN型材料またはN型とP型材料またはあらゆる他の組み合わせから構成されてもよく、光電子材を形成する。さらに、前記装置は、ある特定の実施例に係る第1の光電子装置の上を覆う追加的な光電子装置を形成するために、P型とN型材料の他の組み合わせを含んでもよい。好ましい実施例では、図示されるように、前記装置は、前記第1の光電子装置の上を覆う第2の光電子装置を含む。
ある特定の実施例では、前記装置は、処理基板の裏側部の上を覆うポリまたはアモルファス材料を形成するために、処理基板の裏側部の上を覆って蒸着される第2の材料を有してもよい。好ましい実施例では、前記処理基板は、バッチ型反応器に投入され、前記バッチ型反応器によって、前記処理基板のそれぞれの側面が露出され得る。単結晶材料が層転写単結晶材料に形成するにつれ、アモルファスまたは多結晶材料がある特定の実施例に係る処理基板の裏側に形成する。あるいは、第2の層転写単結晶材料が、ある特定の実施例に係る処理基板の裏側に備えられてもよい。このような第2の層は、シード材料として、処理基板の裏側の上面に転写されて第2の層の上を覆って形成される第2の単結晶材料に用いられる。さらなる実施例では、前記装置は、前記処理基板の裏側の上を覆う光電子装置の他の組み合わせを含んでもよい。もちろん、他に変形し、改良し、あるいは代替することも可能である。
特に言及され、さらにここに記述されたように、前記装置によって明らかにされるのは、処理基板の両側面を同時に蒸着できるバッチ式炉型蒸着プロセスを用いることの利点である。図25および図26において、より狭いバンドギャップのシリコン−ゲルマニウム(SiGe)接合が、スペクトルの赤外線(IR)部分に関してより長い波長を効率的に吸収する間に、処理基板の上端では、二重接合太陽電池を開発するために、単結晶シリコン−ゲルマニウム(SiGe)合金と上端のシリコン層が成長する。前記二重接合太陽電池では、前記上端のシリコンセルが、青色スペクトルおよび可視スペクトルを変換する際の効率を最大にするために最大のバンドギャップセルとなっている。
ある特定の実施例では、前記装置は、ガラスの裏側で同時に蒸着される同一の裏面層を有する。単結晶層転写フィルムテンプレートが存在せず、単結晶法で再成長する能力がないので、前記材料は、構造に関してアモルファスまたは多結晶となる。シリコンおよびシリコン−ゲルマニウム合金のアモルファス相は、赤外領域でさらに吸収されることがよく知られているので、この特徴は、有効に利用され得る。そのため、この裏面接合は、初めの2つの接合によって吸収されない残差赤外線(IR)スペクトルを吸収するのに有効な追加の収集接合として用いられてもよい。光はガラスを透過し、この下層の接合によって吸収される。セル同士の電気接続は、三重接合光電子電池全体をもたらすために、直列あるいは並列で、それぞれ独立に外部で接続されてもよい。この構成の複合効果は、シリコン単接合セルで得られる18〜24%から25〜35%以上まで有効な総変換効率が改善されることであってもよい。III/V族またはII/VI族合金の蒸着によって、多重接合の高効率太陽電池も製造できる。もちろん、他に変形し、改良し、あるいは代替することも可能である。
もう一つの実施例では、前記装置は、相互接続部および/または他の特徴を含んでもよい。一例として、ビア構造が、第1の処理基板側面に形成される第1の光電子装置と第2の処理基板側面に形成される第2の光電子装置を相互接続するために、処理基板に形成されてもよい。ある特定の実施例では、前記ビア構造は、処理基板内部の溝または開口であってもよい。このような溝および/または開口は、ある特定の実施例に従って、第1の光電子装置の第1の電極部材と第2の光電子装置の第1の電極部材とを電気的に接続するために、導電性材料で充填されている。他の実施例では、それぞれの光電子装置は、それぞれの光電子装置の周辺部に結合される接続デバイスによって、互いに電気的に接続されている。例えば、周辺機器の接続は、2つの内部の接合を共に接触するために、ラップアラウンド蒸着でなされてもよい。さらに他の実施例では、前記装置は、ビア構造と周辺機器の接続との組み合わせを含んでもよい。もちろん、他に変形し、改良し、あるいは代替することも可能である。
ある特定の実施例では、本発明は、太陽電池などの光電子材料用基板の製造方法を提供する。本製造方法は、単結晶シリコン基板、単結晶ゲルマニウム基板、シリコン−ゲルマニウム基板などのドナー基板を形成する工程を含む。好ましい実施例では、前記ドナー基板は、劈開部、表面部、および前記劈開部と前記表面部との間で定められる第1のシリコン材料層を含む。本製造方法は、前記劈開部の周辺内部のドナー基板の一部を分離するために、前記第1のシリコン材料層を処理基板の処理基板表面部に転写する工程を有する。本製造方法は、第1のシリコン材料層の上を覆う劈開表面部の形成を促すために、前記表面部を処理基板の処理基板表面部に結合(接続または接着など)する。本製造方法は、電子サイクロトロン共鳴(「ECR」)プラズマ蒸着システムまたはその他のプラズマを基礎とするシステムのように、シラン種を含むガスを反応室に供給する工程を有する。好ましい実施例では、本発明は、反応室内部のシラン種を用いる。一例として、本製造方法は、一般的にPECVDと呼ばれるプラズマ強化化学蒸着、一般的にLE−PECVDと呼ばれる低エネルギープラズマ強化化学蒸着などを含む1または複数のガス反応技術を用いて蒸着を促すために、シラン種を含むガスを反応する。本明細書で用いられるように、「PECVD」という用語は、ありふれた意味で解釈されるべきであり、限定されずに、誘電結合プラズマ蒸着、容量結合プラズマ蒸着などを含む。本発明は、シラン種を用い、第1のシリコン材料層の上を覆って第2の材料層を形成する工程を有する。本実施例によれば、本製造方法は、第2のシリコン材料層を結晶化するために、熱処理プロセスで第2の材料層を処理する工程を有する。本実施例によれば、他のシラン種が用いられてもよい。ある特定の実施例では、特定のシラン種の選択は、望ましいあるいは許容される温度、圧力、蒸着中のシラン種の希釈、これらの条件で達成可能な蒸着速度、生成蒸着膜の質に依存する。ある特定の実施例では、本製造方法は、適切な希釈率で水素(H)ガスと混合されたモノシラン(SiH)ガスを用いる。さらにもう1つのある特定の実施例では、本製造方法は、適切な比率で水素(H)およびヘリウムガスと混合されたモノシラン(SiH)ガスを用いる。もちろん、他に変形し、改良し、あるいは代替することも可能である。
本発明を使用することで、数多くの恩恵が、既存の技術を超えて得られる。特に本発明は、ある特定の実施例に係るガラス基板上面の薄い光電子フィルムを選択的に劈開するために、制御されたエネルギーと選択された条件を用いる。ある特定の実施例では、本発明の製造方法および装置は、ガラス上に極めて高品質の光電子材料を備え、前記光電子材料は、パッケージング材の一部として用いられてもよい。好ましい実施例では、本発明の製造方法および構造で実現されるのは、太陽電池を使用して高効率の電力を供給するための単結晶シリコンである。さらに、本発明の製造方法で実現されるのは、高成長速度厚膜プロセスであり、前記高成長速度厚膜プロセスは、ある特定の実施例に従って、望ましい温度特性を有する。つまり、本製造方法で実現されるのは、ガラス処理基板材に損傷を与えずに結晶材料または結晶化される材料の蒸着である。他の実施例では、本製造方法は、支持部材の正面側および裏面側で光電子材料の形成を許容する。本実施例によれば、1または複数のこれらの恩恵が得られるであろう。これらのおよび他の恩恵は、本明細書、特に以下で詳述される。
結論として、本発明に係る光電子材料用基板の製造方法の実施例は、劈開部、表面部および前記劈開部と前記表面部との間で定められる第1の結晶材料(単結晶シリコン、単結晶ゲルマニウム、シリコン−ゲルマニウム)層を有するドナー基板を形成する工程を含む。一例として、本製造方法は、第1のシリコン材料層を、ガラス、石英、ガラスセラミック、光学透明性材料などの処理基板の処理基板表面部に転写する工程を有する。本製造方法は、第1のシリコン材料層の上を覆う劈開表面部の形成を促して前記表面部を前記処理基板の処理基板表面部に結合するために、前記劈開部の周辺内部のドナー基板の一部を分離する。本製造方法は、グロー放電CVD、プラズマCVD、光強化CVDまたは熱CVDを含む1または複数のガス反応法を用いて蒸着を形成するために、シラン種を含むガスを反応する工程を有する。本製造方法は、反応室でシラン種を用いてグロー放電を生じるために、シラン種を含むガスを反応室に供給する工程を有する。好ましい実施例では、本製造方法は、第1のシリコン材料層の上を覆う材料を結晶化するような材料の固相エピタキシャル再成長速度と同じか、これよりも速い蒸着速度で第1のシリコン材料層を厚くするために、劈開表面の上を覆うシラン種を含むプラズマ、グロー放電または熱CVDを用いて、材料を蒸着する工程を有する。
太陽電池などの光電子材料用基板の製造方法のもう一つの実施例は、単結晶シリコン基板、単結晶ゲルマニウム基板、シリコン−ゲルマニウム基板などのドナー基板を形成する工程を有する。好ましい実施例では、前記ドナー基板は、劈開部、表面部および前記劈開部と前記表面部との間で定められる第1のシリコン材料層を含む。本製造方法は、前記劈開部の周辺内部のドナー基板の一部を分離するために、前記第1のシリコン材料層を処理基板の処理基板表面部に転写する工程を有する。本製造方法は、前記第1のシリコン材料層の上を覆う劈開表面部の形成を促すために、前記表面部を前記処理基板の処理基板表面部に結合する(接続または接着など)。本製造方法は、シラン種を含むガスを電子サイクロトロン共鳴(「ECR」)プラズマ蒸着装置または他の適切なプラズマを基礎とする装置のような反応室に供給する工程を有する。一例として、本製造方法は、一般的にPECVDを呼ばれるプラズマ強化化学蒸着などを含む1または複数のガス反応技術を用いて蒸着を促すために、シラン種を含むガスを反応する。本製造方法は、シラン種を用い、第1のシリコン材料層の上を覆う第2の材料層を形成する工程を有する。
本発明の実施例に基づいて、光電子材料の製造に関係する技術が提供される。より詳細には、本発明は、層転写技術を用いた太陽電池装置の製造用の多層基板構造についての製造方法および構造を含む技術を提供する。一方で、本発明は、より広範に適用できることが認識されるであろう。つまり、本発明は、集積半導体装置の三次元パッケージング(ウエーハスケールなど)、フォトニック装置、ピエゾエレクトリック・デバイス、フラットパネルディスプレイ、マイクロマシン技術(”MEMS”)、ナノテクノジー構造、センサー、アクチュエーター、太陽電池、生物学的装置および生医学的装置用などの他の型式の基板に適用することもできる。
図27は、本発明の実施形態に係るソーラーモジュール用の光電子セルを製造する方法2700を示している。その製造方法は、以下に要約される。
(1)シリコン、ゲルマニウム、シリコン−ゲルマニウム合金、ヒ化ガリウム、あらゆるIII/V族化合物およびその他の材料などの半導体基板を形成する(ステップ2701)。
(2)第1のシリコン材料層を定めるために(ステップ2705)、劈開平面(複数の粒子、蒸着物質、またはこれらの任意の組み合わせなどを含んでいる)を形成する(ステップ2703)。
(3)第1の材料層をシリコン支持部材の上を覆う脱着可能な材料に転写する(ステップ2707)。
(4)前記脱着可能な材料の上を覆う全材料層を形成するために、前記第1の材料層の上を覆う第2の材料層を形成する(ステップ2709)。
(5)全材料層の第1の部分(例えば、第1の端面)に、第1の光電子セル表面を形成する(ステップ2711)。
(6)前記第1の光電子セル表面の上を覆う表面部を形成する。
(7)キャリア部材を第1の光電子セル表面の表面部に取り付ける(ステップ2713)。
(7)全材料層の第2の部分を露出するため、脱着可能な材料を含むシリコン支持部材を除去する(ステップ2715)。
(8)全材料層の第2の部分で、第2の光電子セル表面を形成する(ステップ2717)。
(9)他のプロセスを実行する(ステップ2719)。
(10)ソーラーモジュールを形成する(ステップ2721)。
(11)停止。
上記一連のステップにより、本発明の実施例に係る半導体基板で光電子セルを製造する方法が提供される。図示されるように、本製造方法により、さらなる処理のためにソーラーモジュールの内部に光電子セルを形成するようなステップの組み合わせが用いられる。ある特定の実施例では、支持部材基板は、半導体材料層に脱着可能な材料を形成する。前記支持部材は、工程を通じて全半導体材料層に残存する薄い基板であると都合よい。前記支持部材は、ある特定の実施例において、再使用できる。ある特定の実施例に応じて、半導体材料層が、支持部材などの他の基板構造へ転写されている間に、ドナー基板は、選択的に除去され、および/または、切り取られる。他の代替手段は、請求の範囲から逸脱することなく複数のステップが追加されたり、1または複数のステップが除去されたり、あるいは1または複数のステップが異なるシーケンスに備えられる場合に、実現されてもよい。本製造方法の更なる詳細は、本明細書、特に以下で詳述される。
図28ないし図39は、本発明の実施例に係る層転写基板での光電子セルの簡単な製造方法を示している。これらの図は、請求の範囲を過度に限定しない一例にすぎない。本技術分野における通常の技術の1つによれば、他の変形、修正および代替が認識できるであろう。図に示すように、本製造方法は、半導体基板200またはドナー基板部材を調成する工程を含んでいる。半導体基板の例は、シリコン、ゲルマニウム、シリコン−ゲルマニウムのような合金、ヒ化ガリウムのようなIII/V材料などを含んでいる。本実施例によれば、半導体基板は、単一材料あるいは種々の層の組み合わせで形成されてもよい。もちろん、他に変形、修正、あるいは代替することも可能である。
ある特定の実施例では、半導体基板は、第1の材料層2801および表面領域2803を含んでいる。好ましい実施例では、半導体基板は、劈開部2805を含んでいてもよく、その劈開部2805は、半導体基板層2807の内部での半導体材料層を定める。第1の材料層は、複数の粒子、蒸着物質、またはこれらのあらゆる組み合わせなどを含んでもよい。ある特定の実施例では、半導体材料層は、結晶性シリコン(例えば、単結晶シリコン)で構成され、前記結晶性シリコンは、横方向に広がるエピタキシャルシリコン層を含んでいる。ある特定の実施例では、シリコン表面部2803は、二酸化ケイ素のような酸化物の薄層を有してもよい。もちろん、他に変形、修正、あるいは代替することも可能である。
本実施例によれば、前記劈開部は、多様な技術を用いて形成される。つまり、前記劈開部は、埋入粒子、蒸着層、拡散材料、パターン領域およびその他の技術のあらゆる適切な組み合わせを用いて形成されてもよい。ある特定の実施例では、本製造方法は、インプラントプロセスを用いてドナー基板と呼ばれる半導体基板の頂部面の至るところに所定の活性粒子を選択深度まで導入し、材料の「薄膜」と呼ばれる半導体材料部の層を定める。多様な技術は、ある特定の実施例に係る単結晶シリコンウエーハに前記活性粒子を埋入するのに用いられてもよい。これらの技術は、アプライド・マテリアル社(Applied Materials,Inc)などのような会社から製造されたビーム・ライン・イオン注入装置などを用いたイオン注入を含んでいる。あるいは、注入は、プラズマ浸漬イオン注入(PIII)技術を用いることで生じ、イオンシャワーおよびその他の質量的および非質量的な特定の技術は、ある特定の実施例に係る大部分の表面部に対して特に効果的である。このような技術を組み合わせて用いられることもできる。もちろん、使用される技術はアプリケーション次第である。
前記アプリケーションによれば、一般的により小さい質量粒子が、好ましい実施例に係る材料部への損傷の可能性を減ずるように選ばれる。つまり、より小さい質量粒子は、基板材料を通って、粒子が横切る材料部に実質上損傷を与えることなく、選択深度まで進む。例えば、より小さい質量粒子(またはエネルギー粒子)は、ほぼ全ての荷電(正または負など)および/または中性原子または分子、または電子などであってもよい。特定の実施例では、前記粒子は、水素イオンおよびその同位体のようなイオン、あるいはヘリウムおよびその同位体およびネオンのような希ガスイオンまたは実施例に係る他のイオンなどを含む中性および/または荷電粒子であってもよい。前記粒子は、水素ガス、水蒸気、メタンなどのガスおよび水素化合物および他の軽原子質量粒子のような化合物から得られてもよい。あるいは、前記粒子は、上記粒子、および/またはイオン、および/または分子種、および/または原子種のあらゆる組み合わせであってもよい。前記粒子は、一般的に、前記表面を通って前記表面の表面下にある選択深度まで入り込むために、十分な運動エネルギーを有する。
一例として、シリコンウエーハへの注入種として水素を用いた場合、前記注入プロセスは、特定の設定条件を用いて実行される。注入量は、約1015ないし約1018原子/cmの範囲にあり、1016原子/cm以上であると好ましい。注入エネルギーは、約1KeVないし約1MeVの範囲にあり、一般的には50KeVである。注入温度は、約−20ないし約600℃の範囲にあり、相当量の水素イオンが、注入されたシリコンウエーハから拡散し、注入損傷およびストレスをアニールする可能性を避けるために、約400℃以下であると好ましい。前記水素イオンは、凡そ±0.03ないし±0.05ミクロンの精度で、前記選択深度までシリコンウエーハ内部に選択的に導入されてもよい。もちろん、用いられるイオンの種類およびプロセス条件は、アプリケーション次第である。
事実上、前記注入粒子は、前記基板の頂面に平行な平面に沿って、前記選択深度でストレスを加え、または破損エネルギーを減ずる。前記エネルギーは、ある程度、注入種と注入条件に依存する。これらの粒子は、前記選択深度で前記基板の破損エネルギーレベルを減ずる。これにより実現されるのは、注入平面に沿って、前記選択深さで制御される劈開である。注入は、すべての内部の場所での基板のエネルギー状態が、前記基板材料で不可逆的な破損(分離または劈開など)を開始するのに不十分な条件下で生じてもよい。しかしながら、注入は、一般的に、熱アニールまたは速熱アニールなどの以降の熱処理によって典型的には少なくとも部分的に修復される基板で所定量の欠陥(ミクロ欠陥)を促す。もちろん、他に変形、修正、あるいは代替することも可能である。
図29を参照すると、本製造方法は、半導体基板の表面部と支持部材基板2901を接続する(2900)。ある特定の実施例では、前記支持部材基板は、適切な材料で作られてもよい。好ましい実施例では、前記支持部材は、材料層と同様な材料で作れられてもよく、前記材料層は、熱的および構造的特性に適合するために、十分な結晶性を備える。つまり、前記支持部材は、ある特定の実施例に係る単一のシリコンウエーハ、エピタキシャルウエーハまたは層転写シリコン(絶縁基板上の層転写シリコンなど)のようなシリコンウエーハで作られてもよい。もう一つの実施例では、前記支持部材は、多層、複合材料などから形成されてもよい。さらに、前記支持部材は、ある特定の実施例に係るこれらのあらゆる組み合わせを含む誘電材(ガラス、石英など)または金属材料などから形成されてもよい。もちろん、他に変形、修正、あるいは代替することも可能である。
図示されるように、前記支持部材は、表面部2903、底部2905、および所定の層2907を有する。好ましい実施例では、前記支持部材の表面部は、接着可能であるが脱色可能でもある材料で特徴付けられる。好ましい実施例では、前記表面部は、低い表面粗さであるが、表面材料粗さにより高度に上面を覆う表面材料によって特徴付けられ、前記表面材料は、接着を容易にするが、他の条件下では脱着可能である。ある特定の実施例では、「低い」という用語は、ここに記述される望ましい機能を発揮するために、本技術分野における通常の技術の1つと一致する意味で解釈されるべきである。さらにもう一つの実施例では、前記表面部は、表面粗さによって特徴付けられ、前記表面粗さは、接着を容易にするが、他の条件下では脱着可能である。ある特定の実施例では、前記表面粗さは、シリコン支持部材の上を覆う酸化物材料に備えられる。前記酸化物材料は、約3オングストローム自乗平均ないし約100オングストローム自乗平均の範囲にある表面粗さを有する。これらのおよび他の粗さ部分は、10ミクロンを単位として、約10ミクロンの測定領域を備えた原子間力顕微鏡(AFM)を用いて測定されたものとして理解される。さらにもう一つの実施例では、前記シリコン表面粗さは、上述した範囲にあってもよく、一方、前記酸化物表面は、より完全な接着表面を実現して、平滑表面となっている。もちろん、他に変形、修正、あるいは代替することも可能である。
もう一つの実施例では、前記表面粗さは、多孔性材料によって形成されてもよい。一例として、前記多孔性材料は、多孔性であり約10ないし1000nm以下の平均孔サイズを有するシリコンであってもよい。他の種類の多孔性材料が用いられてもよい。さらに他の実施例では、前記脱着可能な材料が、接着層であってもよく、前記接着層は、脱着可能な材料、または接着を容易にするが脱着可能である固有のおよび/または空間的な特徴を有する他の種類の材料である。もう一つの脱着可能な材料は、剥離層内部の相変化または材料変化によって、エピタキシャル成長プロセスステップ間のような高温プロセスが接着エネルギーを減ずることができ、これにより、低温相転写が生じるのを許容し、さらに、前記支持部材の次のエピタキシャル(post−epitaxial)な剥離を促す材料であってもよい。ある特定の実施例では、前記支持部材の表面部は、ドナー基板200に備えられる表面部203で接続または接着されている。同じ参照番号が、この図中でおよびその他で使用されているが、ここでの請求の範囲を限定することを意図したものではない。さらに詳細な接続プロセスまたは接着プロセスは、本明細書、特に以下で詳述される。
ある特定の実施例では、接着プロセスは、光学プラズマ活性プロセス後に、ドナー基板の表面と支持部材基板とを接続することで実行される。前記光学プラズマ活性プロセスは、用いられる基板に依存する。このようなプラズマ活性プロセスは、基板の表面部を洗浄したり、活性化したりする。一例としてシリコン基板では、前記プラズマ活性プロセスは、例えば、20℃ないし40℃の範囲の温度で、窒素含有プラズマを用いて実現されてもよい。好ましくは、前記プラズマ活性プロセスは、カリフォルニア州サンノゼにあるシリコン・ジェネシス社(Silicon Genesis Corporation)で製造された2周波共用プラズマ活性化装置で実行される。もちろん、他に変形、修正、あるいは代替することも可能であり、これらは本明細書外に記載されたものと同様に、ここに記載される。
これらの基板のそれぞれは、図30に示すように、好ましい実施例に係る結合基板構造400を形成するために、互いに接着される。図示されるように、前記ドナー基板は、前記支持部材基板に接着される。前記基板は、エレクトロニック・ヴィジョン・グループ(Electlonic Vision Group)によって製造されたEVG850ボンディング工具または直径200mmまたは300mmのウエーハのような基板サイズのための他の同様なプロセスを用いて接着されてもよい。カール・サス(Karl Suss)によって製造されたような他の種類の工具が用いられてもよい。もちろん、他に変形、修正、あるいは代替することも可能である。好ましくは、前記支持部材基板と前記ドナー基板の間の接着は、一時的であるが1または複数の高温プロセスのステップを実行する間では信頼性が十分に高く、さらに以下で記述される後続のステップの間に取り外されてもよい。つまり、前記接着は一時的なものであり、取り外しできる。もちろん、他に変形、修正、あるいは代替することも可能である。
接着後には、前記接着基板構造は、特定の実施例に従って第1の熱処理に曝される。前記第1の熱処理は、ある特定の実施例において、前記処理基板に結合されるサーマルプレートのような発熱体を用いた加熱処理であってもよい。もう一つの実施例では、前記第1の熱処理は、ドナー基板に結合されるサーマルプレートのような発熱体を用いた加熱処理であってもよい。前記第1の熱処理は、前記ドナー基板の層の一部と前記処理基板の一部を通して、温度勾配を提供する。加えて、前記第1の熱処理は、所定の温度で所定時間、前記接着基板構造を保持する。好ましい実施例に従えば、温度は、シリコンドナー基板および前記支持部材基板に対して互いを接着するために、約200または250℃から約400℃の範囲にあれば好ましく、約1時間程度約350℃であればより好ましい。ある特定の実施例によれば、他に変形、修正、あるいは代替することも可能である。
ある特定の実施例では、前記両基板は、低温熱ステップを用いて共に接続されたり、融着されたりする。低温熱処理プロセスは、一般的に、前記注入粒子が、前記材料部に過度のストレスを及ぼさないことを保証し、前記材料部は、非制御な劈開活動を生じる。ある特定の実施例では、前記低温接着プロセスは、自己接着プロセスによって生じる。あるいは、種々の他の低温技術が、前記ドナー基板表面部を前記支持部材基板に接続するために用いられてもよい。例えば、2つの基板を共に接続するために、静電接着技術が用いられてもよい。特に、一方のまたは双方の基板表面が、他方の基板表面に引き付けられるように変化する。加えて、前記ドナー基板は、種々の他の公知技術で前記支持部材基板に融着されてもよい。もちろん、用いられる他の技術は、アプリケーション次第である。
図31を参照すると、本製造方法は、前記第1の半導体材料層が、前記支持部材基板に接続されたまま、前記ドナー基板から第1の半導体材料層を除去するために(3103)、選択された劈開平面の一部に供給されるエネルギー3101を用いて、劈開プロセスを開始する工程を有する。ある特定の実施例によれば、任意の他の変形例があってもよい。例えば、前記劈開プロセスは、前記材料厚さが前記支持部材基板に接続されたまま、ドナーから前記材料厚さを選択的に除去するために、劈開正面を伝播する工程を用いた制御された劈開プロセスであってもよい。また、もう一つの劈開技術が用いられてもよい。このような技術が包含するのは、カリフォルニア州サンノゼにあるシリコン・ジェネシス・コーポレーション(Silicon Genesis Corporation)のナノ劈開(Nanocleave(商標名))プロセスと呼ばれる技術、フランスのソイテック・エスエー(Soitec SA)のスマートカット(SmartCut(商標名))プロセスによって用いられるような熱放出、日本の東京にあるキャノン株式会社のエルトラン(Eltran(商標名))プロセスによって用いられるような多孔性シリコン劈開層、および同様なプロセスなどであるが、これに限定されるものではない。そして、本製造方法は、ある特定の実施例に係る前記支持部材基板に第1の材料層を備えるドナー基板の残存部を除去する。前記ドナー基板3105の残存部は、好ましい実施例に従って、もう一つのドナー基板として用いられてもよい。
図32に示すように、本製造方法は、生成接着構造3200を備える。前記生成接着構造は、半導体材料の上を覆う層を有する。ある特定の実施例では、本製造方法は、後続の処理のために半導体材料層と半導体支持部材の間で実質的に永続する接着を形成するために、前記生成接着構造を接着プロセスに適用するが、前記実質的に永続する接着は脱着可能でもある。好ましい実施例では、前記接着プロセスは、熱処理を含む。前記熱処理は、適切な速熱プロセス、レーザー照射を用いた速熱プロセスなどであってもよい。ある特定の実施例では、前記熱処理は、光源(単色ランプ、フラッシュランプなど)を用いて、前記生成接着構造に放射線を照射する工程を有する。もちろん、他に変形、修正、あるいは代替することも可能である。
図32に示すように、本製造方法は、表面準備プロセス3201にも前記生成接着構造を適用する。このような表面準備プロセスは、プラズマ活性またはプラズマ洗浄、エッチングステップ、研磨ステップ、任意の実施例における組み合わせを含んでもよい。ある特定の実施例では、前記表面準備は、蒸着および/または形成プロセスを用いた層転写材料の厚膜化に所望の表面特性をもたらす。例えば、次の劈開表面が、エピタキシャルフィルム品質を最適化および/または改良するために除去されるべき多少の欠陥材料を伴った特定の粒度の層を有してもよい。もちろん、他に変形、修正、あるいは代替することも可能である。
図33を参照すると、本製造方法は、全材料層3300を形成するために、第1の半導体材料層の上を覆う厚い表面層を形成する工程を有する。ある特定の実施例では、前記厚い表面層は、エピタキシャルプロセスおよび/または他の蒸着プロセスを用いて形成されてもよい。これらのプロセスは、プラズマ強化CVD(PECVD)、熱CVD、光触媒CVD、グロー放電CVD、熱線/触媒CVDなどを含んでいてもよい。これらのプロセスは、直接的にまたは特定の実施例に係るアニールのような他のステップとの組み合わせのいずれかで、適切な単結晶シリコンまたは同様な材料を形成してもよい。一例を挙げれば、前記材料は、単結晶シリコン、アモルファスシリコン、多結晶シリコン、ゲルマニウムおよびシリコン−ゲルマニウム合金であってもよい。例えば、アモルファスシリコンで都合よく実現されるのは、テンプレートとして上面を覆うシリコン材料の転写層を用いた単結晶シリコンの固相エピタキシャル成長である。シリコン材料の蒸着の効率を向上できるもう一つの方法は、テンプレートとしてシリコン材料の転写層の上を覆う単結晶シリコンを生じさせるために、熱的に処理されるシリコンナノ粒子(好都合にはアモルファスシリコン)を用いて表面をスプレーしたり、コートしたりすることである。この方法は、乾燥または後続のプロセスの間に除去される液体を用いる工程に適用されてもよい。多結晶シリコンおよび他の材料は、レーザーアニール、瞬時の熱処理などの適正な処理を用いた速熱アニール液相ステップを通して、単結晶の再成長を許容してもよい。PECVDまたは熱CVDのような他のエピタキシャルプロセスは、直接的に層転写シリコンフィルムの上に単結晶シリコンを成長させるのに用いられてもよい。ある特定の実施例では、シリコンの転写層を含む厚い表面は、約50μmから約200μmの範囲にあってもよい。他の実施例では、厚材は、本願と同一出願人による米国仮特許出願番号60/822,473(米国代理人事件整理番号18419−021600US)に記載されるようなトリシラン種を用いて調成されてもよく、全ての目的において参照されて、ここに包含される。もちろん、他に変形、修正、あるいは代替することも可能である。
ある特定の実施例では、前記転写材料は、厚材層を形成するためにアモルファスシリコン層を用いて厚くされる。ある特定の実施例では、前記アモルファスシリコン層は、ナノ粒子(アモルファスシリコン、結晶シリコン、ポリシリコン、またはこれらの組み合わせ)のアプリケーションを用いて蒸着され、前記ナノ粒子は、厚材のシートの形成を促すために熱処理に曝される。あるいは、ある特定の実施例に従って、前記アモルファスシリコン層は、物理蒸着または化学蒸着(プラズマ強化など)を用いて低温で形成されてもよい。ある特定の実施例では、蒸着されるアモルファスシリコン層は、結晶性シリコンを形成するために、800℃以上の温度で保持されてもよい。前記厚材は、脱着可能な材料と同等な所望の熱膨張係数を有すると好ましい。もう1つの特定の実施例では、前記転写材料は、シランまたはSiCl、ジクロロシラン、またはトリクロロシランのようなクロロシラン種、または適切な組み合わせなどを用いた高温CVDによって厚くされる。もちろん、他に変形、修正、あるいは代替することも可能である。
図34に示すように、本実施例によれば、全材料層3405の第1の部分は、表面で処理される第1の光電子セルを少なくとも1つ形成するために不純物に曝されてもよい。ある特定の実施例では、前記不純物は、厚膜化ステップの間に、原位置(in−situ)ドープされ、拡散および/またはイオンビーム、プラズマ浸漬注入または従来の注入技術を用いて注入されてもよい。少なくとも1つの第1の光電子セルは、特定の実施例に従ってpn接合または多重pn接合をもたらすために、P型およびN型不純物のような不純物領域からなる。もちろん、他に変形、修正、あるいは代替することも可能である。
図34に示すように、本製造方法は、表面で形成される第1の光電子セルを少なくとも1つ有する第1の厚材層の一部の上を覆って第1の接触層3401を形成する。前記第1の接触層は、ITOなどのような適切な透明導電性材料から作られてもよい。また、他の材料が用いられてもよい。好ましい実施例では、前記接触層は、第1の光電子セルのそれぞれに結合する複数の電極を形成するために、パターン化される。前記光電子セルが反対側から照らされることになっている場合、前記接触は、透過に対して不透明であってもよく、セルを通過する復路を許容することによって、光収集効率を最大にし、および/または改善するのを容易にするために、高反射性であると好ましい。さらに効率を最適化するために、前記表面を織布状に形成することも、より全体に渡る光吸収および転換を備える多重内部反射を促して前記セル内部で前記光を反射性からランバート(Lambertian)に変換することによってよく知られている。もちろん、他に変形、修正、あるいは代替することも可能である。
特定の実施例では、本製造方法は、図35に示すような第1の光電子セルを含むパターン化された接触層の上を覆う表面部3503を有する第1の平坦誘電層3501も形成する。前記誘電層は、CVDプロセスなどを用いて蒸着される二酸化ケイ素のような材料を含んでもよい。ある特定の実施例では、前記誘電層は、電磁放射が前記誘電層(複数でも可)を通過するために、実質的に光学的に透明である。もちろん、他に変形、修正、あるいは代替することも可能である。
図36に示すように、本製造方法は、キャリア部材3601を第1の誘電層の表面部に付着する工程を有する。前記キャリア部材は、ある特定の実施例において、ガラス、石英、ポリマーまたはプラスチック材料のような材料から作られてもよい。ある特定の実施例では、前記キャリア部材は、永続的に付着しており、光電子材料用パッケージの一部として用いられてもよい。あるいは、もう1つのある特定の実施例に従って、前記キャリア部材は、一時的なものであり、脱着可能であってもよい。もちろん、他に変形、修正、あるいは代替することも可能である。
図37を参照すると、前記支持部材基板は、ある特定の実施例に係る全材料層の頂部3705に曝すために、全材料層から分離されている(3703)。ある特定の実施例では、前記支持部材基板は、前記支持部材基板の層を通って、前記表面部の一部に対して前記支持部材基板の底部から伸びる開口部を有してもよい。前記開口部は、液体、気体、不活性ガスなどの流体の駆動源に結合されてもよい。もちろん、他に変形、修正、あるいは代替することも可能である。
ある特定の実施例では、前記流体の駆動源は、前記底部と前記表面部の一部の間の開口部の中で圧力勾配が生じ得るのに適している。つまり、前記圧力勾配は、前記支持部材基板から全材料層を除去することを容易にする。一例を挙げれば、前記圧力勾配は、液体、気体、蒸気またはこれらの組み合わせのような流体によって実現されてもよい。好ましい実施例では、除去ステップは、全材料層の除去を促すエッチング流体の注入を有する。つまり、前記流体は、前記支持部材基板から前記全材料層を除去するために、機械的および/または化学的な影響を及ぼすことができる。同時に作用する機械的な分離力を用いて新たな流体を剥離層に補充するためのエッチング流体の周期的なポンピングと共同して、前記全材料層は、前記支持部材基板から完全に分離されてもよい。本実施例によれば、前記開口部は単一のまたは複数の開口であってもよく、前記開口は、形状および構造に関して機械的である。複数の開口を用いた実施例では、前記流体は、ある特定の実施例に係る空間的に分散してアレイ、円形または他の対称的な形状に形成された複数の開口を通して供給されてもよい。このような空間的な分散は、前記全材料層の除去を均一にするのを容易にでき、前記全材料層は、ある特定の実施例に従って、切り離される。好ましい実施例では、前記支持部材基板は、除去された後に、支持部材基板として再使用される。あるいは、前記支持部材基板は、機械的な仕掛けを含んでもよく、前記機械的な仕掛けは、ある特定の実施例において、上面を覆う材料のフィルムの除去を容易にする。このような実施例では、前記機械的な仕掛けは、1または複数のピン、エジェクタなどを含んでもよい。もちろん、他に変形、修正、あるいは代替することも可能である。
ある特定の実施例では、本製造方法は、全材料層に、少なくとも第2の処理された光電子セル表面を形成する。図示されるように、露出された全材料層の頂部3705は、少なくとも第2光電子セル表面を形成するために、不純物に曝される。ある特定の実施例では、前記不純物は、厚膜ステップの間に原位置(in−situ)ドープされ、拡散され、および/または、イオンビーム、プラズマ浸漬注入または従来の注入技術を用いて注入されてもよい。光電効果を実現するために、ヘテロ接合が、形成されてもよい。単結晶/アモルファスシリコンのヘテロ接合は、一例に過ぎない。シリコン−ゲルマニウム/シリコンのヘテロ構造の二重接合セルが、電気エネルギーの転換効率に対して光全体を強化するために、多重バンドギャップのサブセルを接続するもう1つのより洗練された光電子セルの例である。生成光電子セルは、ある特定の実施例に係るP型およびN型不純物に対する不純物領域を含んでもよい。もちろん、他に変形、修正、あるいは代替することも可能である。
ある特定の実施例では、本製造方法は、全材料層の底表面の上を覆う第2の接触層3801を蒸着する工程を有し、前記底表面は、図38に示すように、そこで形成される第2の光電子セル表面を少なくとも1つ有する。前記第2の接触層は、ITOなどのような適切な透明導電性材料から作られてもよい。セルの幾何学的配置に依存して、高反射材料のような他の材料が用いられてもよい。好ましい実施例では、前記第2の接触層は、第2の光電子セルのそれぞれに結合する複数の第2の電極を形成するために、パターン化される。ある特定の実施例では、本製造方法は、第2の光電子セルを含む第2の接触層の上を覆う表面部3805を有する第2の平坦誘電層1203も形成する。前記第2の誘電層は、CVDプロセスなどを用いて蒸着された二酸化ケイ素のような材料を含んでもよい。もちろん、他に変形、修正、あるいは代替することも可能である。
また、図38では、本発明の実施例に係る光電子装置3800の一例の概略図が示されている。本概略図は、一例に過ぎず、ここでの請求の範囲を不当に制限するものではない。本技術分野における通常の技術の1つによって、多くの変形、修正および代替が認識されるであろう。図示されるように、前記光電子装置は、第1の表面部に形成される少なくとも第1の光電子セル表面と半導体層の第2の表面部に少なくとも第2の光電子セル表面を含む。前記半導体層は、層転写プロセスを用いて形成されると好ましい。このような層転写プロセスは、本明細書および他の文書に記述されている。第1の接触層は、前記第1の光電子セルのそれぞれに結合される複数の第1の電極を備えるために、前記第1の光電子セル表面の上を覆う。第2の接触層は、前記第2の光電子セルのそれぞれに結合される複数の第2の電極を備えるために、前記第2の半導体層表面部で前記第2の光電子セル表面の上を覆う。図示されるように、前記光電子装置は、ガラス、石英、ポリマーまたはプラスチックのような好適な透明材料から製造される少なくとも第1のキャリア素子に付着される。もちろん、他に変形、修正、あるいは代替することも可能である。
上述の一連のステップは、本発明の実施例に従って半導体基板で光電子セルを製造する方法を提供する。図示されるように、本製造方法は、更なる処理のために、ソーラーモジュールに光電子セルを形成する方法を含むステップの組み合わせを用いる。ある特定の実施例では、支持部材基板は、半導体材料層に対して、脱着可能な材料を備える。前記支持部材は、プロセスを通して、全半導体材料層の上に残存する薄い基板であると好ましい。前記支持部材は、ある特定の実施例では、再使用できる。ドナー基板は、ある特定の実施例に係る半導体材料層が、支持部材などのもう一方の基板構造に転写される間に、選択的に除去および/または劈開されてもよい。複数のステップが追加されたり、1または複数のステップが除去されたり、1または複数のステップが異なるシーケンスに備えられたりして、他の代替例が、請求の範囲から逸脱することなく実現されてもよい。本発明の他の実施例は、本明細書、特に以下で詳述される。
図39は、本発明のもう一つの実施例に係るソーラーモジュール用の太陽電池を製造する方法3900を例証する概略図である。本製造方法は、以下に要約される。
(1)表面部、底部、および材料の所定の層を有する半導体基板を形成する(ステップ3901)。前記半導体基板は、シリコン、ゲルマニウム、シリコン−ゲルマニウム合金、ヒ化ガリウム、あらゆるIII/V材料などであってもよい。
(2)第1のシリコン材料層を定めるために(ステップ3905)、劈開平面を形成する(複数の粒子、蒸着物質、またはこれらのあらゆる組み合わせなどを含む(ステップ3903)。
(3)第1の材料層をシリコン支持部材の上を覆う脱着可能な材料に転写する(ステップ3907)。
(4)前記脱着可能な材料の上を覆う全材料層を形成するために、前記第1の材料層の上を覆う第2の材料層を形成する(ステップ3909)。
(5)前記全材料層を除去する(ステップ3911)。
(6)前記全材料層の一部に光電子セル表面を形成する(ステップ3913)。
(7)光電子セルを含む前記全材料層をキャリア部材に付着する(ステップ3915)。(8)他のプロセスを実行する(ステップ3917)。
(9)ソーラーモジュールを形成する(ステップ3919)。
(10)終了。
上述の一連のステップは、本発明の実施例に係る半導体基板上で光電子セルを製造する方法を実現する。図示されるように、本製造方法は、更なる処理のために、ソーラーモジュールに光電子セルを形成する方法を含むステップの組み合わせを用いる。ある特定の実施例では、半導体基板は、半導体材料層を備える。加えて、支持部材基板は、プロセスを通して全半導体材料層に残存する薄い基板を備える。前記支持部材基板は、ある特定の実施例では、再使用できる。全半導体材料層が、ある特定の実施例に係る支持部材基板などのもう一方の基板構造に転写される間に、ドナー基板は、選択的に除去および/または劈開されてもよい。複数のステップが追加されたり、1または複数のステップが除去されたり、1または複数のステップが異なるシーケンスに備えられたりして、他の代替例が、請求の範囲から逸脱することなく実現されてもよい。本発明の製造方法のさらなる詳細は、本明細書、特に以下で詳述される。
図40ないし図48は、本発明のもう一つの実施例に係る層転写基板上でソーラーモジュール用の光電子セルを製造する簡略化された方法を示したものである。これらの図は、一例に過ぎず、ここでの請求の範囲を不当に制限するものではない。本技術分野における通常の技術によれば、他の変形、修正および代替が認識されるであろう。図示されるように、本製造方法は、半導体基板4000を形成する工程を有する。半導体基板に含まれる例として、シリコン、ゲルマニウム、シリコン−ゲルマニウムのような合金、ヒ化ガリウムのようなIII/V材料などが挙げられる。本実施例によれば、前記半導体基板は、単一材料または種々の材料の組み合わせから作られてもよい。もちろん、他に変形、修正、あるいは代替することも可能である。
ある特定の実施例では、前記半導体基板は、図40に示すように、第1の半導体材料層4001および表面部4003を含む。好ましい実施例では、前記半導体基板は、劈開平面4005も含み、前記劈開平面は、半導体材料層を定める。前記第1の半導体材料層は、複数の粒子、蒸着材料またはこれらのあらゆる組み合わせなどを含んでもよい。ある特定の実施例では、前記第1の半導体材料層は、結晶性シリコン(単結晶シリコンなど)であり、前記結晶性シリコンは、上面を覆うエピタキシャルシリコン層を含んでもよい。ある特定の実施例では、シリコン表面部4003は、二酸化ケイ素などの薄膜の酸化物を有してもよい。もちろん、他に変形、修正、あるいは代替することも可能である。
本実施例によれば、前記劈開部は、種々の技術を用いて形成されてもよい。つまり、前記劈開部は、注入粒子、蒸着層、拡散物質、パターン領域および他の技術のあらゆる適切な組み合わせを用いて形成されてもよい。ある特定の実施例では、本製造方法は、ドナー基板と呼ばれる半導体基板の上面を介した注入プロセスを用いて、選択深度まで所定のエネルギー粒子を導入し、前記選択深度は、「薄膜」材料と呼ばれる半導体材料部の層を定める。種々の技術が、前記エネルギー粒子をある特定の実施例に係る単結晶シリコンウエーハに注入するのに用いられてもよい。これらの技術は、例えば、アプライド・マテリアル株式会社(Applied Materials,Inc)などのような会社から製造されたビーム線イオン注入装置を用いたイオン注入を含む。あるいは、注入が、プラズマ浸漬イオン注入(PIII)技術、イオンシャワーを用いて起こり、他の質量的および非質量的な特定の技術が、ある特定の実施例に係るより広い表面部に特に有効であってもよい。このような技術の組み合わせが用いられてもよい。もちろん、用いられる技術は、アプリケーション次第である。
アプリケーションによれば、一般的により小さい質量粒子が、好ましい実施例に係る材料部への損傷の可能性を減ずるように選ばれてもよい。つまり、より小さい粒子は、前記粒子が横切る材料部に実質的に損傷を与えることなく、基板材料を通って選択深度まで容易に移動する。例えば、より小さい粒子(またはエネルギー粒子)は、ほぼ全ての荷電粒子(正または負など)および/または、中性原子または分子、あるいは電子などであってもよい。ある特定の実施例では、前記粒子は、中性粒子および/または、水素のイオンおよびその同位元素のようなイオン、ヘリウムおよびその同位元素、並びにネオンのような希ガスイオン、あるいは本実施例に基づくその他のイオンを含む荷電粒子であってもよい。前記粒子は、水素ガス、水蒸気、メタン、および水素化合物、並びにその他の軽量の原子質量粒子のような化合物から誘導されてもよい。あるいは、前記粒子は、上記粒子および/またはイオンおよび/または分子種および/または原子種のあらゆる組み合わせであってもよい。前記粒子は、一般的に、前記表面を通って前記表面下の選択深度まで貫くための十分な運動エネルギーを有している。
一例として、シリコンウエーハ内部への注入種として水素を用いる場合、特定の一連の条件を用いることで、注入プロセスが実行される。注入量は、約10e15から約10e18原子/cmの範囲にあり、好ましくは、前記注入量は約10e16原子/cm以上であるとよい。注入エネルギーは、約1KeVから約1MeVの範囲にあり、通常約50KeVである。注入温度は、約−20℃から約600℃の範囲にあり、相当量の水素イオンが、注入されたシリコンウエーハから拡散し、注入損傷およびストレスをアニールする可能性を避けるために、約400℃以下であるとよい。前記水素イオンは、前記選択深度に対して約±0.03ミクロンから±0.05ミクロンの精度で、前記シリコンウエーハの内部に選択的に導入されてもよい。もちろん、用いられるイオンのタイプとプロセス条件は、アプリケーション次第である。
前記注入粒子は、前記選択深度での基板の頂面に平行な平面に沿ってストレスを加えたり、破損エネルギーを減じたりすると効果的である。前記エネルギーは、ある程度、注入種および注入条件に依存する。これらの粒子は、前記選択深度で基板の破損エネルギーレベルを減ずる。これにより、前記選択深度で注入面に沿って制御された劈開が考慮される。注入は、全ての内部の場所で基板のエネルギー状態が、基板材料中に不可逆的な破損(分離あるいは劈開など)を開始するのに不十分な条件下で生じてもよい。しかしながら、注入が、概して基板内部である程度の欠陥(マイクロ検出など)を誘発することに留意すべきである。前記欠陥は、典型的には、熱アニールまたは速熱アニールなどの以降の熱処理によって少なくとも部分的に修復される。もちろん、他に変形、修正、または代替することも可能である。
図41を参照すると、本製造方法は、半導体基板の表面部を支持部材基板4101に接続する(4100)。ある特定の実施例では、前記支持部材基板は、適切な材料から作られてもよい。好ましい実施例では、前記支持部材は、熱特性と結晶特性が一致するように十分な結晶性を有する材料層と同様な材料から作られてもよい。つまり、前記支持部材基板は、ある特定の実施例に従って、単結晶シリコンウエーハ、エピタキシャルウエーハまたは層転写シリコン(絶縁性基板上にシリコンが転写された層など)のようなシリコンウエーハから作られてもよい。もう一つの実施例として、前記支持部材基板は、多層、複合材料または他の材料から形成されてもよい。加えて、前記支持部材基板は、これらのあらゆる組み合わせを含む誘電材料(ガラスまたは石英など)または金属材料、およびある特定の実施例に係るその他の材料から形成されてもよい。もちろん、他に変形、修正、あるいは代替することも可能である。
図示されるように、前記支持部材基板は、表面部4103、底部4105および所定の層4107を有する。好ましい実施例では、支持部材の表面部は、接着可能であるが脱着も可能でもある材料によって特徴付けられる。好ましい実施例では、前記表面部は、低い表面粗さであるが、表面材料粗さによって高度に上面を覆う表面材料によって特徴付けられ、前記表面材料は、接着を容易にするが、他の条件下では脱着可能になる。さらにもう一つの実施例では、前記表面部は、表面粗さによって特徴付けられ、前記表面粗さは、接着を容易にするが、他の条件下では脱着可能になる。ある特定の実施例では、前記表面粗さは、シリコン支持部材の上を覆う酸化物材料に形成される。前記酸化物材料は、約3オングストローム自乗平均ないし約100オングストローム自乗平均の範囲にある表面粗さを有する。これらのおよび他の粗さ部分は、10ミクロンを単位として、約10ミクロンの測定領域を備えた原子間力顕微鏡(AFM)を用いて測定されたものとして理解される。さらにもう一つの実施例では、前記シリコン表面粗さは、上述した範囲にあってもよく、一方、前記酸化物表面は、より完全な接着表面を実現して、平滑表面となっている。もちろん、他に変形、修正あるいは代替することも可能である。
もう一つの実施例では、前記表面粗さは、多孔性材料によって実現されてもよい。一例として、前記多孔性材料は、多孔性であり約10ないし1000nm以下の平均孔サイズを有するシリコンであってもよい。また、他の種類の多孔性材料が用いられてもよい。さらに他の実施例では、前記脱着可能な材料が、接着層であってもよく、前記接着層は、脱着可能な材料、または接着を容易にするが脱着可能である固有のおよび/または空間的な特徴を有する他の種類の材料である。もう一つの脱着可能な材料は、剥離層内部の相変化または材料変化によって、エピタキシャル成長プロセスステップ間のような高温プロセスが接着エネルギーを減ずることができ、これにより、低温相転写が生じるのを許容し、さらに、前記支持部材の次のエピタキシャル(post−epitaxial)な剥離を促す材料であってもよい。ある特定の実施例では、前記支持部材の表面部は、ドナー基板に備えられる表面部4003で接続または接着されている。同じ参照番号が、この図中でおよびその他で使用されているが、ここでの請求の範囲を限定することを意図したものではない。さらに詳細な接続プロセスまたは接着プロセスは、本明細書、特に以下で詳述される。
ある特定の実施例では、接着プロセスは、光学プラズマ活性プロセス後に、ドナー基板の表面と支持部材基板とを接続することによって実行される。前記光学プラズマ活性プロセスは、用いられる基板に依存する。このようなプラズマ活性プロセスは、基板の表面部を洗浄したり、活性化したりする。一例としてシリコン基板では、前記プラズマ活性プロセスは、例えば、20℃ないし40℃の範囲の温度で、窒素含有プラズマを用いて実現されてもよい。好ましくは、前記プラズマ活性プロセスは、カリフォルニア州サンノゼにあるシリコン・ジェネシス社で製造される2周波共用プラズマ活性化装置で実行される。もちろん、他に変形、修正、あるいは代替することも可能であり、これらは本明細書外に記載されたものと同様に、ここに記載される。
これらの基板のそれぞれは、好ましい実施例に従って、図42に示すような結合基板構造400を形成するために、互いに接着される。図示されるように、前記ドナー基板は、前記支持部材基板に接着される。前記基板は、エレクトロニック・ヴィジョン・グループ(Electronic Vision Group)によって製造されたEVG850ボンディング工具または直径200mmまたは300mmのウエーハのような基板サイズのための他の同様なプロセスを用いて接着されるのが好ましい。また、カール・サス(Karl Suss)によって製造されるような他の種類の工具が用いられてもよい。もちろん、他に変形、修正、あるいは代替することも可能である。好ましくは、前記支持部材基板と前記ドナー基板の間の接着は、一時的であるが1または複数の高温プロセスのステップを実行する間では信頼性が十分に高く、以下で詳述される後続のステップの間に取り外されてもよい。つまり、前記接着は一時的なものであり、取り外しできる。もちろん、他に変形、修正、あるいは代替することも可能である。
接着後には、接着基板構造は、ある特定の実施例に係る第1の熱処理に曝される。前記第1の熱処理は、ある特定の実施例において、前記処理基板に結合されるサーマルプレートのような発熱体を用いた加熱処理であってもよい。もう一つの実施例では、前記第1の熱処理は、ドナー基板に結合されるサーマルプレートのような発熱体を用いた加熱処理であってもよい。前記第1の熱処理は、前記ドナー基板の層の一部と前記処理基板の一部を通して、温度勾配を提供する。加えて、前記第1の熱処理は、所定の温度で所定時間、接着基板構造を保持する。温度は、シリコンドナー基板および前記支持部材基板に対して互いを接着するために、約200または250℃から約400℃の範囲にあれば好ましく、約1時間程度約350℃であればより好ましい。ある特定の実施例によれば、他に変形、修正、あるいは代替することも可能である。
ある特定の実施例では、前記両基板は、低温熱ステップを用いて共に接続されたり、融着されたりする。低温熱処理プロセスは、一般的に、前記注入粒子が、前記材料部に過度のストレスを及ぼさないことを保証し、前記材料部は、非制御な劈開活動を生じる。ある特定の実施例では、前記低温接着プロセスは、自己接着プロセスによって生じる。
あるいは、種々の他の低温技術が、前記ドナー基板表面部を前記支持部材基板に接続するために用いられてもよい。例えば、2つの基板を共に接続するために、静電接着技術が用いられてもよい。特に、一方のまたは双方の基板表面が、他方の基板表面に引き付けられるように変化する。加えて、前記ドナー基板は、種々の他の公知技術で前記支持部材基板に融着されてもよい。もちろん、用いられる他の技術は、アプリケーション次第である。
図43を参照すると、本製造方法は、前記第1の半導体材料層が、前記支持部材基板に接続されたまま、前記ドナー基板から第1の半導体材料層を分離するために、選択された劈開平面の一部に供給されるエネルギー4301を用いて、劈開プロセスを開始する工程を有する。ある特定の実施例によれば、任意の他の変形例があってもよい。例えば、前記劈開プロセスは、前記材料層が前記支持部材基板に接続されたまま、ドナーから前記材料層を選択的に取り除くために、劈開正面を伝播する工程を用いた制御された劈開プロセスであってもよい。また、もう一つの劈開技術が用いられてもよい。このような技術が包含するのは、カリフォルニア州サンノゼにあるシリコン・ジェネシス・コーポレーション(Silicon Genesis Corporationのナノ劈開(Nanocleave(商標名))プロセスと呼ばれる技術、フランスのソイテック・エスエー(Soitec SA)のスマートカット(SmartCut(商標名))プロセスによって用いられるような熱放出、日本の東京にあるキャノン株式会社のエルトラン(Eltran(商標名))プロセスによって用いられるような多孔性シリコン劈開層、および同様なプロセスなどであるが、これに限定されるものではない。そして、本製造方法は、ある特定の実施例に係る前記支持部材基板に第1の材料層を備えるドナー基板の残存部4305を除去する(4303)。前記ドナー基板の残存部は、好ましい実施例に係るもう一つのドナー基板として用いられてもよい。
図44に示されるように、本製造方法は、生成接着構造を表面準備プロセス4401にも適用する。このような表面準備プロセスは、プラズマ活性、プラズマ洗浄またはさらなるプロセスのための組み合わせを含んでもよい。もちろん、他に変形、修正、あるいは代替することも可能である。
図45を参照すると、本製造方法は、全材料層3300を形成するために、第1の半導体材料層の上を覆う厚い表面層を形成する工程を有する。ある特定の実施例では、前記厚い表面層は、エピタキシャルプロセスおよび/または他の蒸着プロセスを用いて形成されてもよい。これらのプロセスは、プラズマ強化CVD(PECVD)、熱CVD、光触媒CVD、グロー放電CVD、熱線/触媒CVDなどを含んでいてもよい。これらのプロセスは、直接的にまたはある特定の実施例に従ってアニールのような他のステップとの組み合わせのいずれかで、適切な単結晶シリコンまたは同様な材料を形成してもよい。一例を挙げれば、前記材料は、単結晶シリコン、アモルファスシリコン、多結晶シリコン、ゲルマニウムおよびシリコン−ゲルマニウム合金であってもよい。例えば、アモルファスシリコンで都合よく実現されるのは、テンプレートとして上面を覆うシリコン材料の転写層を用いた単結晶シリコンの固相エピタキシャル成長である。シリコン材料の蒸着効率を向上できるもう一つの方法は、テンプレートとしてシリコン材料の転写層の上を覆う単結晶シリコンを生じさせるために、熱的に処理されるシリコンナノ粒子(好都合にはアモルファスシリコン)を伴った表面をスプレーしたり、コートしたりすることである。この方法は、乾燥または後続のプロセスの間に除去される液体を用いる工程に適用されてもよい。多結晶シリコンおよび他の材料は、レーザーアニール、瞬時の熱処理などの適正な処理を用いた速熱アニール液相ステップを通して、単結晶の再成長を許容してもよい。PECVDまたは熱CVDのような他のエピタキシャルプロセスは、直接的に層転写シリコンフィルムの上に単結晶シリコンを成長させるのに用いられてもよい。ある特定の実施例では、シリコンの転写層を含む厚い表面層は、約50μmから約200μmの範囲にあってもよい。他の実施例では、厚材は、本願と同一出願人による米国仮特許出願番号60/822,473(米国代理人事件整理番号18419−021600US)に記載されるようなトリシラン種を用いて調成されてもよく、全ての目的において参照されて、ここに包含される。もちろん、他に変形、修正、あるいは代替することも可能である。
ある特定の実施例では、前記転写材料は、アモルファスシリコン層を用いて厚くされる。ある特定の実施例では、前記アモルファスシリコン層は、ナノ粒子(アモルファスシリコン、結晶シリコン、ポリシリコン、またはこれらの組み合わせ)のアプリケーションを用いて蒸着され、前記ナノ粒子は、厚材のシートの形成を促すために熱処理に曝される。あるいは、ある特定の実施例に従って、前記アモルファスシリコン層は、物理蒸着または化学蒸着(プラズマ強化など)を用いて低温で形成されてもよい。ある特定の実施例では、蒸着されるアモルファスシリコン層は、結晶性シリコンを形成するために、800℃以上の温度で保持されてもよい。もう1つのある特定の実施例では、前記転写材料は、シランまたはSiCl、ジクロロシラン、またはトリクロロシランのようなクロロシラン種、または適切な組み合わせなどを用いた高温CVDによって厚くされる。前記全材料層は、前記支持部材基板材料と同等な所望の熱膨張係数を有すると好ましい。
図46を参照すると、本製造方法は、前記支持部材基板から前記全材料層を分離する工程を有する。ある特定の実施例では、前記支持部材基板は、前記支持部材基板の底部から前記支持部材基板層を通って、前記表面部の一部まで伸びる開口部を含んでもよい。前記開口部は、流体の駆動源と結合されてもよい。前記流体の駆動源は、前記底部と前記表面部の一部の間の開口部の中で圧力勾配が生じ得るのに適している。つまり、前記圧力勾配は、前記支持部材基板からの全材料層の除去を容易にする。一例を挙げると、前記圧力勾配は、液体、気体、蒸気またはこれらの組み合わせのような流体によって実現されてもよい。好ましい実施例では、除去ステップは、全材料層の除去を促すエッチング流体の注入を含む。同時に作用する機械的な分離力を用いて新たな流体を剥離層に補充するためのエッチング流体の周期的なポンピングと共同して、前記全材料層は、前記支持部材基板から完全に分離されてもよい。好ましい実施例では、前記支持部材基板は、除去された後に、支持部材基板として再使用される。あるいは、前記支持部材基板は、機械的な仕掛けを含んでもよく、前記機械的な仕掛けは、ある特定の実施例において、上面を覆う材料フィルムの除去を容易にする。このような実施例では、前記機械的な仕掛けは、1または複数のピン、エジェクタなどを含んでもよい。もちろん、他に変形、修正、あるいは代替することも可能である。
ある特定の実施例では、前記全材料層は、前記支持部材基板から除去された後に、光電子セルなどの装置製造用の薄型基板として用いられてもよい。図47に示されるように、全材料層は、第1の表面部4701、第2の表面部4703および所定の層4705を有する。ある特定の実施例では、全材料層における第1の表面部の少なくとも周辺部は、所定の層の内部で第1の光電子セルを少なくとも1つ形成するために、不純物に曝される。ある特定の実施例では、前記不純物は、厚膜ステップの間に原位置(in−situ)ドープされ、拡散され、および/または、イオンビーム、プラズマ浸漬注入または従来の注入技術を用いて注入されてもよい。光電効果を実現するために、ヘテロ接合が、形成されてもよい。単結晶/アモルファスシリコンのヘテロ接合は、一例に過ぎない。シリコン−ゲルマニウム/シリコンのヘテロ構造の二重接合セルが、電気エネルギーの変換効率に対して光全体を強化するために、多重バンドギャップのサブセルを接続するもう1つのより洗練された光電子セルの例である。生成光電子セルは、特定の実施例に係るp−n接合または多重p−n接合をもたらすために、P型およびN型不純物に対する不純物領域からなる。
図48を参照すると、本製造方法は、所定の層内部の第1の表面の周辺で形成される第1の光電子セル表面を少なくとも1つ含む第1の厚材層の一部の上を覆う第1の接触層4801を形成する工程を有する。接触層は、ITO、高反射導体または光電子セルの幾何学的配置に依存する他の適切な材料のような適切な透明導電性材料から作られてもよい。他の材料が用いられてもよい。好ましい実施例では、前記第1の接触層は、前記第1の光電子セルのそれぞれに結合する複数の電極を形成するためにパターン化されている。本製造方法は、前記第1の接触層と少なくとも1つの光電子セルの上を覆う第1の平坦誘電層4803を形成する工程を有する。もちろん、他に変形、修正、あるいは代替することも可能である。
好ましい実施例では、前記第2の表面部は、前記所定の層内部で第2の光電子セルを少なくとも1つ形成するために、不純物に曝される。ある特定の実施例では、前記不純物は、厚膜ステップの間に原位置(in−situ)ドープされ、拡散され、および/または、イオンビーム、プラズマ浸漬注入、または従来の注入技術で注入されてもよい。前記第2の光電子セル表面は、ある特定の実施例に係るpn接合または多重pn接合をもたらすために、P型およびN型不純物の不純物領域からなる。
図49を参照すると、本製造方法は、前記第2の表面部の上を覆う第2の接触層4901を形成する工程を有する。前記第2の接触層は、ITO、高反射導体または光電子セルの幾何学的配置に依存する他の適切な材料のような適切な透明導電性材料から作られてもよい。また、他の材料が用いられてもよい。好ましい実施例では、前記第2の接触層は、前記第2の光電子セルのそれぞれに結合する複数の電極を形成するために、パターン化されている。本製造方法は、前記第2の接触層と少なくとも1つの第2の光電子セルの上を覆う表面部4905を有する第2の平坦誘電層4903を形成する工程も有する。前記第2の誘電層は、CVDプロセスなどを用いて蒸着された二酸化ケイ素のような材料を含む。ある特定の実施例では、前記第2の誘電層は、前記誘電層(複数でも可)を横切るような電磁放射を許容するために、実質的に光学的に透明である。光電子セル構造4900の一例が示されている。もちろん、他に変形、修正、あるいは代替することも可能である。
図50を参照すると、本製造方法は、本発明の実施例に従って、前記光電子セル構造をキャリア部材5001に付着する工程を有する。前記キャリア部材は、プラスチック、ガラス(フロートガラスなど)、石英またはアプリケーションに応じてポリマー材料などの適切な材料から作られてもよい。ある特定の実施例では、前記キャリア部材は、永久に攻撃されてもよく、また、光電子セル用のパッケージの一部として用いられてもよい。前記キャリア部材は、一時的なものであってもよく、また、他の実施例に従って取り外されてもよい。もちろん、他に変形、修正、あるいは代替することも可能である。
上述の一連のステップは、本発明の実施例に係る半導体基板で光電子セルを製造する方法を提供する。図示されるように、本製造方法は、更なる処理のために、ソーラーモジュールに光電子セルを形成する方法を含むステップの組み合わせを用いる。特定の実施例では、支持部材基板は、半導体材料層に、脱着可能な材料を備える。前記支持部材は、プロセスを通して、全半導体材料層の上に残存する薄い基板であると好ましい。前記支持部材は、ある特定の実施例では、再使用できる。ドナー基板は、ある特定の実施例に従って、半導体材料層が、支持部材などのもう一方の基板構造に転写される間に、選択的に除去および/または劈開されてもよい。ステップが追加されたり、1または複数のステップが除去されたり、1または複数のステップが異なるシーケンスに備えられたりして、他の代替例が、請求の範囲から逸脱することなく実現されてもよい。本発明の他の実施例は、本明細書、特に以下で詳述される。
図51は、本発明のもう一つの実施例に係るソーラーモジュール用の太陽電池を製造する方法5100を示している。本製造方法は、以下に要約される。
(1)シリコン、ゲルマニウム、シリコン−ゲルマニウム合金、ヒ化ガリウム、あらゆるIII/V材料などの半導体基板を形成する(ステップ5101)。
(2)第1のシリコン材料層を定めるために(ステップ5105)、劈開平面を形成する(ステップ5103)。
(3)第1の材料層をキャリア部材基板に転写する(ステップ5107)。
(4)全材料厚さを形成するために、第1の半導体材料層の上を覆う第2の材料層を形成する(ステップ5109)。
(5)前記全材料層の一面に第1の光電子セル表面を少なくとも1つ形成する(ステップ5111)。
(6)望ましいように、他のプロセスを実行する(ステップ5113)。
(7)終了(ステップ5115)。
上述の一連のステップは、本発明の実施例に係る半導体基板に光電子セルを形成する方法を提供する。図示されるように、本製造方法は、更なるプロセスのために光電子セルをソーラーモジュールに形成する方法を含むステップの組み合わせを用いる。ある特定の実施例では、前記半導体基板は、転写されるために半導体材料層を備える。前記半導体基板は、プロセスを通して全半導体材料層に残存する薄型基板を有するとよい。前記半導体基板は、ある特定の実施例では、再使用される。ドナー基板は、半導体材料層がもう一方の基板構造に転写される間に、選択的に取り除かれ、および/または劈開されてもよい。複数のステップが追加されたり、1または複数のステップが除去されたり、1または複数のステップが異なるシーケンスに備えられたりして、他の代替例が、請求の範囲から逸脱することなく実現されてもよい。本発明の他の実施例は、本明細書、特に以下で詳述される。
図52ないし図58は、本発明の実施例に係る層転写基板に光電子セルを製造する簡略化された方法を示している。これらの図は、一例に過ぎず、ここでの請求の範囲を不当に制限するものではない。本技術分野における通常の技術の1つによれば、他の変形、修正および代替が認識されるであろう。図示されるように、本製造方法は、半導体基板またはドナー基板5200を形成する工程を有する。半導体基板の例として、シリコン、ゲルマニウム、シリコン−ゲルマニウムのような合金、ヒ化ガリウムのようなIII/V材料などが含まれてもよい。本実施例によれば、前記半導体基板は、単一材料または種々の材料の組み合わせから作られてもよい。もちろん、他に変形、修正、あるいは代替することも可能である。
ある特定の実施例では、前記半導体基板は、第1の材料層5201、表面部5203および層5207を含む。好ましい実施例では、前記半導体基板は、第1の半導体層を定める劈開平面5205も含む。前記第1の材料層は、複数の粒子、蒸着材料、またはこれらのあらゆる組み合わせなどを含んでもよい。ある特定の実施例では、前記第1の半導体材料層は、結晶性シリコン(単結晶シリコンなど)であり、前記結晶性シリコンは、上面を覆うエピタキシャルシリコン層を含む。ある特定の実施例では、シリコン表面部5203は、二酸化ケイ素のような酸化物の薄膜を有してもよい。もちろん、他に変形、修正、あるいは代替することも可能である。
本実施例によれば、前記劈開部は、種々の技術を用いて形成されてもよい。つまり、前記劈開部は、注入粒子、蒸着層、拡散物質、パターン領域および他の技術のあらゆる適切な組み合わせを用いて形成されてもよい。ある特定の実施例では、本製造方法は、注入プロセスを用いて、ドナー基板と呼ばれる前記半導体基板の表面部を通って選択深度まで所定のエネルギー粒子を導入し、前記選択震度は、材料の「薄膜」と呼ばれる前記第1の半導体材料部層を定めてもよい。種々の技術が、ある特定の実施例に従って、前記エネルギー粒子を単結晶シリコンウエーハに注入するのに用いられてもよい。これらの技術は、例えば、アプライド・マテリアル株式会社(Applied Materials,Inc)などのような会社から製造されたビーム・ライン・イオン注入装置を用いたイオン注入を含む。あるいは、プラズマ浸漬イオン注入(PIII)技術、イオンシャワー、他の質量的および非質量的な特定の技術を用いて生じる注入は、ある特定の実施例に係るより広い表面部に特に有効となり得る。このような技術の組み合わせが用いられてもよい。もちろん、用いられる技術は、アプリケーション次第である。
アプリケーションによれば、一般的により小さい質量粒子が、好ましい実施例に係る材料部への損傷の可能性を減ずるように選ばれてもよい。つまり、より小さい粒子は、前記粒子が横切る材料部に実質的に損傷を与えることなく、基板材料を通って前記選択深度まで容易に移動する。例えば、より小さい粒子(またはエネルギー粒子)は、ほぼ全ての荷電粒子(正または負など)および/または、中性原子または分子、あるいは電子などであってもよい。ある特定の実施例では、前記粒子は、中性粒子および/または、水素のイオンおよびその同位元素のようなイオン、ヘリウムおよびその同位元素、並びにネオンのような希ガスイオン、あるいは本実施例に基づくその他のイオンを含む荷電粒子であってもよい。前記粒子は、水素ガス、水蒸気、メタン、および水素化合物、並びにその他の軽量の原子質量粒子のような化合物から誘導されてもよい。あるいは、前記粒子は、上記粒子および/またはイオンおよび/または分子種および/または原子種のあらゆる組み合わせであってもよい。前記粒子は、一般的に、前記表面を通って前記表面下の選択深度まで貫くための十分な運動エネルギーを有している。
一例として、シリコンウエーハ内部への注入種として水素を用いる場合、ある特定の一連の条件を用いることで、注入プロセスが実行される。注入量は、約10e15から約10e18原子/cmの範囲にあり、好ましくは、前記注入量は約10e16原子/cm以上であるとよい。注入エネルギーは、約1KeVから約1MeVの範囲にあり、通常約50KeVである。注入温度は、約20℃から約600℃の範囲にあり、相当量の水素イオンが、注入されたシリコンウエーハから拡散し、注入損傷およびストレスをアニールする可能性を避けるために、約400℃以下であるとよい。前記水素イオンは、前記選択深度に対して約±0.03ミクロンから±0.05ミクロンの精度で、前記シリコンウエーハの内部に選択的に導入されてもよい。もちろん、用いられるイオンの種類とプロセス条件は、アプリケーション次第である。
前記注入粒子は、前記選択深度で基板の頂面に平行な平面に沿ってストレスを加えたり、破損エネルギーを減じたりすると効果的である。前記エネルギーは、ある程度、注入種および注入条件に依存する。これらの粒子は、前記選択深度で基板の破損エネルギーレベルを減ずる。これにより、前記選択深度で注入面に沿って制御された劈開が実現される。注入は、全ての内部の場所で基板のエネルギー状態が、基板材料中に不可逆的な破損(分離あるいは劈開など)を開始するのに不十分な条件下で生じてもよい。しかしながら、注入が、概して基板内部である程度の欠陥(マイクロ検出など)を誘発することに留意すべきである。前記欠陥は、典型的には、熱アニールまたは速熱アニールなどの以降の熱処理によって少なくとも部分的に修復される。もちろん、他に変形、修正、あるいは代替することも可能である。
図53を参照すると、本製造方法は、前記半導体基板の表面部をキャリア部材5301に接続する(5300)。ある特定の実施例では、前記キャリア部材は、ガラス、石英またはフロートガラスのような適切な材料から作られてもよい。もう一つの実施例として、前記キャリア部材は、ポリマー材料またはプラスチック材料から作られてもよい。好ましい実施例では、前記キャリア部材は、600〜700℃の範囲の温度での安定した構造によって特徴付けられるガラスから作られる。前記キャリア部材は、ある特定の実施例に係る広い面積の基板であってもよい。もちろん、他に変形、修正、あるいは代替することも可能である。
図示されるように、前記キャリア部材は、表面部5303、底部5305および所定の層5307を有する。好ましい実施例では、前記表面部は、低い表面粗さであるが、表面材料粗さにより高度に上面を覆う表面材料によって特徴付けられ、前記表面材料は、接着を容易にするが、他の条件下では脱着可能になる。さらにもう一つの実施例では、前記表面部は、表面粗さによって特徴付けられ、前記表面粗さは、接着を容易にするが、他の条件下では脱着可能になる。この特定の実施例では、前記表面粗さは、シリコン支持部材の上を覆う酸化物材料に備えられる。前記酸化物材料は、約3オングストローム自乗平均ないし約100オングストローム自乗平均の範囲にある表面粗さを有する。これらのおよび他の粗さ部分は、10ミクロンを単位として、約10ミクロンの測定領域を備えた原子間力顕微鏡(AFM)を用いて測定されたものとして理解される。さらにもう一つの実施例では、前記シリコン表面粗さは、上述した範囲にあってもよく、一方、前記酸化物表面は、より完全な接着表面を実現して、平滑表面となっている。もう一つの実施例では、前記表面粗さは、多孔性材料によって実現されてもよい。一例として、前記多孔性材料は、多孔性であり約10ないし1000nm以下の平均孔サイズを有するシリコンであってもよい。また、他の種類の多孔性材料が用いられてもよい。さらに他の実施例では、前記脱着可能な材料が、接着層であってもよく、前記接着層は、脱着可能な材料、または接着を容易にするが脱着可能である固有のおよび/または空間的な特徴を有する他の種類の材料である。もう一つの脱着可能な材料は、剥離層内部の相変化または材料変化によって、エピタキシャル成長プロセスステップ間のような高温プロセスが、接着エネルギーを減ずることができ、これにより、低温相転写が生じるのを許容し、さらに、前記支持部材の次のエピタキシャル(post−epitaxial)な剥離を促す材料であってもよい。ある特定の実施例では、前記支持部材の表面部は、ドナー基板2600に備えられる表面部2603で接続または接着されている。同じ参照番号が、この図中でおよびその他で使用されているが、ここでの請求の範囲を限定することを意図したものではない。さらに詳細な接続プロセスまたは接着プロセスは、本明細書、特に以下で詳述される。
ある特定の実施例では、接着プロセスは、光学プラズマ活性プロセス後に、ドナー基板の表面と支持部材基板とを接続することによって実行される。前記光学プラズマ活性プロセスは、用いられる基板に依存する。このようなプラズマ活性プロセスは、基板の表面部を洗浄したり、活性化したりする。一例としてシリコン基板では、前記プラズマ活性プロセスは、例えば、20℃ないし40℃の範囲の温度で、窒素含有プラズマを用いて実現されてもよい。好ましくは、前記プラズマ活性プロセスは、カリフォルニア州サンノゼにあるシリコン・ジェネシス社(Silicon Genesis Corporation)で製造される2周波共用プラズマ活性化装置で実行される。もちろん、他に変形、修正、あるいは代替することも可能であり、これらは本明細書外に記載されたものと同様に、ここに記載される。
図54に示すように、前記ドナー基板および前記キャリア部材は、本発明の実施例に係る接着基板構造5400を形成するために、互いに接着される。図示されるように、前記ドナー基板は、前記支持部材基板に接着される。前記基板は、エレクトロニック・ヴィジョン・グループ(Electronic Vision Group)によって製造されたEVG850ボンディング工具または直径200mmまたは300mmのウエーハのようなより小さい基板サイズのための他の同様なプロセスを用いて接着されてもよい。また、カール・サス(Karl Suss)によって製造されたような他の種類の工具が用いられてもよい。前記キャリア部材は、前記ドナー基板に永続的に付着され、光電子装置用パッケージングの一部として用いられてもよい。あるいは、前記キャリア部材は、一時的に前記ドナー基板に付着されており、もう一つの実施例に従って、取り外されてもよい。もちろん、他に変形、修正、あるいは代替することも可能である。
接着後には、接着基板構造は、ある特定の実施例に係る第1の熱処理に曝される。前記第1の熱処理は、ある特定の実施例において、前記処理基板に結合されるサーマルプレートのような発熱体を用いた加熱処理であってもよい。もう一つの実施例では、前記第1の熱処理は、ドナー基板に結合されるサーマルプレートのような発熱体を用いた加熱処理であってもよい。前記第1の熱処理は、前記ドナー基板の層の一部と前記処理基板の一部を通して、温度勾配を提供する。加えて、前記第1の熱処理は、所定の温度で所定時間、接着基板構造を保持する。温度は、シリコンドナー基板および前記支持部材基板に対して互いを接着するために、約200または250℃から約400℃の範囲にあれば好ましく、約1時間程度約350℃であればより好ましい。ある特定の実施例によれば、他に変形、修正、あるいは代替することも可能である。
ある特定の実施例では、前記両基板は、低温熱ステップを用いて共に接続されたり、融着されたりする。低温熱処理プロセスは、一般的に、前記注入粒子が、前記材料部に過度のストレスを及ぼさないことを保証し、前記材料部は、非制御な劈開活動を生じる。ある特定の実施例では、前記低温接着プロセスは、自己接着プロセスによって生じる。
あるいは、種々の他の低温技術が、前記ドナー基板表面部を前記支持部材基板に接続するために用いられてもよい。例えば、2つの基板を共に接続するために、静電接着技術が用いられてもよい。特に、一方のまたは双方の基板表面が、他方の基板表面に引き付けられるように変化する。加えて、前記ドナー基板は、種々の他の公知技術で前記支持部材基板に融着されてもよい。もちろん、用いられる他の技術は、アプリケーション次第である。
再び図54を参照すると、本製造方法は、前記第1の半導体材料層が、前記支持部材基板に接続されたまま、前記ドナー基板から第1の半導体材料層を分離するために、選択された劈開平面の一部に供給されるエネルギー5401を用いて、劈開プロセスを開始する工程を有する。ある特定の実施例によれば、任意の他の変形例があってもよい。例えば、前記劈開プロセスは、前記材料層が、前記支持部材基板に接続されたまま、ドナーから前記材料層を選択的に取り除くために、劈開正面を伝播する工程を用いた制御された劈開プロセスであってもよい。また、もう一つの劈開技術が用いられてもよい。このような技術が包含するのは、カリフォルニア州サンノゼにあるシリコン・ジェネシス・コーポレーションのナノ劈開(Nanocleave(商標名))プロセスと呼ばれる技術、フランスのソイテック・エスエーのスマートカット(SmartCut(商標名))プロセスによって用いられるような熱放出、日本の東京にあるキャノン株式会社のエルトラン(Eltran(商標名))プロセスによって用いられるような多孔性シリコン劈開層、および同様なプロセスなどであるが、これに限られるものではない。そして、本製造方法は、ある特定の実施例に係る前記支持部材基板に第1の材料層を備えるドナー基板の残存部を除去する。前記ドナー基板2805の残存部は、好ましい実施例に係るもう一つのドナー基板として用いられてもよい。
図55に示されるように、本製造方法は、生成接着構造5500を備える。前記生成接着構造は、表面部5503を有する半導体材料の上を覆う層を有する。ある特定の実施例では、本製造方法は、半導体材料層と半導体支持部材間の実質的に永続する接着を形成するために、前記生成接着構造を接着プロセス5501に適用する。好ましい実施例では、前記接着プロセスは、熱処理を含む。前記熱処理は、適切な速熱プロセス、レーザー照射を用いた速熱プロセスなどであってもよい。ある特定の実施例では、前記熱処理は、光源(単色ランプ、フラッシュランプあるいは他の適切な光源)を用いて、前記生成接着構造に放射線を照射する工程を有する。もちろん、他に変形、修正、あるいは代替することも可能である。
図55に示されるように、本製造方法は、表面準備プロセス5501にも前記生成接着構造を適用する。このような表面準備プロセスは、プラズマ活性またはプラズマ洗浄、エッチングステップ、研磨ステップ、ある実施例における組み合わせを含んでもよい。ある特定の実施例では、前記表面準備プロセスは、蒸着および/または形成プロセスを用いた層転写材料の厚膜化に所望の表面特性をもたらす。例えば、次の劈開表面が、エピタキシャルフィルム品質を最適化および/または改良するために除去されるべき多少の欠陥材料有する特定の粒度の層を有してもよい。もちろん、他に変形、修正、あるいは代替することも可能である。
図56を参照すると、本製造方法は、全材料層5601を形成するために、第1の半導体材料層の上を覆う厚い表面層を形成する工程を有する。ある特定の実施例では、前記厚い表面層は、エピタキシャルプロセスおよび/または他の蒸着プロセスを用いて形成されてもよい。これらのプロセスは、プラズマCVD(PECVD)、熱CVD、光触媒CVD、グロー放電CVD、熱線/触媒CVDなどを含んでいてもよい。これらのプロセスは、直接的にまたはある特定の実施例に係るアニールのような他のステップとの組み合わせのいずれかで、適切な単結晶シリコンまたは同様な材料を形成してもよい。一例を挙げれば、前記材料は、単結晶シリコン、アモルファスシリコン、多結晶シリコン、ゲルマニウムおよびシリコン−ゲルマニウム合金であってもよい。例えば、アモルファスシリコンで都合よく実現されるのは、テンプレートのような上面を覆うシリコン材料の転写層を用いた単結晶シリコンの固相エピタキシャル成長である。シリコン材料の蒸着の効率を向上できるもう一つの方法は、テンプレートのようなシリコン材料の転写層の上を覆う単結晶シリコンを生じさせるために、熱的に処理されるシリコンナノ粒子(好都合にはアモルファスシリコン)を用いて表面をスプレーしたり、コートしたりすることである。この方法は、乾燥または後続のプロセスの間に除去される液体を用いる工程に適用され得る。多結晶シリコンおよび他の材料は、レーザーアニール、瞬時の熱処理などの適正な処理を用いた速熱アニール液相ステップを通して、単結晶の再成長を許容してもよい。PECVDまたは熱CVDのような他のエピタキシャルプロセスは、直接的に層転写シリコンフィルムの上に単結晶シリコンを成長させるのに用いられてもよい。ある特定の実施例では、全材料層は、約50μmから約200μmの範囲にあってもよい。他の実施例では、厚材は、本願と同一出願人である米国仮特許出願番号60/822,473(米国代理人事件整理番号18419−021600US)に記載されるようなトリシラン種を用いて提供されてもよく、全ての目的において参照されて、ここに包含される。もちろん、他に変形、修正、あるいは代替することも可能である。
ある特定の実施例では、前記転写材料は、厚材層を形成するためにアモルファスシリコン層を用いて厚くされる。ある特定の実施例では、前記アモルファスシリコン層は、ナノ粒子(アモルファスシリコン、結晶シリコン、ポリシリコン、またはこれらの組み合わせ)のアプリケーションを用いて蒸着され、前記ナノ粒子は、厚材のシートの形成を促すために熱処理に曝される。あるいは、前記アモルファスシリコン層は、ある特定の実施例に係る物理蒸着または化学蒸着(プラズマ強化など)を用いて低温で形成されてもよい。ある特定の実施例では、蒸着されるアモルファスシリコン層は、結晶性シリコンを形成するために、800℃以上の温度で保持されてもよい。前記厚材は、脱着可能な材料と同等な所望の熱膨張係数を有すると好ましい。もう1つのある特定の実施例では、前記転写材料は、シランまたはSiCl、ジクロロシラン、またはトリクロロシランのようなクロロシラン種、または適切な組み合わせなどを用いた高温CVDによって厚くされる。
本実施例によれば、全材料層は、層内部で光電子セルを少なくとも1つ形成するために不純物に曝されてもよい。ある特定の実施例では、前記不純物は、厚膜化ステップの間に、原位置(in−situ)ドープされ、拡散および/またはイオンビーム、プラズマ浸漬注入または従来の注入技術を用いて注入されてもよい。光電子セル構造は、ある特定の実施例に係るpn接合または多重pn接合をもたらすために、P型およびN型不純物のような不純物領域からなる。もちろん、他に変形、修正、あるいは代替することも可能である。
図57に示すように、本製造方法は、そこで形成された光電子セル構造を少なくとも1つ有する全材料層の上を覆う接触層5701を形成する。前記接触層は、ITOなどのような適切な透明導電性材料から作られてもよい。また、他の材料が用いられてもよい。好ましい実施例では、前記接触層は、光電子セルのそれぞれに結合する複数の電極を形成するために、パターン化される。前記光電子セルが反対側から照らされることになっている場合、前記接触は、透過に対して不透明であってもよく、セルを通過する復路を許容することによって、光収集効率を最大にしおよび/または改善するのを助勢するために、高反射性であると好ましい。さらに効率を最適化するために、前記表面を織布状に形成することも、より全体に渡る光吸収および転換を備える多重内部反射を促して前記セル内部で前記光を反射性からランバート(Lambertian)に変換することによってよく知られている。もちろん、他に変形、修正、あるいは代替することも可能である。
ある特定の実施例では、本製造方法は、図58に示すような第1の光電子セルを含むパターン化された接触層の上を覆う表面部5803を有する第1の平坦誘電層5801も形成する。前記誘電層は、CVDプロセスなどを用いて蒸着される二酸化ケイ素のような材料を含んでもよい。ある特定の実施例では、前記誘電層は、電磁放射が前記誘電層(複数でも可)を通過するために、実質的に光学的に透明である。簡略化された光電子セル構造5800が、図58に示されている。もちろん、他に変形、修正、あるいは代替することも可能である。
上記が、ある特定の実施例の詳細な記述であるが、種々の修正例、代替構造および等価なものが用いられてもよい。上記は、選択されたシーケンスのステップを用いて記述されているが、他と同様に記述されたあらゆるステップの要素のあらゆる組み合わせが用いられてもよい。加えて、実施例に基づいて任意のステップが結合および/または除去されてもよい。さらに、水素粒子は、もう一つの実施例に係る変更量および/または劈開特性を有する劈開平面の形態を実現するために、ヘリウムと水素イオンの共注入を用いて代替されてもよい。ある実施例では、裏基板が、処理基板およびドナー基板を含む基板のそれぞれに適用されてもよい。もう一つの実施例では、コーティングが、透明性材料の表面または他の領域に備えられてもよい。
加えて、一対の処理基板は、互いに結合されてもよく、前記処理基板では、裏側が、ある特定の実施例に係るあらゆる蒸着および/またはエッチングプロセスから前記裏側を自由にしておくために、プロセス中に互いに接触している。あるいは、それぞれの処理基板は、ある特定の実施例に係る裏側および表側表面の蒸着および/またはエッチングを実現するために、裏側と表側を曝すこともできる。好ましい実施例では、前記蒸着方法は、ガラス処理基板への損傷を防止するために、900℃以下の温度またはより好ましくは750℃以下の温度で実行される。それゆえ、上記記述と例示は、請求項によって定義される本発明の範囲を制限するものとして解釈されるべきではない。
本発明の実施例に係る光電子装置の製造方法を示す図である。 本発明の実施例に係る光電子装置の製造方法を示す図である。 本発明の実施例に係る光電子装置の製造方法を示す図である。 本発明の実施例に係る光電子装置の製造方法を示す図である。 本発明の実施例に係る光電子装置の製造方法を示す図である。 本発明の実施例に係る光電子装置の製造方法を示す図である。 本発明の実施例に係る光電子装置の製造方法を示す図である。 本発明の実施例に係る光電子装置の製造方法を示す図である。 本発明の実施例に係る光電子装置の製造方法を示す図である。 本発明の実施例に係る光電子装置の製造方法を示す図である。 本発明の実施例に係る光電子装置の製造方法を示す図である。 本発明の実施例に係る光電子装置の製造方法を示す図である。 本発明の実施例に係る光電子装置の製造方法を示す図である。 本発明の実施例に係る光電子装置の製造方法を示す図である。 本発明の実施例に係るグロー放電反応室の概略図である。 本発明の実施例に係る熱CVD反応炉システムの概略図である。 シリコンの水素フリーな固相エピタキシャル再結晶化速度を温度の関数として簡易的にプロットしたものである。 シランガスの蒸着速度およびシリコンの固相エピタキシャル成長速度を温度の関数として簡易的にプロットしたものである。 本発明の実施例に係る太陽電池の構成の概略図を示したものである。 本発明の実施例に係る太陽電池の構成の概略図を示したものである。 本発明の実施例に係る太陽電池の構成の概略図を示したものである。 本発明の実施例に係る太陽電池の構成の概略図を示したものである。 本発明の実施例に係る反射表面部を有する太陽電池の概略図を示したものである。 本発明の実施例に係るレンズまたは光転送部を有する太陽電池の概略図を示したものである。 本発明の実施例に係る薄膜セル層の内部で導波光捕獲モードに結合された光転送部を有する太陽電池の概略図を示したものである。 本発明の実施例に係る代わりの単一接合シリコン太陽電池を示す図である。 本発明の実施例に係る代わりの単一接合シリコン太陽電池を示す図である。 本発明の実施例に係る代わりの単一接合シリコン太陽電池を示す図である。 本発明のもう一つの実施例に係る二重接合シリコン太陽電池を示した図である。 本発明のもう一つの実施例に係る三重接合シリコン太陽電池を示した図である。 本発明の実施例に係る層転写プロセスを用いたソーラーモジュール用太陽電池の製造方法のプロセスフローの概略を示したものである。 本発明の実施例に係る層転写プロセスを用いたソーラーモジュール用太陽電池の製造方法を示す概略図である。 本発明の実施例に係る層転写プロセスを用いたソーラーモジュール用太陽電池の製造方法を示す概略図である。 本発明の実施例に係る層転写プロセスを用いたソーラーモジュール用太陽電池の製造方法を示す概略図である。 本発明の実施例に係る層転写プロセスを用いたソーラーモジュール用太陽電池の製造方法を示す概略図である。 本発明の実施例に係る層転写プロセスを用いたソーラーモジュール用太陽電池の製造方法を示す概略図である。 本発明の実施例に係る層転写プロセスを用いたソーラーモジュール用太陽電池の製造方法を示す概略図である。 本発明の実施例に係る層転写プロセスを用いたソーラーモジュール用太陽電池の製造方法を示す概略図である。 本発明の実施例に係る層転写プロセスを用いたソーラーモジュール用太陽電池の製造方法を示す概略図である。 本発明の実施例に係る層転写プロセスを用いたソーラーモジュール用太陽電池の製造方法を示す概略図である。 本発明の実施例に係る層転写プロセスを用いたソーラーモジュール用太陽電池の製造方法を示す概略図である。 本発明の実施例に係る層転写プロセスを用いたソーラーモジュール用太陽電池の製造方法を示す概略図である。 本発明の実施例に係る層転写プロセスを用いたソーラーモジュール用太陽電池のその他の製造方法のプロセスフローの概略を示したものである。 本発明の更に代わりの実施例に係る層転写プロセスを用いたソーラーモジュール用太陽電池の製造方法を示す概略図である。 本発明の更に代わりの実施例に係る層転写プロセスを用いたソーラーモジュール用太陽電池の製造方法を示す概略図である。 本発明の更に代わりの実施例に係る層転写プロセスを用いたソーラーモジュール用太陽電池の製造方法を示す概略図である。 本発明の更に代わりの実施例に係る層転写プロセスを用いたソーラーモジュール用太陽電池の製造方法を示す概略図である。 本発明の更に代わりの実施例に係る層転写プロセスを用いたソーラーモジュール用太陽電池の製造方法を示す概略図である。 本発明の更に代わりの実施例に係る層転写プロセスを用いたソーラーモジュール用太陽電池の製造方法を示す概略図である。 本発明の更に代わりの実施例に係る層転写プロセスを用いたソーラーモジュール用太陽電池の製造方法を示す概略図である。 本発明の更に代わりの実施例に係る層転写プロセスを用いたソーラーモジュール用太陽電池の製造方法を示す概略図である。 本発明の更に代わりの実施例に係る層転写プロセスを用いたソーラーモジュール用太陽電池の製造方法を示す概略図である。 本発明の更に代わりの実施例に係る層転写プロセスを用いたソーラーモジュール用太陽電池の製造方法を示す概略図である。 本発明の更に代わりの実施例に係る層転写プロセスを用いたソーラーモジュール用太陽電池の製造方法を示す概略図である。 本発明の更に代わりの実施例に係る層転写プロセスを用いたソーラーモジュール用太陽電池のその他の製造方法のプロセスフローの概略を示したものである。 本発明の更に代わりの実施例に係る層転写プロセスを用いたソーラーモジュール用太陽電池の製造方法を示す概略図である。 本発明の更に代わりの実施例に係る層転写プロセスを用いたソーラーモジュール用太陽電池の製造方法を示す概略図である。 本発明の更に代わりの実施例に係る層転写プロセスを用いたソーラーモジュール用太陽電池の製造方法を示す概略図である。 本発明の更に代わりの実施例に係る層転写プロセスを用いたソーラーモジュール用太陽電池の製造方法を示す概略図である。 本発明の更に代わりの実施例に係る層転写プロセスを用いたソーラーモジュール用太陽電池の製造方法を示す概略図である。 本発明の更に代わりの実施例に係る層転写プロセスを用いたソーラーモジュール用太陽電池の製造方法を示す概略図である。 本発明の更に代わりの実施例に係る層転写プロセスを用いたソーラーモジュール用太陽電池の製造方法を示す概略図である。

Claims (37)

  1. 表面部、劈開部および、前記表面部と前記劈開部との間にあって、除去される第1の材料層を有する半導体基板を形成する工程と、
    前記半導体基板の前記表面部を、第1の表面部および第2の表面部を有する光学透明性基板の前記第1の表面部に結合する工程と、
    前記表面部を前記第1の表面部に結合したままで、前記半導体基板を劈開して、前記第1の材料層を前記半導体基板から除去して、劈開表面部を形成する工程と、さらに、
    前記劈開表面部の上をアモルファスシリコンで形成された第2の半導体材料層で覆う工程と、
    前記光学透明性基板の前記第2の表面部に、光線を前記光学透明性基板に対して斜めに入射させる光学素子を結合する工程と、からなる
    ことを特徴とする光電子セルの製造方法。
  2. さらに、アモルファスシリコン層の結晶化を含む
    ことを特徴とする請求項に記載の光電子セルの製造方法。
  3. 第1の表面および第2の表面からなる光学透明性基板と、
    前記光学透明性基板の第1の表面の上を覆う第1の表面部および第2の表面部を有する第1の材料層と、
    前記材料層の第1の表面部と光学透明性材料の第1の表面との間に担持される光結合材料と、
    半導体材料の生成層を形成するために、前記第2の表面部の上を覆うアモルファスシリコンからなる第2の半導体材料層と、
    前記光学透明性基板の前記第2の表面部を覆って、光線を前記光学透明性基板に対して斜めに入射させる光学素子と、を備える
    ことを特徴とする光電子セル装置。
  4. 前記光結合材料は、酸化スズ、インジウム−スズ酸化物、酸化亜鉛または二酸化チタンを含んでなる
    ことを特徴とする請求項に記載の光電子セル装置。
  5. 前記第1の半導体材料層は、単結晶シリコン材料を含んでなる
    ことを特徴とする請求項に記載の光電子セル装置。
  6. 前記光学透明性材料は、ガラス基板、石英基板またはプラスチック基板を含んでなる
    ことを特徴とする請求項に記載の光電子セル装置。
  7. さらに、前記第2の半導体材料層の上を覆う裏面カバーを含んでなる
    ことを特徴とする請求項に記載の光電子セル装置。
  8. 劈開部と、表面部と、前記劈開部と前記表面部との間で定められる第1のシリコン材料層と、を備えるドナー基板を形成する工程と、
    前記劈開部の周辺の内側で前記ドナー基板の一部を分離するため、および前記第1のシリコン材料層の上を覆う劈開表面部の形成を促すように処理基板の処理基板表面に前記表面部を結合するために、前記第1のシリコン材料層を前記処理基板の処理基板表面に転写する工程と、
    反応室にシラン種を含むガスを供給する工程と、
    グロー放電CVD法、プラズマCVD法、光CVD法または熱CVD法を含む1または複数のプロセスから選ばれるプロセスを用いて、前記反応室内部にある前記処理基板の前記処理基板表面部にシリコン蒸着状態を促すように、前記シラン種を用いる工程と、
    前記第1のシリコン材料の上を覆う前記シラン種を用いて、アモルファスシリコンからなる第2の材料層を形成する工程と、
    前記ドナー基板を、第1の表面部および第2の表面部を有する光学透明性基板の前記第1の表面部に結合する工程と、
    前記光学透明性基板の前記第2の表面部に、光線を前記光学透明性基板に対して斜めに入射させる光学素子を結合する工程と、を有する
    ことを特徴とする光電子セル装置の製造方法。
  9. 前記第2の材料層を形成する温度は、約650℃以下の範囲にある温度に維持される
    ことを特徴とする請求項に記載の光電子セル装置の製造方法。
  10. さらに、前記第2の材料層の厚さをより増加するために、供給、使用、形成、および処理プロセスを繰り返す工程を有する
    ことを特徴とする請求項に記載の光電子セル装置の製造方法。
  11. さらに、洗浄プロセスに前記劈開表面部を曝す工程を有する
    ことを特徴とする請求項に記載の光電子セル装置の製造方法。
  12. 前記洗浄プロセスは、プラズマへの曝露を含む
    ことを特徴とする請求項11に記載の光電子セル装置の製造方法。
  13. 前記洗浄プロセスは、湿式洗浄プロセスへの曝露を含む
    ことを特徴とする請求項11に記載の光電子セル装置の製造方法。
  14. 劈開部と、表面部と、前記劈開部と前記表面部との間で定められる第1のシリコンあるいはゲルマニウム材料層を有するドナー基板を形成する工程と、
    前記第1のシリコンあるいはゲルマニウム材料層の上を覆う劈開表面部の形成を促すように、前記劈開部の周辺の内側で前記ドナー基板の一部を分離するため、および処理基板の処理基板表面部に前記表面部を結合するために、前記第1のシリコンあるいはゲルマニウム材料層を前記処理基板の処理基板表面部に転写する工程と、
    反応室にシランおよび/またはゲルマン種を含むガスを供給する工程と、
    少なくともグロー放電CVD、プラズマCVD、光CVDまたは熱CVDから選択されるプロセスおよびシランおよび/またはゲルマン種を用いて、前記第1のシリコンまたはゲルマニウム材料層の上を覆う材料を結晶化するための材料の固相エピタキシャル再成長速度と等しいか、より速いか、またはより遅い蒸着速度で前記第1のシリコンまたはゲルマニウム材料層を厚くするために、前記劈開表面の上を覆って材料を蒸着してアモルファスシリコン層を形成する工程と、
    前記ドナー基板を、第1の表面部および第2の表面部を有する光学透明性基板の前記第1の表面部に結合する工程と、
    前記光学透明性基板の前記第2の表面部に、光線を前記光学透明性基板に対して斜めに入射させる光学素子を結合する工程と、からなる
    ことを特徴とする光電子セル装置の製造方法。
  15. 前記材料の蒸着は、約650℃以下の温度で生じる
    ことを特徴とする請求項14に記載の光電子セル装置の製造方法。
  16. さらに、前記材料の蒸着前に、グラフォエピタキシーによってシード層を形成する工程を有する
    ことを特徴とする請求項14に記載の光電子セル装置の製造方法。
  17. 前記シラン種は、トリシラン、ジシラン、ジクロロシラン、トリクロロシランまたはモノシランを含む
    ことを特徴とする請求項14に記載の光電子セル装置の製造方法。
  18. 前記ゲルマン種は、ゲルマン(GeH)またはジゲルマン(Ge)を含む
    ことを特徴とする請求項14に記載の光電子セル装置の製造方法。
  19. PおよびN接合部を形成するために、前記シランおよび/またはゲルマン種の蒸着は、1または複数のドーパントガスを含む
    ことを特徴とする請求項14に記載の光電子セル装置の製造方法。
  20. さらに、前記処理基板表面部および前記処理基板の裏面部に第1の光電子部を形成し、
    そして、前記処理基板表面部には第1の光電子材料を、前記処理基板の裏面には第2の光電子材料をそれぞれ形成するために、前記処理基板の裏面部に、第2のシリコン材料を同時蒸着する工程を含む
    ことを特徴とする請求項14に記載の光電子セル装置の製造方法。
  21. 処理基板表面部を有する処理基板と、
    前記処理基板表面部の上を覆う界面材料と、
    前記界面材料の上を覆う層転写フィルムと、
    1または複数の欠陥を有する単結晶シリコンまたは単結晶ゲルマニウム材料からなる第1の蒸着層と、
    前記第1の蒸着層を覆うアモルファスシリコンからなる第2の蒸着層と、を備えるとともに、
    前記処理基板は光学透明性基板であって、
    前記光学透明性基板の前記界面材料で覆われた表面の反対側の表面を覆って、光線を前記光学透明性基板に対して斜めに入射させる光学素子を備える
    ことを特徴とする光電子装置。
  22. 前記単結晶シリコンまたは単結晶ゲルマニウムの蒸着層は、前記層転写フィルムの上を覆うP型材料および前記P型材料の上を覆うN型材料を有する
    ことを特徴とする請求項21に記載の光電子装置。
  23. 前記P型材料は、P+型材料を含む
    ことを特徴とする請求項22に記載の光電子装置。
  24. 前記単結晶シリコンまたは単結晶ゲルマニウムの蒸着層は、前記層転写フィルムの上を覆うN型材料および前記N型材料の上を覆うP型材料を有する
    ことを特徴とする請求項21に記載の光電子装置。
  25. 表面部、底部および前記表面部と前記底部との間に所定の層を有する支持部材を形成する工程と、
    前記支持部材の表面部の上を覆う脱着可能な材料を形成する工程と、
    前記支持部材の上を覆う前記脱着可能な材料の上を覆う第1の転写材料層を形成するために、第1の半導体材料層を前記脱着可能な材料の上を覆う第1のドナー基板から転写する工程と、
    前記脱着可能な材料の上を覆う全材料層を形成するために、前記第1の転写材料層の上を覆うアモルファスシリコンからなる第2の半導体材料層を形成する工程と、
    前記脱着可能な材料から前記全材料層を分離するために、前記脱着可能な材料から前記全材料層を切り離す工程と、
    前記全材料層の1または複数の部分に1または複数の光電子装置を形成する工程と、からなるとともに、
    前記支持部材は、光学透明性基板であって、
    前記光学透明性基板の前記底部に、光線を前記光学透明性基板に対して斜めに入射させる光学素子を結合する工程をさらに有する
    ことを特徴とする1または複数のソーラーモジュールに用いられる太陽電池の製造方法。
  26. 前記支持部材は、第1のドナー基板が有する第2の熱膨張係数と実質的に一致する第1の熱膨張係数を有する
    ことを特徴とする請求項25に記載のソーラーモジュールに用いられる太陽電池の製造方法。
  27. 前記表面部は、表面粗さと上面を覆う酸化物層を有する
    ことを特徴とする請求項25に記載のソーラーモジュールに用いられる太陽電池の製造方法。
  28. 前記表面粗さは、少なくとも多孔性材料によって実現される
    ことを特徴とする請求項27に記載のソーラーモジュールに用いられる太陽電池の製造方法。
  29. 前記シリコン支持部材は、前記支持部材の底部から前記支持部材層を通って、前記表面部の一部に向かって広がる開口部を有するとともに、前記開口部は流体駆動源に結合され、
    前記流体駆動源は、前記底部と前記表面部の一部との間で、前記開口部の内部に圧力勾配を誘発できる
    ことを特徴とする請求項25に記載のソーラーモジュールに用いられる太陽電池の製造方法。
  30. 前記圧力勾配は、流体によって提供され、前記脱着可能な材料からの前記全材料層の除去を容易にする
    ことを特徴とする請求項29に記載のソーラーモジュールに用いられる太陽電池の製造方法。
  31. 前記流体は、前記脱着可能な材料に対するエッチング液である
    ことを特徴とする請求項30に記載のソーラーモジュールに用いられる太陽電池の製造方法。
  32. 劈開工程は、前記脱着可能な材料の一部から全層の一部を除去する開始プロセスと、前記脱着可能な材料から前記全層を分離し続ける伝播プロセスと、を有する
    ことを特徴とする請求項25に記載のソーラーモジュールに用いられる太陽電池の製造方法。
  33. 前記第2の層を形成する工程は、エピタキシャルシリコン材料を蒸着する工程を含む
    ことを特徴とする請求項25に記載のソーラーモジュールに用いられる太陽電池の製造方法。
  34. シリコン支持部材は再利用できる
    ことを特徴とする請求項25に記載のソーラーモジュールに用いられる太陽電池の製造方法。
  35. 前記脱着可能な材料は、表面粗さおよび上面を覆う誘電材料を備える
    ことを特徴とする請求項25に記載のソーラーモジュールに用いられる太陽電池の製造方法。
  36. さらに、1または複数の光電子材料を全材料層の1または複数の部分に形成する工程を含んでなる
    ことを特徴とする請求項25に記載のソーラーモジュールに用いられる太陽電池の製造方法。
  37. 前記全層は、200ミクロン以下の寸法である
    ことを特徴とする請求項25に記載のソーラーモジュールに用いられる太陽電池の製造方法。
JP2009500632A 2006-03-17 2007-03-16 太陽電池の製造方法および構造 Expired - Fee Related JP5367562B2 (ja)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US78358606P 2006-03-17 2006-03-17
US60/783,586 2006-03-17
US82247306P 2006-08-15 2006-08-15
US60/822,473 2006-08-15
US82335606P 2006-08-23 2006-08-23
US82335406P 2006-08-23 2006-08-23
US60/823,354 2006-08-23
US60/823,356 2006-08-23
PCT/US2007/064213 WO2007109568A2 (en) 2006-03-17 2007-03-16 Method and structure for fabricating solar cells

Publications (3)

Publication Number Publication Date
JP2009530833A JP2009530833A (ja) 2009-08-27
JP2009530833A5 JP2009530833A5 (ja) 2012-08-23
JP5367562B2 true JP5367562B2 (ja) 2013-12-11

Family

ID=38523204

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009500632A Expired - Fee Related JP5367562B2 (ja) 2006-03-17 2007-03-16 太陽電池の製造方法および構造

Country Status (4)

Country Link
US (2) US7863157B2 (ja)
EP (1) EP1997124A4 (ja)
JP (1) JP5367562B2 (ja)
WO (1) WO2007109568A2 (ja)

Families Citing this family (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7863157B2 (en) * 2006-03-17 2011-01-04 Silicon Genesis Corporation Method and structure for fabricating solar cells using a layer transfer process
US8153513B2 (en) 2006-07-25 2012-04-10 Silicon Genesis Corporation Method and system for continuous large-area scanning implantation process
JP2008112847A (ja) 2006-10-30 2008-05-15 Shin Etsu Chem Co Ltd 単結晶シリコン太陽電池の製造方法及び単結晶シリコン太陽電池
RU2472247C2 (ru) * 2007-11-02 2013-01-10 Президент Энд Феллоуз Оф Гарвард Колледж Изготовление самостоятельных твердотельных слоев термической обработкой подложек с полимером
US7902051B2 (en) * 2008-01-07 2011-03-08 International Business Machines Corporation Method for fabrication of single crystal diodes for resistive memories
US8129613B2 (en) * 2008-02-05 2012-03-06 Twin Creeks Technologies, Inc. Photovoltaic cell comprising a thin lamina having low base resistivity and method of making
US8563352B2 (en) * 2008-02-05 2013-10-22 Gtat Corporation Creation and translation of low-relief texture for a photovoltaic cell
US8178419B2 (en) 2008-02-05 2012-05-15 Twin Creeks Technologies, Inc. Method to texture a lamina surface within a photovoltaic cell
US8481845B2 (en) * 2008-02-05 2013-07-09 Gtat Corporation Method to form a photovoltaic cell comprising a thin lamina
WO2009120631A2 (en) * 2008-03-25 2009-10-01 Applied Materials, Inc. Surface cleaning and texturing process for crystalline solar cells
US20090242031A1 (en) * 2008-03-27 2009-10-01 Twin Creeks Technologies, Inc. Photovoltaic Assembly Including a Conductive Layer Between a Semiconductor Lamina and a Receiver Element
US20090242010A1 (en) * 2008-03-27 2009-10-01 Twin Creeks Technologies, Inc. Method to Form a Photovoltaic Cell Comprising a Thin Lamina Bonded to a Discrete Receiver Element
KR101428719B1 (ko) * 2008-05-22 2014-08-12 삼성전자 주식회사 발광 소자 및 발광 장치의 제조 방법, 상기 방법을이용하여 제조한 발광 소자 및 발광 장치
US20090293954A1 (en) * 2008-05-30 2009-12-03 Semiconductor Energy Laboratory Co., Ltd. Photoelectric Conversion Device And Method For Manufacturing The Same
CN102099923B (zh) 2008-06-11 2016-04-27 因特瓦克公司 使用注入的太阳能电池制作
US7981778B2 (en) * 2009-07-22 2011-07-19 Applied Materials, Inc. Directional solid phase crystallization of thin amorphous silicon for solar cell applications
US20100031995A1 (en) * 2008-08-10 2010-02-11 Twin Creeks Technologies, Inc. Photovoltaic module comprising thin laminae configured to mitigate efficiency loss due to shunt formation
US20100032010A1 (en) * 2008-08-10 2010-02-11 Twin Creeks Technologies, Inc. Method to mitigate shunt formation in a photovoltaic cell comprising a thin lamina
US8338209B2 (en) * 2008-08-10 2012-12-25 Twin Creeks Technologies, Inc. Photovoltaic cell comprising a thin lamina having a rear junction and method of making
US8030206B2 (en) * 2008-08-27 2011-10-04 The Boeing Company Coplanar solar cell metal contact annealing in plasma enhanced chemical vapor deposition
US8088675B2 (en) * 2008-09-19 2012-01-03 Applied Materials, Inc. Methods of making an emitter having a desired dopant profile
US8367798B2 (en) * 2008-09-29 2013-02-05 The Regents Of The University Of California Active materials for photoelectric devices and devices that use the materials
US20120104460A1 (en) 2010-11-03 2012-05-03 Alta Devices, Inc. Optoelectronic devices including heterojunction
KR20110086098A (ko) 2008-10-23 2011-07-27 알타 디바이씨즈, 인크. 광전지 장치
US7967936B2 (en) * 2008-12-15 2011-06-28 Twin Creeks Technologies, Inc. Methods of transferring a lamina to a receiver element
US7927975B2 (en) 2009-02-04 2011-04-19 Micron Technology, Inc. Semiconductor material manufacture
TWI379430B (en) * 2009-04-16 2012-12-11 Atomic Energy Council A method of fabricating a thin interface for internal light reflection and impurities isolation
US20100276071A1 (en) * 2009-04-29 2010-11-04 Solarmer Energy, Inc. Tandem solar cell
US8288646B2 (en) * 2009-05-06 2012-10-16 UltraSolar Technology, Inc. Pyroelectric solar technology apparatus and method
US20100310775A1 (en) * 2009-06-09 2010-12-09 International Business Machines Corporation Spalling for a Semiconductor Substrate
US8802477B2 (en) * 2009-06-09 2014-08-12 International Business Machines Corporation Heterojunction III-V photovoltaic cell fabrication
US8703521B2 (en) * 2009-06-09 2014-04-22 International Business Machines Corporation Multijunction photovoltaic cell fabrication
US20110048517A1 (en) * 2009-06-09 2011-03-03 International Business Machines Corporation Multijunction Photovoltaic Cell Fabrication
US8633097B2 (en) * 2009-06-09 2014-01-21 International Business Machines Corporation Single-junction photovoltaic cell
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
US8440496B2 (en) * 2009-07-08 2013-05-14 Solarmer Energy, Inc. Solar cell with conductive material embedded substrate
US8372945B2 (en) 2009-07-24 2013-02-12 Solarmer Energy, Inc. Conjugated polymers with carbonyl substituted thieno[3,4-B]thiophene units for polymer solar cell active layer materials
US9691921B2 (en) 2009-10-14 2017-06-27 Alta Devices, Inc. Textured metallic back reflector
US20150380576A1 (en) 2010-10-13 2015-12-31 Alta Devices, Inc. Optoelectronic device with dielectric layer and method of manufacture
US9768329B1 (en) * 2009-10-23 2017-09-19 Alta Devices, Inc. Multi-junction optoelectronic device
US20170141256A1 (en) 2009-10-23 2017-05-18 Alta Devices, Inc. Multi-junction optoelectronic device with group iv semiconductor as a bottom junction
US11271128B2 (en) 2009-10-23 2022-03-08 Utica Leaseco, Llc Multi-junction optoelectronic device
US9502594B2 (en) 2012-01-19 2016-11-22 Alta Devices, Inc. Thin-film semiconductor optoelectronic device with textured front and/or back surface prepared from template layer and etching
US8399889B2 (en) 2009-11-09 2013-03-19 Solarmer Energy, Inc. Organic light emitting diode and organic solar cell stack
FR2954002B1 (fr) * 2009-12-16 2013-01-18 Emcore Solar Power Inc Procede pour la production de cellules solaires multijonction metamorphiques inversees
US8349626B2 (en) * 2010-03-23 2013-01-08 Gtat Corporation Creation of low-relief texture for a photovoltaic cell
US20130068287A1 (en) * 2010-05-10 2013-03-21 University Of Toledo Rapid Thermal Activation of Flexible Photovoltaic Cells and Modules
MX2012013614A (es) 2010-05-26 2013-03-20 Univ Toledo Estructuras fotovoltaicas que tienen una capa de interfaz de difraccion de luz y metodos para fabricar las mismas.
US8598020B2 (en) 2010-06-25 2013-12-03 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of crystalline germanium
US9011599B2 (en) * 2010-07-14 2015-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of temperature determination for deposition reactors
US8358476B2 (en) * 2010-11-23 2013-01-22 Institute Of Nuclear Energy Research, Atomic Energy Council, Executive Yuan Condensing lens for high concentration photovoltaic module and manufacturing method thereof
US20120152352A1 (en) * 2010-12-15 2012-06-21 Egypt Nanotechnology Center Photovoltaic devices with an interfacial germanium-containing layer and methods for forming the same
US8268645B2 (en) 2010-12-29 2012-09-18 Twin Creeks Technologies, Inc. Method and apparatus for forming a thin lamina
US8435804B2 (en) 2010-12-29 2013-05-07 Gtat Corporation Method and apparatus for forming a thin lamina
US8129215B1 (en) 2011-04-01 2012-03-06 James P Campbell Method for producing high temperature thin film silicon layer on glass
US9818901B2 (en) 2011-05-13 2017-11-14 International Business Machines Corporation Wafer bonded solar cells and fabrication methods
US8927318B2 (en) * 2011-06-14 2015-01-06 International Business Machines Corporation Spalling methods to form multi-junction photovoltaic structure
US9099596B2 (en) * 2011-07-29 2015-08-04 International Business Machines Corporation Heterojunction photovoltaic device and fabrication method
GB201115223D0 (en) * 2011-09-02 2011-10-19 Dow Corning Method of fabricating solar modules
CN106847736B (zh) 2011-11-08 2020-08-11 因特瓦克公司 基板处理系统和方法
US11038080B2 (en) 2012-01-19 2021-06-15 Utica Leaseco, Llc Thin-film semiconductor optoelectronic device with textured front and/or back surface prepared from etching
US9336989B2 (en) 2012-02-13 2016-05-10 Silicon Genesis Corporation Method of cleaving a thin sapphire layer from a bulk material by implanting a plurality of particles and performing a controlled cleaving process
CN103258716B (zh) 2012-02-16 2016-03-09 财团法人工业技术研究院 制作具有织化表面的半导体层的方法、制作太阳能电池的方法
US8895347B2 (en) 2012-02-16 2014-11-25 Industrial Technology Research Institute Method for fabricating semiconductor layer having textured surface and method for fabricating solar cell
US9214577B2 (en) 2012-02-28 2015-12-15 International Business Machines Corporation Reduced light degradation due to low power deposition of buffer layer
US20130224899A1 (en) * 2012-02-28 2013-08-29 International Business Machines Corporation Enhancing efficiency in solar cells by adjusting deposition power
JP6214132B2 (ja) * 2012-02-29 2017-10-18 キヤノン株式会社 光電変換装置、撮像システムおよび光電変換装置の製造方法
US9257339B2 (en) 2012-05-04 2016-02-09 Silicon Genesis Corporation Techniques for forming optoelectronic devices
US8936961B2 (en) * 2012-05-26 2015-01-20 International Business Machines Corporation Removal of stressor layer from a spalled layer and method of making a bifacial solar cell using the same
US8569097B1 (en) 2012-07-06 2013-10-29 International Business Machines Corporation Flexible III-V solar cell structure
MY178951A (en) 2012-12-19 2020-10-23 Intevac Inc Grid for plasma ion implant
FR3000109B1 (fr) * 2012-12-21 2015-01-16 Commissariat Energie Atomique Procede de fabrication d’une couche epaisse cristalline
EP2946410A4 (en) 2013-01-16 2016-08-03 Qmat Inc TECHNIQUES FOR FORMING OPTOELECTRONIC DEVICES
US10049915B2 (en) 2015-01-09 2018-08-14 Silicon Genesis Corporation Three dimensional integrated circuit
US20180175008A1 (en) 2015-01-09 2018-06-21 Silicon Genesis Corporation Three dimensional integrated circuit
US10573627B2 (en) 2015-01-09 2020-02-25 Silicon Genesis Corporation Three dimensional integrated circuit
CN206516630U (zh) 2015-01-09 2017-09-22 硅源公司 三维集成电路
US9859458B2 (en) 2015-06-19 2018-01-02 QMAT, Inc. Bond and release layer transfer process
SG11201804271QA (en) * 2015-11-20 2018-06-28 Sunedison Semiconductor Ltd Manufacturing method of smoothing a semiconductor surface
US10062636B2 (en) * 2016-06-27 2018-08-28 Newport Fab, Llc Integration of thermally conductive but electrically isolating layers with semiconductor devices
US20180019169A1 (en) * 2016-07-12 2018-01-18 QMAT, Inc. Backing substrate stabilizing donor substrate for implant or reclamation
FR3054930B1 (fr) * 2016-08-02 2018-07-13 Soitec Utilisation d'un champ electrique pour detacher une couche piezo-electrique a partir d'un substrat donneur
US10186630B2 (en) 2016-08-02 2019-01-22 QMAT, Inc. Seed wafer for GaN thickening using gas- or liquid-phase epitaxy
EP3539153A2 (en) 2016-11-11 2019-09-18 Qmat, Inc. Micro-light emitting diode (led) fabrication by layer transfer
WO2018098430A1 (en) * 2016-11-28 2018-05-31 Ares Materials Inc. Temporary bonding layer for flexible electronics fabrication
KR102257824B1 (ko) * 2016-12-05 2021-05-28 엘지전자 주식회사 태양 전지 제조 방법
JP2020507114A (ja) * 2017-02-02 2020-03-05 アレス マテリアルズ インコーポレイテッド フレキシブルカラーフィルタおよび製造方法
JP2022160317A (ja) * 2021-04-06 2022-10-19 東京エレクトロン株式会社 シリコン膜の成膜方法及び成膜装置
US11410984B1 (en) 2021-10-08 2022-08-09 Silicon Genesis Corporation Three dimensional integrated circuit with lateral connection layer

Family Cites Families (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2981877A (en) 1959-07-30 1961-04-25 Fairchild Semiconductor Semiconductor device-and-lead structure
US4367411A (en) 1979-06-04 1983-01-04 Varian Associates, Inc. Unitary electromagnet for double deflection scanning of charged particle beam
US4363828A (en) 1979-12-12 1982-12-14 International Business Machines Corp. Method for depositing silicon films and related materials by a glow discharge in a disiland or higher order silane gas
EP0194495B1 (en) * 1980-04-10 1998-07-15 Massachusetts Institute Of Technology Method of producing sheets of crystalline material
JPS5989407A (ja) 1982-11-15 1984-05-23 Mitsui Toatsu Chem Inc アモルフアスシリコン膜の形成方法
US5196710A (en) 1984-08-20 1993-03-23 Kalfaian Meguer V Method and apparatus of implanting electrons in a solid for electrical generation
US4637895A (en) 1985-04-01 1987-01-20 Energy Conversion Devices, Inc. Gas mixtures for the vapor deposition of semiconductor material
US4980562A (en) 1986-04-09 1990-12-25 Varian Associates, Inc. Method and apparatus for high efficiency scanning in an ion implanter
US4799392A (en) 1987-08-06 1989-01-24 Motorola Inc. Method for determining silicon (mass 28) beam purity prior to implantation of gallium arsenide
JPH02225399A (ja) 1988-11-11 1990-09-07 Fujitsu Ltd エピタキシャル成長方法および成長装置
US4981408A (en) 1989-12-18 1991-01-01 Varian Associates, Inc. Dual track handling and processing system
US5311028A (en) 1990-08-29 1994-05-10 Nissin Electric Co., Ltd. System and method for producing oscillating magnetic fields in working gaps useful for irradiating a surface with atomic and molecular ions
US5132544A (en) 1990-08-29 1992-07-21 Nissin Electric Company Ltd. System for irradiating a surface with atomic and molecular ions using two dimensional magnetic scanning
FR2681472B1 (fr) * 1991-09-18 1993-10-29 Commissariat Energie Atomique Procede de fabrication de films minces de materiau semiconducteur.
JP3360919B2 (ja) * 1993-06-11 2003-01-07 三菱電機株式会社 薄膜太陽電池の製造方法,及び薄膜太陽電池
US5481116A (en) 1994-06-10 1996-01-02 Ibis Technology Corporation Magnetic system and method for uniformly scanning heavy ion beams
US5438203A (en) 1994-06-10 1995-08-01 Nissin Electric Company System and method for unipolar magnetic scanning of heavy ion beams
US5483203A (en) * 1994-11-01 1996-01-09 Motorola, Inc. Frequency synthesizer having modulation deviation correction via presteering stimulus
JP3381443B2 (ja) * 1995-02-02 2003-02-24 ソニー株式会社 基体から半導体層を分離する方法、半導体素子の製造方法およびsoi基板の製造方法
US5672879A (en) 1995-06-12 1997-09-30 Glavish; Hilton F. System and method for producing superimposed static and time-varying magnetic fields
FR2744285B1 (fr) * 1996-01-25 1998-03-06 Commissariat Energie Atomique Procede de transfert d'une couche mince d'un substrat initial sur un substrat final
US5789030A (en) 1996-03-18 1998-08-04 Micron Technology, Inc. Method for depositing doped amorphous or polycrystalline silicon on a substrate
SG65697A1 (en) * 1996-11-15 1999-06-22 Canon Kk Process for producing semiconductor article
JP3257624B2 (ja) * 1996-11-15 2002-02-18 キヤノン株式会社 半導体部材の製造方法
KR100304161B1 (ko) * 1996-12-18 2001-11-30 미다라이 후지오 반도체부재의제조방법
SG68035A1 (en) 1997-03-27 1999-10-19 Canon Kk Method and apparatus for separating composite member using fluid
US5985742A (en) 1997-05-12 1999-11-16 Silicon Genesis Corporation Controlled cleavage process and device for patterned films
US6033974A (en) 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
US5877070A (en) 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
JPH114008A (ja) * 1997-06-11 1999-01-06 Nippon Telegr & Teleph Corp <Ntt> 薄膜太陽電池の製造方法
DE19730975A1 (de) * 1997-06-30 1999-01-07 Max Planck Gesellschaft Verfahren zur Herstellung von schichtartigen Gebilden auf einem Substrat, Substrat sowie mittels des Verfahrens hergestellte Halbleiterbauelemente
US6103599A (en) 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
US6180496B1 (en) 1997-08-29 2001-01-30 Silicon Genesis Corporation In situ plasma wafer bonding method
JP3469761B2 (ja) 1997-10-30 2003-11-25 東京エレクトロン株式会社 半導体デバイスの製造方法
US6130436A (en) 1998-06-02 2000-10-10 Varian Semiconductor Equipment Associates, Inc. Acceleration and analysis architecture for ion implanter
US6368930B1 (en) 1998-10-02 2002-04-09 Ziptronix Self aligned symmetric process and device
US6534381B2 (en) 1999-01-08 2003-03-18 Silicon Genesis Corporation Method for fabricating multi-layered substrates
US6207964B1 (en) 1999-02-19 2001-03-27 Axcelis Technologies, Inc. Continuously variable aperture for high-energy ion implanter
WO2000063956A1 (fr) 1999-04-20 2000-10-26 Sony Corporation Procede et dispositif pour realiser un depot de couches minces, et procede pour la production d'un dispositif a semiconducteur a couches minces
US6287941B1 (en) 1999-04-21 2001-09-11 Silicon Genesis Corporation Surface finishing of SOI substrates using an EPI process
US6171965B1 (en) 1999-04-21 2001-01-09 Silicon Genesis Corporation Treatment method of cleaved film for the manufacture of substrates
US6204151B1 (en) 1999-04-21 2001-03-20 Silicon Genesis Corporation Smoothing method for cleaved films made using thermal treatment
JP3542521B2 (ja) * 1999-06-08 2004-07-14 キヤノン株式会社 半導体基体及び太陽電池の製造方法と陽極化成装置
US6323108B1 (en) * 1999-07-27 2001-11-27 The United States Of America As Represented By The Secretary Of The Navy Fabrication ultra-thin bonded semiconductor layers
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6500694B1 (en) 2000-03-22 2002-12-31 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6646287B1 (en) * 1999-11-19 2003-11-11 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device with tapered gate and insulating film
JP2002237607A (ja) * 2000-01-27 2002-08-23 Canon Inc 多孔質層の転写方法、半導体素子の製造方法及び太陽電池の製造方法
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
DE10008583A1 (de) * 2000-02-24 2001-09-13 Osram Opto Semiconductors Gmbh Verfahren zum Herstellen eines optisch transparenten Substrates und Verfahren zum Herstellen eines lichtemittierenden Halbleiterchips
US6689519B2 (en) 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
WO2002003472A2 (en) 2000-06-29 2002-01-10 California Institute Of Technology Aerosol silicon nanoparticles for use in semiconductor device fabrication
US6563133B1 (en) 2000-08-09 2003-05-13 Ziptronix, Inc. Method of epitaxial-like wafer bonding at low temperature and bonded structure
US6696688B2 (en) 2000-09-07 2004-02-24 Diamond Semiconductor Group, Llc Apparatus for magnetically scanning and/or switching a charged-particle beam
JP4252237B2 (ja) 2000-12-06 2009-04-08 株式会社アルバック イオン注入装置およびイオン注入方法
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US20050026432A1 (en) 2001-04-17 2005-02-03 Atwater Harry A. Wafer bonded epitaxial templates for silicon heterostructures
US7238622B2 (en) 2001-04-17 2007-07-03 California Institute Of Technology Wafer bonded virtual substrate and method for forming the same
US7019339B2 (en) 2001-04-17 2006-03-28 California Institute Of Technology Method of using a germanium layer transfer to Si for photovoltaic applications and heterostructure made thereby
WO2003001869A2 (en) 2001-06-29 2003-01-09 California Institute Of Technology Method and apparatus for use of plasmon printing in near-field lithography
FR2827078B1 (fr) 2001-07-04 2005-02-04 Soitec Silicon On Insulator Procede de diminution de rugosite de surface
EP1408551B1 (en) 2001-07-17 2014-07-02 Shin-Etsu Handotai Co., Ltd. Method for producing bonding wafer
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US6875671B2 (en) 2001-09-12 2005-04-05 Reveo, Inc. Method of fabricating vertical integrated circuits
US6804062B2 (en) 2001-10-09 2004-10-12 California Institute Of Technology Nonimaging concentrator lens arrays and microfabrication of the same
US6814833B2 (en) * 2001-10-26 2004-11-09 Corning Incorporated Direct bonding of articles containing silicon
US20030111013A1 (en) 2001-12-19 2003-06-19 Oosterlaken Theodorus Gerardus Maria Method for the deposition of silicon germanium layers
US20030230778A1 (en) 2002-01-30 2003-12-18 Sumitomo Mitsubishi Silicon Corporation SOI structure having a SiGe Layer interposed between the silicon and the insulator
FR2839385B1 (fr) 2002-05-02 2004-07-23 Soitec Silicon On Insulator Procede de decollement de couches de materiau
US7121474B2 (en) 2002-06-18 2006-10-17 Intel Corporation Electro-optical nanocrystal memory device
AU2003258960A1 (en) 2002-06-26 2004-01-19 Semequip Inc. Ion implantation device and method
FR2842650B1 (fr) 2002-07-17 2005-09-02 Soitec Silicon On Insulator Procede de fabrication de substrats notamment pour l'optique, l'electronique ou l'opto-electronique
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6979630B2 (en) 2002-08-08 2005-12-27 Isonics Corporation Method and apparatus for transferring a thin layer of semiconductor material
US6818529B2 (en) 2002-09-12 2004-11-16 Applied Materials, Inc. Apparatus and method for forming a silicon film across the surface of a glass substrate
US6822326B2 (en) 2002-09-25 2004-11-23 Ziptronix Wafer bonding hermetic encapsulation
GB2395354B (en) 2002-11-11 2005-09-28 Applied Materials Inc Ion implanter and a method of implanting ions
US6800518B2 (en) 2002-12-30 2004-10-05 International Business Machines Corporation Formation of patterned silicon-on-insulator (SOI)/silicon-on-nothing (SON) composite structure by porous Si engineering
US7176528B2 (en) 2003-02-18 2007-02-13 Corning Incorporated Glass-based SOI structures
SG115630A1 (en) 2003-03-11 2005-10-28 Asml Netherlands Bv Temperature conditioned load lock, lithographic apparatus comprising such a load lock and method of manufacturing a substrate with such a load lock
US7238595B2 (en) 2003-03-13 2007-07-03 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US6881966B2 (en) 2003-05-15 2005-04-19 Axcelis Technologies, Inc. Hybrid magnetic/electrostatic deflector for ion implantation systems
AU2004249124A1 (en) * 2003-05-20 2004-12-29 Erimos Pharmaceuticals Llc Methods and compositions for delivery of catecholic butanes for treatment of diseases
FR2856192B1 (fr) 2003-06-11 2005-07-29 Soitec Silicon On Insulator Procede de realisation de structure heterogene et structure obtenue par un tel procede
US7029995B2 (en) 2003-06-13 2006-04-18 Asm America, Inc. Methods for depositing amorphous materials and using them as templates for epitaxial films by solid phase epitaxy
US6771410B1 (en) 2003-06-18 2004-08-03 Intel Corporation Nanocrystal based high-speed electro-optic modulator
US7126139B2 (en) 2003-10-09 2006-10-24 The Regents Of The University Of California Device and method of positionally accurate implantation of individual particles in a substrate surface
US20060024435A1 (en) 2003-10-20 2006-02-02 Dean Holunga Turbulent mixing aerosol nanoparticle reactor and method of operating the same
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
JP2005150686A (ja) 2003-10-22 2005-06-09 Sharp Corp 半導体装置およびその製造方法
US7019315B2 (en) 2003-12-08 2006-03-28 Varian Semiconductor Equipment Associates, Inc. System and method for serial ion implanting productivity enhancements
US6992025B2 (en) 2004-01-12 2006-01-31 Sharp Laboratories Of America, Inc. Strained silicon on insulator from film transfer and relaxation by hydrogen implantation
US6987272B2 (en) 2004-03-05 2006-01-17 Axcelis Technologies, Inc. Work piece transfer system for an ion beam implanter
US6956225B1 (en) 2004-04-01 2005-10-18 Axcelis Technologies, Inc. Method and apparatus for selective pre-dispersion of extracted ion beams in ion implantation systems
US7301172B2 (en) 2004-04-07 2007-11-27 California Institute Of Technology Sequentially charged nanocrystal light emitting device
US20080211061A1 (en) * 2004-04-21 2008-09-04 California Institute Of Technology Method For the Fabrication of GaAs/Si and Related Wafer Bonded Virtual Substrates
EP1605502A1 (en) 2004-06-08 2005-12-14 Interuniversitair Microelektronica Centrum Vzw Transfer method for the manufacturing of electronic devices
US7265030B2 (en) 2004-07-20 2007-09-04 Sharp Laboratories Of America, Inc. Method of fabricating silicon on glass via layer transfer
WO2006015185A2 (en) 2004-07-30 2006-02-09 Aonex Technologies, Inc. GaInP/GaAs/Si TRIPLE JUNCTION SOLAR CELL ENABLED BY WAFER BONDING AND LAYER TRANSFER
US7279400B2 (en) 2004-08-05 2007-10-09 Sharp Laboratories Of America, Inc. Method of fabricating single-layer and multi-layer single crystalline silicon and silicon devices on plastic using sacrificial glass
CN101019214A (zh) * 2004-08-05 2007-08-15 加州理工大学 制造结晶硅的方法
EP1792338A1 (en) 2004-09-21 2007-06-06 S.O.I.TEC. Silicon on Insulator Technologies S.A. Thin layer transfer method wherein a co-implantation step is performed according to conditions avoiding blisters formation and limiting roughness
US20060071213A1 (en) 2004-10-04 2006-04-06 Ce Ma Low temperature selective epitaxial growth of silicon germanium layers
US7846759B2 (en) 2004-10-21 2010-12-07 Aonex Technologies, Inc. Multi-junction solar cells and methods of making same using layer transfer and bonding techniques
US20060108688A1 (en) 2004-11-19 2006-05-25 California Institute Of Technology Large grained polycrystalline silicon and method of making same
FR2880988B1 (fr) * 2005-01-19 2007-03-30 Soitec Silicon On Insulator TRAITEMENT D'UNE COUCHE EN SI1-yGEy PRELEVEE
US10374120B2 (en) * 2005-02-18 2019-08-06 Koninklijke Philips N.V. High efficiency solar cells utilizing wafer bonding and layer transfer to integrate non-lattice matched materials
US7525103B2 (en) 2006-01-20 2009-04-28 Varian Semiconductor Equipment Associates, Inc. Technique for improving uniformity of a ribbon beam
JP2009530818A (ja) * 2006-03-13 2009-08-27 ナノグラム・コーポレイション 薄シリコンまたはゲルマニウムシートおよび薄型シート製太陽電池
US7863157B2 (en) * 2006-03-17 2011-01-04 Silicon Genesis Corporation Method and structure for fabricating solar cells using a layer transfer process

Also Published As

Publication number Publication date
EP1997124A4 (en) 2015-10-28
US8012851B2 (en) 2011-09-06
JP2009530833A (ja) 2009-08-27
WO2007109568A2 (en) 2007-09-27
US20070235074A1 (en) 2007-10-11
WO2007109568A3 (en) 2008-09-04
EP1997124A2 (en) 2008-12-03
US20100180945A1 (en) 2010-07-22
US7863157B2 (en) 2011-01-04

Similar Documents

Publication Publication Date Title
JP5367562B2 (ja) 太陽電池の製造方法および構造
CN101473446B (zh) 使用厚层转移工艺制造太阳能电池的方法和结构
KR101362688B1 (ko) 광전 변환 장치 및 그 제조 방법
KR101503675B1 (ko) 광기전력 장치 및 그 제조 방법
Brendel Review of layer transfer processes for crystalline thin-film silicon solar cells
US7759220B2 (en) Method and structure for fabricating solar cells using a layer transfer process
US6211038B1 (en) Semiconductor device, and method for manufacturing the same
KR20080109711A (ko) 광전 변환 소자용 기판의 제조 방법
CN102832117A (zh) 用于形成多结光生伏打结构的剥离方法和光生伏打器件
KR20040070297A (ko) 광전지 및 그 제조 방법
WO2007142865A2 (en) Thin film photovoltaic structure and fabrication
CN101405833A (zh) 用于制造太阳能电池的方法和结构
US20120258561A1 (en) Low-Temperature Method for Forming Amorphous Semiconductor Layers
CN217158210U (zh) 一种基于锗p-i-n光电二极管制造的图像传感器
WO2013019250A1 (en) A photovoltaic device and a process for producing a photovoltaic device
Wang Development of a high performance ultra-thin silicon solar cell on steel substrate
CN103765605A (zh) 制造太阳能模块的方法以及由此获得的太阳能模块

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100315

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111228

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120104

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120404

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120411

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120507

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120514

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120604

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120611

A524 Written submission of copy of amendment under section 19 (pct)

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20120704

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130319

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130719

A911 Transfer of reconsideration by examiner before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20130726

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130813

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130911

R150 Certificate of patent or registration of utility model

Ref document number: 5367562

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees