CN101473446B - 使用厚层转移工艺制造太阳能电池的方法和结构 - Google Patents

使用厚层转移工艺制造太阳能电池的方法和结构 Download PDF

Info

Publication number
CN101473446B
CN101473446B CN2007800229339A CN200780022933A CN101473446B CN 101473446 B CN101473446 B CN 101473446B CN 2007800229339 A CN2007800229339 A CN 2007800229339A CN 200780022933 A CN200780022933 A CN 200780022933A CN 101473446 B CN101473446 B CN 101473446B
Authority
CN
China
Prior art keywords
substrate
cleavage
thickness
semiconductor substrate
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2007800229339A
Other languages
English (en)
Other versions
CN101473446A (zh
Inventor
弗兰乔斯·J·亨利
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Silicon Genesis Corp
Original Assignee
Silicon Genesis Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Silicon Genesis Corp filed Critical Silicon Genesis Corp
Publication of CN101473446A publication Critical patent/CN101473446A/zh
Application granted granted Critical
Publication of CN101473446B publication Critical patent/CN101473446B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/068Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76254Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/036Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes
    • H01L31/0392Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including thin films deposited on metallic or insulating substrates ; characterised by specific substrate materials or substrate features or by the presence of intermediate layers, e.g. barrier layers, on the substrate
    • H01L31/03921Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including thin films deposited on metallic or insulating substrates ; characterised by specific substrate materials or substrate features or by the presence of intermediate layers, e.g. barrier layers, on the substrate including only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/068Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells
    • H01L31/0687Multiple junction or tandem solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/544Solar cells from Group III-V materials
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/547Monocrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Electromagnetism (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Sustainable Development (AREA)
  • Sustainable Energy (AREA)
  • Photovoltaic Devices (AREA)

Abstract

本发明披露了一种诸如太阳能电池、太阳板的光伏电池器件及其制造方法。该器件具有光学透明衬底,该衬底包括第一表面和第二表面。包括具有第一表面区和第二表面区的第一厚度的材料(例如,半导体材料、单晶材料)。在一个优选实施例中,该表面区与所述光学透明衬底的第一表面叠置。该器件具有设置在该厚度的材料的第一表面区和光学透明材料的第一表面之间的光学耦合材料。

Description

使用厚层转移工艺制造太阳能电池的方法和结构
相关申请的交叉参考
本非临时申请要求于2006年9月8日提交的第60/825,095号美国临时专利申请的优先权,并通过引证将其全部内容结合于此。
背景技术
根据本发明的实施例大体涉及包括使用用于光伏应用的层转移技术形成太阳能电池结构的方法和结构的技术。但是应该意识到,本发明具有很宽的应用范围;其还可以应用于其他类型的应用,例如,集成半导体器件、光子器件、压电器件、平板显示器、微电子机械系统(“MEMS”)、纳米技术结构、传感器、执行器、集成电路、生物及生物医学器件等的三维封装。
从最开始,人类就依靠“太阳”来获取几乎所有形式的能量。这样的能量来自石油、发光物、木头、以及各种形式的热能。仅作为一个实例,人类已经严重依赖诸如煤和煤气的石油资源来满足人们的许多需要。不幸的是,这种石油资源已经衰竭并且已经导致了许多其他问题。已经提出利用太阳能作为替代来在一定程度上减少我们对石油资源的依赖。仅作为一个实例,可以从通常由硅制成的“太阳能电池”获得太阳能。
硅太阳能电池当暴露于来自太阳的太阳射线时产生电能。射线对硅原子有影响并形成迁移至硅本体中的p掺杂区和n掺杂区的电子和空穴,并且在这些掺杂区之间产生电压差和电流。根据应用,已经将太阳能电池与聚光(concentrating)元件集成在一起以提高效率。作为一个实例,使用将射线导向有源光伏材料的一个或多个部分的聚光元件来累积和集中射线。尽管这样很有效,但是这些太阳能电池仍然有很多局限性。
仅作为一个实例,太阳能电池依赖于诸如硅的原材料。这种硅通常使用多晶硅和/或单晶硅材料制成。这些材料通常难以制造。多晶硅电池通常通过制造多晶硅板来制成。尽管可以有效地制成这些板,但是它们并不具有高效太阳能电池的最佳性能。单晶硅具有高等级太阳能电池的适当性能。然而,这种单晶硅昂贵并且也难以高效且成本节约的方式用于太阳能应用。通常,薄膜太阳能电池由于使用了较少的硅材料而不是很昂贵,但是相比于由单晶硅衬底制成的更昂贵的体硅电池,它们的非晶或多晶结构效率较低。通过本说明书以及以下更具体的描述可以发现这些以及其他的局限性。
从上述可以看出,期望得到用于制造大衬底的节约成本且更高效的技术。
发明内容
根据本发明的实施例,提供了一种用于制造光伏材料的技术。更具体地,本发明提供了一种包括用于使用用于光伏应用的层转移技术制成太阳能电池结构的方法和结构的技术。但应意识到,本发明具有较宽的应用范围;该技术还可以应用于其他类型的应用,诸如用于集成半导体器件、光子器件、压电器件、平板显示器、微电子机械系统(“MEMS”)、纳米技术装置、传感器、执行器、集成电路、生物及生物医学器件等的三维封装。
在一个具体实施例中,本发明提供了一种用于制造光伏电池(例如,太阳能电池,太阳能板)的方法。该方法包括:提供半导体衬底,该衬底包括表面区、解理区、以及位于表面区和解理区之间且将被去除的第一厚度的材料。该方法包括:将半导体衬底的表面区耦合至光学透明衬底(例如,玻璃、石英、塑料)的第一表面区。在一个优选实施例中,光学透明衬底包括第一表面区和第二表面区。该方法还包括对半导体衬底进行解理以从半导体衬底去除第一厚度的材料,而同时表面区保持耦合至第一表面区,以形成解理表面区。例如,在光伏应用中,如果第一厚度的材料具有足够的厚度,则可以将所转移的第一厚度的材料用作吸收层。根据第一厚度材料的厚度,第一厚度材料可以足以用于有效的薄膜太阳能电池。在一些实施例中,第一厚度材料可以厚到足以作为使用传统方法(诸如切割和切片工艺)制成的厚衬底的具成本效益的替代物。更薄厚度的材料也可以用作用于随后外延生长工艺的单晶模板。可选地,该方法包括形成与解理后的表面区叠置的第二厚度的半导体材料,以形成合成厚度的半导体材料。
在一个可替换的具体实施例中,本发明提供了一种光伏电池器件,例如,太阳能电池、电池板。该器件具有包括第一表面和第二表面的光学透明衬底。具有第一表面区和第二表面区的第一厚度材料(例如,半导体材料、单晶材料)被包括在内。在一个优选实施例中,表面区与光学透明衬底的第一表面叠置。该器件具有设置在该厚度的材料的第一表面区和光学透明材料的第一表面之间的光耦合材料(例如,氧化锡、氧化铟锡(ITO)、二氧化钛、氧化锌(ZnO)、或其他电介质堆叠形成的材料、旋涂式玻璃(SOG)、或其他适当的材料)。
在另一个可替换的具体实施例中,本发明提供了一种多层(multipass)方法和结构。即,本结构具有将光重新导回到一个或多个光伏区中的有源区中的反射面。在一个具体实施例中,光穿过玻璃衬底和将光转换成电能的光伏区。然后,穿过光伏区的任何光都经由反射面反射回至光伏区的一个或多个部分。当然,还可以存在其他变化、改进、以及替换。
在根据本发明实施例的另一个替换中,该方法和结构提供了一种或多种光俘获(light trapping)结构,例如,玻璃背面上的塑料菲涅耳片,或会使光散射/重新导向至更多斜角并因此增加薄电池中的收集效率的一些其他材料。当然,还可以存在其他变化、改进、以及替换。
根据本发明的结构和方法的其他实施例的特征可以在于在使用解理材料制成的吸收体的一个或两个表面上使用表面织构化蚀刻进行光俘获。具体的蚀刻模式(formulation)根据所使用的具体材料(即,晶体取向、单晶或多晶等)进行设计,以最大化吸收体/表面构造的能力,从而在其能力范围内接近朗伯表面来吸收入射光。例如,弱的晶面蚀刻(faceting etch)可以使(110)晶体取向最优化,而高度晶面蚀刻(例如,使用KOH)可以使(100)晶体构造最优化。当然,还可以存在其他变化、改进、以及替换。
使用本发明来实现优于现有技术的多个优点。具体地,本发明使用受控能量和所选条件来根据具体实施例优先将薄的光伏膜解理到玻璃衬底上。在一个具体实施例中,该方法和器件在玻璃上提供了非常高质量的光伏材料,其可以作为封装材料的一部分。在一个优选实施例中,该方法和结构提供了使用光伏电池来提供有效的电能的单晶硅。在一个具体实施例中,本发明提供了一种厚的单晶硅材料(其可用于制造光伏区)的层转移。根据实施例,可以实现这些优点中的一个或多个。在本说明书和以下更具体的描述中来描述这些和其他优点。
本发明在已知工艺技术的情况下实现了这些优点以及其他优点。然而,通过参考说明书的后半部分以及附图可以实现对本发明的性质和优点的进一步理解。
附图说明
图1至图15示出了根据本发明一个实施例的用于制造光伏器件的方法;
图16至图19是根据本发明实施例的太阳能电池构造的简化示图;
图20是根据本发明一个实施例的具有反射表面区的太阳能电池的简化示图;
图21至图22是举例说明根据本发明一个实施例的具有包括透镜区的光学区的太阳能电池的简化示图;
图23示出了根据本发明一个实施例的用于使用转移生长模板形成太阳能电池的简化工艺流程;
图24示出了根据本发明一个实施例的用于通过将随后的硅吸收体层加厚以及掺杂来使用转移生长模板制成的太阳能电池的简化工艺流程;
图25示出了根据本发明一个实施例的用于使用将硅薄膜转移到可再用的衬底上以允许使用背面处理而制成太阳能电池的简化工艺流程;
图25A示出了根据本发明一个实施例的用于使用可再用的临时衬底制造薄膜太阳能电池结构的简化工艺流程;
图26示出了根据本发明一个实施例的用于使用将硅薄膜转移到最终的衬底上而制成太阳能电池的简化工艺流程。
图27示出了根据本发明一个实施例的用于使用转移到可选临时衬底上的硅膜或直接被转移而成为独立衬底的硅膜来制成太阳能电池的简化工艺流程;
图28A-C示出了制成包括具有表面定向(110)的一面的晶锭的工艺的视图,表面定向(110)的一面来自以具有(100)表面定向的一个面而生长的刚玉(boule,晶棒或梨晶);
图29示出了根据本发明一个实施例的应用热束(thermal beam)来执行受控解理的简化截面图;
图30示出了根据本发明另一个实施例的应用热束来执行受控解理的简化截面图;
图31示出了根据本发明再一个实施例的应用热束来执行受控解理的简化截面图;以及
图32示出了根据本发明又一个实施例的应用激光冲击强化来执行受控解理的简化截面图。
具体实施方式
根据本发明的实施例,提供了一种用于制造光伏材料的技术。更具体地,本发明提供了一种包括用于使用用于光伏应用的层转移技术制成太阳能电池的方法和结构的技术。但应意识到,本发明具有更宽的应用范围;该技术还可以应用于其他类型的应用,诸如用于集成半导体器件、光子器件、压电器件、平板显示器、微电子机械系统(“MEMS”)、纳米技术装置、传感器、执行器、集成电路、生物及生物医学器件等的三维封装。
下面简要概述了用于在半导体衬底上制造光伏层的方法。
1.提供半导体衬底,该衬底具有以瓦构造(tile configuration)为特征的表面区、解理区、以及在表面区和解理区之间将被去除的第一厚度的材料;
2.将半导体衬底与光学透明衬底对准;
3.将半导体衬底的表面区耦合至光学透明衬底的第一表面区;
4.对解理区的一部分开始受控解理操作;
5.对半导体衬底进行解理以从半导体衬底去除第一厚度的材料,同时使表面区保持耦合至第一表面区,以形成解理表面区;以及
6.可选地,形成与解理表面区叠置的第二厚度的半导体材料,以形成具有一个或多个光伏区的合成厚度的半导体材料;
7.由至少第一厚度的材料以及光学透明材料制成太阳能电池;以及
8.根据需要,执行其他步骤。
上述顺序的步骤提供了根据本发明实施例的方法。如所示,该技术包括用于使用用于光伏应用的层转移技术来制成太阳能电池结构的方法和构造。
在不背离本文权利要求的范围的情况下,可以提供其他的替换实施例,其中,添加了步骤、去除了一个或多个步骤、或者以不同的顺序来提供一个或多个步骤。例如,根据一个替换的实施例,可以以相反的顺序来执行上述步骤4和5,以首先进行解理,然后去除透明衬底上的解理膜。
可替换地,还可以有其他的方法来形成该结构。即,根据一个具体实施例,可以最初在诸如覆盖玻璃的覆盖片或其他适合的材料上来按该顺序执行,然后形成其他层。在覆盖玻璃上发生层转移,其中,覆盖玻璃用于形成太阳能器件的其余部分。其他技术可以使用将会将层转移材料转移到操作衬底(handle substrate)上的转移衬底。通过本说明书以及以下更具体的描述可以发现该方法的其他细节。
如图1所示,该方法提供了具有第一偏转特性的透明操作衬底102、背面104、正面106。透明操作衬底可以是玻璃、石英、聚合物(polymeric)或其他合成材料等。仅作为一个实例,该透明衬底具有厚度、背面和正面。该透明衬底是玻璃,诸如用于覆盖太阳能电池等的玻璃。根据该实施例,该玻璃稍微具有柔韧性并且将受到背衬板挤压而具有刚度。当然,还可以有其他的变化、改进和替换。
在可替换实施例中,操作衬底可以是任何同质的、渐次变化的、或多层的材料,或者是这些材料的任意组合。即,该操作衬底可以由几乎任何单晶体、多晶体、或甚至非晶型衬底制成。该衬底可以由SiC制成。此外,该衬底可以由诸如砷化镓、氮化稼(GaN)等III/V族材料制成。此外,该衬底可以是由具有柔韧性特性的碳化硅、锗、硅、玻璃或石英组合物、塑料、以及聚合物。优选地,根据本发明的具体实施例,该操作衬底稍微具有不适于进行层转移工艺的柔韧性。衬底的这种不适宜的性质会根据具体实施例而引起过渡粗糙、破损、局部膜分离等。根据具体实施例,还可以使用这些材料的任何其他组合。
如图2所示,在一个优选实施例中,本发明提供了背衬衬底202来增加操作衬底结构的刚度。优选地,背衬衬底具有厚度204以及适于提供多层结构的有效偏转特性的材料,其中,多层结构至少由背衬衬底和操作衬底组成,以适于将从施主衬底(donor substrate)转移到操作衬底的正面上的硅承载材料的厚度。
仅作为一个实例,背衬衬底是用于石英操作衬底的硅晶片。这种背衬衬底具有725微米+/-15微米的厚度,并且使用例如200毫米的施主/操作/背衬衬底结构而由单晶硅制成。这种衬底在<100>方向上具有约130千兆帕斯卡的杨式模量。诸如塑料、金属、玻璃、石英、化合物等的其他类型的材料和一定的厚度可以用于为所组合的背衬衬底和操作衬底结构提供刚度。当然,本领域的普通技术人员将会想到其他的变型、改进、和替换。
如图3和4所示,在一个可选的具体实施例中,该方法对背衬衬底和/或透明操作衬底执行清洁和/或活化工艺302(例如,等离子体活化工艺)。这种等离子体活化工艺清洁和/或活化这些衬底的表面。使用氧或氮承载等离子体在20℃-40℃温度下提供等离子体活化工艺。等离子体活化工艺优选地在由Silicon Genesis Corporationof San Jose,California制造的双频等离子体活化系统中执行。在另一个实施例中,可以没有任何背衬材料。可替换地,在其他实施例中,本方法可以将背衬材料用作静电卡盘和/或多孔卡盘等。根据具体实施例,该背衬材料可以设置在操作或施主衬底上、或操作衬底和施主衬底两者上。当然,可以存在其他变型、改进、以及替换。
参照图5,该方法开始将背衬衬底接合502至通常与其他衬底物理分离的透明操作衬底的背面。优选地,该方法临时将背衬衬底附着至透明操作衬底的背面,以将背衬衬底牢固地接合至透明操作衬底以形成多层构造。仅作为一个实例,根据本发明的一个优选实施例,硅晶片背衬衬底牢固地附着至石英板,而不经任何其他改进和/或改变。这里,硅晶片具有非常薄的自然氧化物涂层,其接合至石英板的表面,尽管很多实施例可以不具有这样的自然氧化物,如图6所示。在其他实施例中,可以使用静电工艺或纤网接合(包括共价键接合)、它们的任意组合等进行接合。在其他的可替换实施例中,还可以使用旋涂式玻璃、胶层、以及它们的任意组合等来进行接合。当然,可以存在其他变型、改进、以及替换。
如所示,该方法包括提供包括解理区704、正面706、背面708、以及正面和解理区之间的一定厚度的硅承载材料710的施主衬底702,如图7所示。仅作为一个实例,施主衬底可以是硅晶片、GaN、锗晶片、硅锗材料、碳化硅承载材料、第III/V族化合物、以及它们的任意组合等。在一个优选的实施例中,施主衬底可以是感光材料。当然,可以存在其他变型、改进、以及替换。
所选的用于施主衬底的材料类型可以取决于具体应用。例如,其中,在施主衬底包括单晶硅材料的情况下,表面的定向可以被选择为(100)、(110)、或(111)。可替换地,这些表面可以被认为分别具有<100>、<110>、以及<111>方向中的表面方向的法线,其中,除非特别另外描述,术语“方向”是指表面术语的法线。在诸如太阳能电池行业的一些行业中,具有(100)表面取向的单晶硅衬底是更加普遍的生长取向,然而,该取向具有更易受到在解理工艺期间延伸至衬底中的不需要的平面外裂纹(out of plane cracking)影响的多个平面。
出于本申请的目的,平面外裂纹是指解理失效机理,其中,扩展的解理方向偏离理想的解理平面。一种这样的机理被称作“分支(branching)”,其中,解理平面变换至诸如(111)的另一主要晶体取向。另一解理失效机理是由剪应力引起的扩展解理的方向、粗糙度或深度的改变。这些解理失效将会使用与适当注入结合的适当原材料取向的选择以及如本申请中教导的解理技术来避免。
与具有(100)表面取向的单晶硅衬底相比,具有(110)表面取向的单晶硅衬底可以具有与注入粒子相互作用的不同的吸收点(getter site,吸气剂位点)和缺陷区。以氢气作为注入核素(implantspecie)为例,(110)表面取向具有较低的氢反俘获(detrapping,去俘获)和较高的解理层压应力轮廓。然而,单晶硅(110)是以比(100)更低的分支可能性扩展的解理平面,并且在解理工艺期间可以理想地导致较少的延伸到衬底中的平面外裂纹。
此外,如图28A-C所示,通常利用具有(100)晶体取向的面而生长的单晶硅刚玉可以容易地被转换成具有包括(110)晶体取向的面的单晶刚玉。
具体地,图28A示出了用于生长或浇铸单晶硅晶锭或刚玉、然后修剪端部以露出具有(100)晶体取向的面的传统工艺。接下来,经过修剪的刚玉成方形并像砖似的,然后磨边以产生包括具有(100)晶体取向的面和侧面的晶锭。
接下来,如图28B所示,晶锭的(100)面被沿长轴切成两半以得到具有(110)长面的两半。如图28C所示,然后将长矩形切割成两个方形,其中,角目前都位于底部上。然而,通过这种方法会损失很少的可用材料,这是因为切割材料的这些下侧有可能被用于在处理期间夹住或保护刚玉。
根据本发明的另一个实施例,可以使用具有(111)表面取向的单晶硅施主衬底。这种构造提供了较低的解理能量,以及能够通过具有沿(100)或(110)定向的面的单晶硅展示出抗解理失效和分支的特性。
单晶硅的其他特性也可以影响对施主衬底材料的选择。例如,一些应用可以使用利用Czochralski(CZ)生长产生的单晶硅施主衬底。其他的应用可以使用通过浮区(FZ)生长方法产生的单晶硅施主衬底。
根据实施例,可以使用各种技术来形成解理区。即,可以使用注入粒子、沉积层、扩散材料、图案区(patterned region)、以及其他技术的任意适当组合来形成解理区。参照图7,该方法使用注入工艺712通过施主衬底的顶面将一些高能粒子引入至所选择的深度,该深度限定称作材料“薄膜”的材料区厚度。可以使用多种技术将高能粒子注入到硅晶片中。这些技术包括使用由诸如AppliedMaterials有限公司等公司制造的束流线粒子注入(beam line ionimplantation)设备的离子注入。可替换地,使用等离子体浸没离子注入(“PIII”)技术、离子淋浴、以及其他质量比(mass specific)和非质量比(non-mass specific)技术。还可以使用这些技术的组合。当然,所使用的技术取决于应用。
根据应用,根据优选实施例通常选择较小质量的粒子以减少损坏材料区的可能性。即,较小质量的粒子容易穿过衬底材料至所选深度,而基本上不会损坏粒子穿过的材料区。例如,较小质量的粒子(或高能粒子)基本上可以是任何带电的(例如,正电或负电)和或中性原子或分子、或电子等。在一个具体实施例中,粒子可以是中性的和或包括诸如氢及其同位素的离子、诸如根据实施例的氦及其同位素、氖等的稀有气体离子的离子的带电粒子。这些粒子还可以从诸如例如氢气、水蒸气、甲烷、和氢化合物的气体的化合物、以及从其他轻原子质量粒子中得到。可替换地,这些粒子可以是上述粒子、和或离子和或分子核素(molecular species)和或原子核素(atomic species)的任意组合。这些粒子通常具有穿透表面而到达表面下方的所选深度的足够动能。
使用作为注入到硅晶片中的核素的氢作为实例,使用指定的一组条件来执行注入工艺。注入剂量的范围从约1×1015至约1×1018个原子/cm2,并且优选地,该剂量大于约1×1016个原子/cm2。注入能量的范围从约1KeV至几MeV,并且对于形成用于半导体应用的薄膜,通常为约50KeV。注入温度的范围从约20至约600摄氏度,并且优选地小于约400摄氏度,以防止大量氢离子扩散出被执行注入的硅晶片以及注入损伤退火(anealing)的可能性以及应力。氢离子可以被以约±0.03至±1.5微米的精度选择性地引入至硅晶片中达到所选深度。当然,所使用的离子类型以及工艺条件取决于应用。
对于较高的注入能量,进行相当纯的质子注入(例如,带有正电或负电)以允许可再用的衬底内的最大范围的解理平面。使用硅作为一个实例,注入的能量范围可以非常大,具体地说,跨度为从用于光伏吸收器的模板形成(其中,需要随后的外延生长以使吸收效率最大化)的几KeV到生产用作太阳能电池晶片原材料的测量出厚度为几百微米的衬底的许多MeV。作为注入能量函数的注入深度的通常范围可以使用例如SRIM2003(Stopping Range In Matter)或蒙特卡罗仿真程序(http://www.srim.org/)来进行计算。在一个具体实施例中,在使用质子注入能量范围从约10keV至约300keV的情况下,硅膜厚度范围从约13nm至约3um。这种硅模厚度可以适合于用于随后太阳能电池形成的外延加厚工艺(例如,同质外延生长工艺或异质外延生长工艺)的模板。当然,可以有其他的变型、改进、和替换。
在一个具体实施例中,范围从约1um至约50um的硅膜厚度可以通过使用范围从约120keV至约2.1MeV的质子注入能量来获得。在这种厚度范围内的硅膜可以被分离以提供足够厚的单晶硅膜厚度,从而以良好的效率直接形成薄膜太阳能电池。即,所形成的单晶硅的厚度范围不需要被进一步加厚,以用作太阳能电池应用中的高效的吸收层。利用两面使用制造和光散射层来在薄膜光吸收层中捕获更多光的、最大化薄膜硅太阳能电池效率的技术(诸如正面/背面接触形成)已经被很好的开发,并且可以与该分离层结合来使用。被通过引证结合于本文中的“由RolfBrendel所著的Thin-FilmCrystalline Silicon Solar Cells-Physics and Technology”(2003Wiley-VCH Verlag GmbH&Co.,KGaA,Weinheim)完全覆盖了这些技术。当然,可以有其他的变型、改进、和替换。
在一个具体实施例中,可以使用具有从约2.1MeV至约5MeV能量范围的质子注入形成范围为从约50um至约200um的硅膜厚度。该范围的硅膜厚度允许分离其厚度等同于可以用作独立硅衬底的厚度的单晶硅衬底。厚度范围为从50um至200um的单晶硅衬底可以用于替换使用晶片切割、蚀刻和抛光工艺的方法。与当前技术中的约50%的切损(切损被定义为在切割和切片操作期间所损耗的材料)相比,注入解理技术几乎没有切损,从而节约了大量的成本并提高了材料利用效率。高于5MeV的能量可以用于制造半导体处理可替换衬底材料,但是在太阳能电池制造中,200um是对用于体硅(bulk silicon,块状硅)太阳能电池形成的理想的硅太阳能电池材料厚度。因此,根据一个具体实施例,较厚的硅衬底对于制造太阳能电池不具有特别的商业利益。
尽管上述实施例描述了一种其中粒子被穿过衬底的整个表面均匀地注入,这并不是本发明所必须的。根据本发明的可替换实施例可以采用图案注入(patterned implantation)法,例如,其中在衬底的边缘注入较高的剂量,以便于在边缘处开始解理处理。可以以较低的剂量注入衬底的内部区域,以支持已经在边缘处开始的解理的扩展。根据一个具体实施例,所有的边缘部分(不限于开始解理的地方)都可以被以较高的剂量进行注入,以使这些边缘部分围住解理平面。
图8示出了质子注入中的硅太阳能电池吸收器应用的能量和等级范围。由Reutov等人已经披露了MeV范围注入条件(V.F.Reutovand Sh.Sh.Ibragimov,“Method for Fabricating Thin Silicon Wafers”,USSR’s Inventors Certificate No.1282757,December30,1983),其通过引证结合于此。在V.F.ReutoV and Sh.Sh.Ibragimov中,披露了通过在注入期间的可选加热以及注入后可再用衬底加热来使用高达7MeV的质子注入,以产生达到350um的分离后的晶片厚度。M.K.Weldon & al.的“On the Mechanism of Hydrogen-InducedExfoliation of Silicon”,J.Vac.Sci.Technol.,B15(4)Jul/Aug1997还披露了使用1MeV氢注入的16微米硅膜的热解理,其通过引证结合于此。该上下文中的术语“分离”或“转移硅厚度”表示通过注入离子范围形成的硅膜厚度可以被释放为独立状态,或被释放为永久性衬底或最终用作独立衬底或最终安装在永久性衬底上的临时衬底。在一个优选实施例中,硅材料足够厚并独立于作为支撑元件的操作衬底。当然,用于操作和处理膜的具体工艺将取决于具体处理和应用。
实际上,注入的粒子在所选深度沿平行于衬底顶面的平面施加应力或减少断裂能量。这种能量部分地取决于注入核素和条件。这些粒子在所选深度处减少了衬底的断裂能量级。这允许在所选深度处沿注入平面进行受控解理。注入可以在一定条件下进行,以使所有内部位置处的衬底能量状态足以启动衬底材料中的非可逆断裂(即,分离或解理)。然而,应该注意,注入通常确实引起衬底中的一定量的缺陷(例如,微观缺陷),这些缺陷通常至少可以通过随后的热处理(例如,热退火或快速热退火)而部分地被修复。图9的简化视图示出了已经进行了注入而得到的衬底。
在一个具体实施例中,利用等离子体浸没离子注入或离子淋浴技术(尤其是非质量选择注入剂(non-mass selected implanter))的大面积注入剂(large-area implanter)的使用会通过硅表面共同注入不希望的污染物。例如,离子的注入可以大量降低最后所得到的硅吸收层的有效的少数载流子的寿命,并因而引起不希望地降低光转换效率。由于较大的氢注入范围,可以设置屏蔽层来防止铁进入晶体硅膜。屏蔽层的厚度将取决于注入能量、被屏蔽的污染物、以及屏蔽材料。以使用二氧化硅作为屏蔽层以及使用铁作为污染物为例,300keV的注入将需要约0.3um的二氧化硅厚度,以完全制止铁进入硅衬底。5MeV氢注入将需要厚度为3.5um至4um的二氧化硅层。尤其,可以使用诸如HF剥离或抛光步骤的化学剥离来去除氧化硅层。根据其他实施例,还可以在整个电池制造过程中保留二氧化硅层。根据另一个实施例,屏蔽层可以被保留并以其完整的形态结合到太阳能电池中。当然,还有其他的变型、改进、和替换。例如,尽管上述实例将二氧化硅描述为屏蔽层,但这并不是本发明所必须的。包括各种材料的组合且不限于介电材料的其他各种材料可以替换地被用作屏蔽层。
根据实施例,还存在可以用于形成解理区和/或解理层的其他技术。仅作为实例,这种解理区使用其他工艺来形成,例如,称作Silicon Genesis Corporation of Santa Clara,California的NanocleaveTMprocess,Soitec SA of France的SmartCutTM process,以及Canon Inc.ofTokyo,Japan的EltranTM process,任何相同的处理等。当然,还可以有其他的变型、改进、以及替换。
在一个具体实施例中,对已经耦合至背衬的透明操作衬底以及施主衬底两者都进行等离子体活化处理,部分如图9所示。这种等离子体活化处理清洁和/或活化衬底表面。在20℃至40℃温度下使用氧或氮承载等离子体来提供等离子体活化处理。优选地,在由加利福利亚的圣何塞的Silicon Genesis Corporation制造的双频等离子体活化系统来执行等离子体活化处理。当然,还可以有本文中已经描述的、以及本说明书之外的其他变型、改进、以及替换。
之后,这些衬底中的每一个都被接合到一起,如图10所示。如所示,操作衬底已经被接合至施主晶片。优选地使用由ElectronicVision Group生产的EVG850接合工具或其他类似处理来接合这些衬底。还可以使用诸如由Karl Suss制造的工具的其他类型的工具。当然,还可以有其他的变型、改进、以及替换。优选地,透明操作衬底和施主之间的接合是完全永久的并且具有良好的可靠性。
因此,在接合之后,对接合结构进行烘焙处理。烘焙处理将接合衬底保持在预定温度以及预定时间。优选地,温度范围为从约200或250摄氏度至约400摄氏度,优选地,对硅施主衬底和透明操作衬底保持在约350摄氏度下约1小时左右。在一个具体实施例中,可以使用利用热板和/或表面的传导加热处理(其直接将热能从热板耦合至被接合的衬底)来进行当前的烘焙处理。在其他实施例中,可以使用辐射、传导、对流、或这些技术的任意组合等来提供热能。根据具体实施例,还可以有其他的变型、改进、以及替换。
在一个具体实施例中,使用低温热步骤将这些衬底结合或熔合在一起。低温热处理通常保证注入的粒子不对材料区施加过大的应力,过大的应力会产生不可控的解理作用。与等离子体活化表面处理相结合的该步骤的另一种考虑是允许增加接合强度以消除在同一烘焙处理步骤期间组件的分层,分层通常是由所使用的不同材料的热膨胀系数不匹配而导致的应力引起的。在一个具体实施例中,低温接合处理通过自接合处理来完成。具体地,对一个晶片进行剥离以从其去除氧化物(或者一个衬底未被氧化)。使用清洁溶液处理晶片的表面以在晶片表面上形成O-H键。用于清洁晶片的溶液的实例是过氧化氢与硫酸的混合物、或其他类似溶液。使用干燥器对晶片表面进行干燥以从衬底表面去除任何残留液体或粒子。通过将清洁后的衬底表面放在一起来进行自接合。当然,还可以有其他的变型、改进、以及替换。
可替换地,可以在这些衬底的任一或两个表面上都放置粘合剂,粘合剂将一个衬底接合至另一个衬底。在一个具体实施例中,粘合剂包括环氧树脂、聚酰亚胺类型材料等。旋涂式玻璃层可以用于将一个衬底表面接合至另一衬底的一面。其中,这些旋涂式玻璃(“SOG”)材料还包括硅氧烯或硅酸盐,它们通常与醇基溶剂等混合。SOG可以是想要的材料,这是因为在将SOG涂到晶片的表面上以后通常需要低温(例如,150至250摄氏度)使其硬化。
可替换地,可以使用各种其他低温技术来将施主晶片结合至操作衬底。例如,可以使用静电接合技术来将两个衬底接合在一起。具体地,一个或两个衬底表面被充电以吸引其他衬底的表面。此外,可以使用各种其他公知的技术将施主衬底熔合至操作晶片(handlewafer)。在一个具体实施例中,当前的将施主与操作衬底结合到一起的接合处理可以使用原位等离子体活化接合处理、原位静电接合处理、它们的任意组合等。当然,根据应用来使用该技术。
在一个优选实施例中,该方法在两个衬底之间使用光耦合材料。该光耦合材料是具有约为1.8至约2.2的折射率的任意适合材料,但也可以是其他材料。该材料可以选自氧化锡、氧化铟锡(ITO)、氧化锌(ZnO)、二氧化钛、氮化硅、或其他抗反射或电介质堆叠形成材料等(包括它们的组合)。根据实施例,该材料可以包括一个或多个层以及其他构造。当然,还可以有其他的变型、改进、以及替换。
该方法在接合衬底结构上执行受控解理处理,如图11和12所示。受控解理处理在施主衬底的解理区的一部分内提供可选能量。仅作为一个实例,题为Controlled Cleaving Process的第6,013,563号美国专利中已经描述了受控解理处理,上述专利转让给了加利福利亚的圣何塞的Silicon Genesis Corporation,其通过引证结合于此。
根据一些实施例,受控解理处理可以采用热能应用。例如,在图29所示的具体实施例中,热能束2900(诸如激光束)可以施加至衬底2904的表面2902,该衬底具有包括多个吸收点(getteringsite)的平面下解理平面2906。沿被局部加热的硅与相邻的较冷硅区之间的解理平面的方向的温度梯度将断裂应力(其可以是张应力等)传给硅。该断裂应力进而沿解理平面的方向产生解理。随着热束源、衬底、或它们两者沿解理平面的方向平移(translate),断裂应力的区域沿解理平面的方向平移。
对各种参数进行控制可以使解理处理最优化。这些参数的实例包括但不限于热束的强度和持续时间、束的空间尺寸和时间脉冲轮廓、以及热束的平移速度。该束可以为穿过部分或整个瓦(tile)的点(2D)或线型(1D)。束的宽度可以对可以由该构造产生的热生成的断裂应力场的幅度具有很强的影响。
尽管图29所示的具体实施例示出了由热束引起的解理,但这并不是必须的。根据可替换实施例,热能可以由另一种束给予解理平面。例如,在一个实施例中,热能可以由影响衬底的粒子(诸如离子)束来给予。在一个具体实施例中,该束的粒子可以包括被注入以产生解理平面的吸收点或缺陷区的相同粒子。
图29所示的硅的局部加热还产生在与解理平面的方向正交的垂直方向上的温度梯度。而且,至少由于1)与解理平面叠置的较少量的硅,以及2)热束的方向源,该垂直温度梯度是不对称的,其在导向衬底表面的方向上最陡。除非该构造被最优化,否则这种不对称的温度梯度会给产生的张力和产生的解理作用带来不想要的垂直方向性,例如,通过将束源充分定位在裂纹前面,在该裂纹前,该热轮廓不对称基本被消除。
因此,图30示出了根据本发明的解理方法的一个可替换实施例的简化截面图,其中,冷板3000被定位于接近接收热束3006的衬底3004的表面3002。此处,冷板通过氦气层与衬底表面分离。冷板3000的存在用于平衡存在于解理平面的任意一侧上的温度梯度的陡度,以使在垂直方向上有很小或没有可以用作可能改变解理方向的剪切力的应力梯度。缺乏剪切力减小了导致粗糙表面的延伸到衬底的深度的裂纹、以及不均匀厚度的独立膜的可能性。
尽管上述实施例设法避免在垂直方向上存在不对称的热梯度,但根据本发明的可替换方法可以使用这种热梯度来完成解理。图31示出了根据这种可替换实施例的解理方法的简化截面图,其中,将热能(此处为束3100形式)施加至衬底3102表面导致垂直温度梯度3104,其将在解理平面3106处产生剪切力。在该情况中,该剪切力将结合可以产生通过解理平面的解理作用的模式II(剪切模式)应力强度系数。这种源可以是例如快速热处理系统或基于闪光灯的光源。由于热导致的应力与热梯度变化成比例,因此尽管快速热退火(RTA)系统也有效,但基于闪光灯的系统的微秒至毫秒级热脉冲特性会是有利的。
尽管图31所示的本发明的实施例使用了局部施加的热能束,但这不是必须的。根据本发明的其他实施例,热能可以被全局施加于衬底的表面以产生通过整个产生受控解理的解理平面的垂直温度梯度以及剪切力。
此外,尽管图29至图31示出的本发明的各实施例在进行受控解理处理中利用了热能,但这不是本发明必须的。根据可替换实施例,除了热能以外的能量的应用也可以产生沿解理平面的解理。
例如,在激光冲击强化技术中,将强激光束(例如,1-20纳秒的持续时间以及约1GW/cm2或更高的强度)施加至材料的表面。该强激光引起表面材料的汽化以产生局部等离子体以及耦合至材料的压缩冲击波。如果将表面浸没在流体(最常用的为水)中,则水也可以汽化以产生压缩冲击波并且被高度强化(约为水中的3-4倍),其峰值应力强度为1至3GPa或更高。该强冲击波可以作为压缩应力波通过体材料(bulk material,块状材料)传送,其当从体材料的末端反射时转变成近似等幅的张应力波。
图32示出了根据本发明一个实施例的使用激光冲击强化来执行受控解理处理的实例的简化截面图。具体地,衬底3200具有之前通过将氢或其他材料离子注入到第一表面3204中而形成的表面下解理平面3202。该第一表面被支撑(例如,通过真空或静电力)在卡盘3206上。
水膜3208形成在第二表面3205的表面上,于是,将激光束形式的能量施加至第一表面。通过激光加热水产生了局部等离子体3209,然后其产生冲击波和传播通过衬底厚度的相应的压缩力3210。
一旦到达由卡盘支撑的衬底的正面,冲击波就被反射回来成为张应力波3212。当该张应力波到达包括吸收点和缺陷区的解理平面时,在此处张应力引起受控解理作用。
激光冲击强化产生瞬时冲击波,以在其传递期间产生与解理平面相互作用的张应力波。一种可替换的技术是使用可在衬底内产生连续波(CW)或MHz和GHz的调制超声波的超声换能器,来引起沿解理平面延伸的裂纹。例如,该换能器可以放置在衬底的底部上,并且通过适当的耦合介质,可以将入射的超声波有效耦合至硅材料中。除了张应力和压缩波两者都由该技术产生之外,所产生的波所起的作用非常像由激光冲击强化技术引起的急剧瞬变现象(sharp transient)。
当这些波穿过解理平面的平面并从该表面反射时,入射的张力波可以直接与解理平面相互作用。或者,一从该表面反射,入射波和表面反射的波就在解理平面附近形成驻波。
如果入射的超声频率选择为解理平面上的膜等于超声频率的四分之一周期(或其多个半波)所经历的距离,则将会产生附加的相长干涉效应,其中,传播的压缩和张力分量和超声波将在解理平面的一定深度处累加。结果将是可以在每个张力半周期延伸裂纹平面的更强的压缩/拉力驻波。假设硅中的声速约为2.5km/秒,限定膜厚度(tfilm)为50微米解理平面深度,基本超声频率(fundamentalultrasonic frequency)为Fultrasound=vsi/4*tfilm=12.5MHz。其他驻波在频率上高Fultrasound=(n+1/2)*vsi/2*tfilm(n=0,1,2...)。超声能量可以集中到延长的解理正面(advancing cleave front)附近或者不集中。
在又一个实施例中,使用上述驻波方法,较高频率可以用于产生更垂直局部化的压缩-拉力-压缩驻波。垂直方向上的大的压力梯度变化可以更有力地有利于延伸裂纹。
在一半个周期中,在解理平面将张应力最大化以在解理平面之上和之下通过压缩应力分量引起裂纹延伸。这将有助于在受控垂直维度上延伸裂纹。在另一半个周期期间,裂纹将会遇到将阻止裂纹延伸的压缩分量。所产生的效果是对拉力驻波分量中心内的平面的强导向。
频率调制可以用作垂直导向效用,其中,较高的频率会产生趋向表面的净剪切力,而较低频率会产生远离该表面的净剪切力。理论上,这可以在解理处理期间垂直引导裂纹。超声能量可以集中到延长的解理正面附近或不进行集中。
如果解理平面在所选深度开始(例如,在边缘附近或图案注入周界附近),则该高频超声驻波导向可以通过频率控制以及允许该平面在所选深度内延伸而沿所选深度延伸解理。一旦解理平面被驻波锁定,就会需要较低的注入剂量(甚至在传播区内没有)。于是,该技术可以允许更好的产量和成本效益。当然,超声能量可以与本专利申请中其他处描述的一种或多种解理技术(包括但不限于机械分离应力和扫描热束)结合来使用以最优化膜解理处理。
尽管以上的一些描述涉及接合衬底结构的解理,但并不是本发明所必须的。根据可替换实施例,可以从单个衬底解理出材料的独立膜。
对于以下公开内容来说,“独立膜”或“独立层”被限定为可以保持其结构完整性(即,不破碎或分裂)的材料膜,而不与诸如操作或转移衬底的支撑元件接触。通常,非常薄的膜(例如,薄于约5-10μm的硅膜)在不破裂的情况下不能被处理。传统上,这种薄膜使用支撑结构来操作,还需要支撑结构来在第一位置中产生薄膜。较厚的膜(即,具有介于20-50μm之间厚度的硅膜)的处理可以利用支撑而变得容易,但这种支撑不是强制性的。因此,本发明的实施例涉及具有大于20μm厚度的硅独立膜的制造。
接下来,该方法从施主衬底清除该厚度的材料以从施主衬底完全去除该厚度的材料,如图13所示。根据实施例,分离膜的方法是膜厚度、以及其被操作或处理而不被临时或永久附着至支撑衬底的能力的功能。对于用于外延模板生长的非常薄的膜,例如,将膜转移到临时或永久衬底上是避免损坏膜所必须的。对于超过约50um的材料膜厚度,可以以例如在制造太阳能电池过程中使用的独立方式处理这些膜。用于晶体太阳能电池应用的约3um至50um膜的临时支撑衬底将用于使用和处理分离的硅薄膜的两侧,以最优化所得到的光伏器件。将分离的硅薄膜永久接合以及转移到永久衬底上可以有利于简化膜处理工艺。在注入步骤之前或之后对施主表面的预处理(例如,使表面具有纹理、最大化表面反射特性、钝化接触形成、以及表面掺杂)可以允许对该表面进行处理以消除对临时支撑衬底的需要。当然,支撑衬底和制造处理流程的选择取决于应用和光伏电池结构。以图17中示出的光伏电池设计举例说明。光伏电池结构具有形成在顶表面区和背表面区上的接触层。如所示,在具体实施例中,光可以穿过玻璃衬底并耦合至使用光耦合层的光吸收层的底部。这种光耦合层的实例可以包括具有适当反射率和厚度的氮化硅或其他材料。优选地,光耦合层的厚度提供了四分之一波匹配层。光耦合层的其他实例可以包括具有合适厚度和折射率的多个电介质堆叠层,以通过使最大光传输波长范围变宽来提高光耦合效率。在一个具体实施例中,诸如使用合适的纹理层或通过使透明衬底具有纹理、或它们的组合的形成纹理的光俘获方案也可以用于提高光吸收层的光传输效率。在一个可替换实施例中,还可以使用适当的层(其可以是光耦合层)来使光吸收层的底面钝化。薄的非晶硅层可以用作优良的钝化层,以限制表面载流子再组合速率以及最大化载流子寿命。在透明衬底上分离膜并使衬底上部钝化、形成纹理以及与吸收器和结点(例如,有可能是漫射、肖特基金属、或掺杂的非晶发射极(emmitter))交替接触。为了最大化电池效率,吸收器的顶表面可以形成纹理并且可以是高反射的,以使光保持在吸收器中。吸收器接触可以使用前交叉指型接触形成。如果使用底部接触掺杂层,则这些都可以通过电池与过孔接触连接。该结构将最大化光收集效率(被测量为光未被遮蔽(occlude)的光收集区域占整个光收集区域的份额),这是因为这些接触位于吸收器的顶侧上。
在一个优选实施例中,该方法从透明操作衬底去除了背衬衬底,如图14所示。在一个优选实施例中,背衬衬底和操作衬底之间的附着是临时的,并且可以利用机械力去除而不损坏任一个衬底。在一个具体实施例中,可以使用分离处理来将背衬衬底与操作衬底分离开。在一个具体实施例中,在已经使用静电、真空、或机械卡盘和/或附着装置设置了背衬衬底元件时,也可以释放背衬衬底。当然,还存在其他的变型、改进、和替换。
参照图15,该方法在该厚度的材料的表面上形成光伏器件。这些器件可以包括集成半导体器件和光伏器件。这些器件可以使用沉积、蚀刻、注入、光掩蔽处理、喷墨印刷、丝网印刷、它们的任意组合等来制造。当然,还存在其他的变型、改进、和替换。
在一个具体实施例中,该方法还使用沉积工艺来使被转移材料的厚度变厚。在一个具体实施例中,该方法使用固相外延工艺和/或其他形式的沉积工艺。根据一个具体实施例,该工艺可以形成合适的单晶硅等材料。仅作为一个实例,该材料可以是非晶硅、多晶硅、锗和硅锗合金。例如,非晶硅可以有利地允许使用下层转移硅膜作为模板来进行单晶硅的固相外延生长。可以增加硅材料沉积实际效率的另一种方法是使用下层转移硅膜作为模板、以硅纳米粒子(有利地为非晶硅)(可以对其进行热处理以产生单晶硅)溅射或涂覆该表面。这可以使用在随后的处理期间将被消除的液体的干燥来施加。多晶硅和其他材料也可以允许使用适当的处理(诸如激光退火、闪光灯热处理(flash thermal treatment)等)进行单晶再生长。当然,还存在其他的变体型、改进、和替换。
在一个具体实施例中,该方法包括蚀刻和/或沉积工艺(例如,等离子体辅助沉积/蚀刻),用于在形成光伏区和/或形成加厚层的任何步骤之前使解理表面区平滑。根据具体实施例,该方法可以使用平滑处理,其包括使用包括外部环境在内的氢和氯化氢对解理膜进行热处理。可替换的,蚀刻剂可以是进行蚀刻的化学浴(例如,KOH,TMAH),并且如果需要,使解理膜形成预定数量的纹理。作为一个实例,蚀刻工艺可以用于去除约300至约800埃的氢损坏硅(hydrogen damaged silicon)。在一个具体实施例中,还可以在蚀刻工艺之前进行氧化工艺以将氢损坏区转化为氧化物,该氧化物随后使用缓冲氧化物蚀刻和/或其他适合的蚀刻种类而被剥离。根据该表面处理,可以通过一种或多种普遍已知的技术(例如,氧化物、氮化硅、或碳化硅膜形成、薄的非晶硅膜的氢化或沉积)来进行表面钝化以控制载流子的表面再组合。使用表面再组合速率(以cm/sec为单位的SRV)来测量钝化表面的质量。优良的表面钝化具有约10-100cm/sec的SRV值,而薄膜(5-10微米)晶体硅电池的电池效率劣化将由1000cm/sec以上的SRV值的表面钝化控制。对于用于薄膜硅电池的电池效率的SRV影响在Brendel参考文献以及在Brendel的题为“A Novel Process for Ultra-Thin MonocrystallineSilicon Solar Cells on Glass”,14th European Photovoltaic Solar EnergyConference,Barcelona,Spain,30June-4th July1997,的相关论文中进行了阐述,该论文通过引证结合于此。当然,还存在其他的变型、改进、和替换。
在一个优选实施例中,使用非晶硅层来使转移材料变厚。在一个优选实施例中,非晶硅层被晶体化等。在一个具体实施例中,使用应用纳米粒子(例如,非晶硅、晶体硅、多晶硅、或它们的组合)来沉积非晶硅层,随后对这些纳米粒子进行热处理以形成加厚的材料片。可替换地,根据一个具体实施例,可以在低温下使用物理气相沉积或化学气相沉积(例如,等离子体增强)来形成非晶硅层。在一个优选实施例中,在形成这样的硅层期间,将已被叠置玻璃材料而沉积的非晶硅层保持在小于500摄氏度的温度下。在一个具体实施例中,根据一个具体实施例所形成的膜可以是单晶和/或多晶结构。在优选实施例中,所形成的膜为单晶并且具有适合的电特性。当然,还存在其他的变型、改进、和替换。
根据实施例,可以对加厚的材料进行掺杂以形成太阳能电池结构。在一个具体实施例中,掺杂可以是原位掺杂、扩散、和/或使用离子束、等离子体浸没注入、离子淋浴、非质量分离注入(non-masseparated implantation)、完全或部分非质量分离、或传统注入技术的注入。根据一个具体实施例,这些太阳能电池结构可以包括P型和N型杂质的掺杂区。当然,还存在其他的变型、改进、和替换。
在一个具体实施例中,该方法还可以形成与加厚层叠置的另一个层以形成光伏器件。根据一个具体实施例,该另一层可以是半导体层,其可以用来增强被提供用于整个太阳能电池结构的光伏器件。在一个可替换实施例中,该另一层可以是锗、硅锗、II/IV族、III/V族、SiC、GaN、和它们的任意组合等。该另一层可以用于形成另一组光伏区,其可以耦合至其他光伏器件,以增强整体光伏强度。当然,还存在其他的变型、改进、和替换。
根据实施例,该方法和结构可以以具体厚度的加厚层和/或层转移层和加厚层的组合形成。在一个具体实施例中,使用硅材料的加厚层可以介于1um和20um之间。在其他实施例中,加厚层可以小于1微米或大于20微米。在其他实施例中,加厚层可以小于约50微米。当然,还存在其他的变型、改进、和替换。
根据实施例,应该理解,材料的具体厚度可以使用后来被加厚的第一转移层形成,或可以被以足够的厚度直接转移,而不需要进一步的加厚步骤形成。诸如钝化层、接触层、扩散层、纹理和其他光俘获层、以及光反射或光耦合层的其他层在接合步骤前还可以被添加至底部衬底或施主,或在解理步骤后添加至转移膜的顶部上。在一个具体实施例中,使用硅材料的转移层可以具有介于约1um和20um之间的厚度范围。当然,还存在其他的变型、改进、和替换。
图16至图19示出了根据本发明实施例的太阳能电池结构的简化示图。这些示图仅是示例并且不应该过度限制本文中的权利要求的范围。本领域的普通技术人员应该意识到,会有很多变型、改进、和替换。如图16所示,第一接触层被形成为夹在玻璃衬底和半导体层的第一表面之间。在一个具体实施例中,接触层可以由诸如透明导电材料(诸如ITO等)的合适材料制成。还可以使用其他材料。第一接触层耦合至光伏电池的第一电极结构,其通常包括一个p-n结或多个p-n结。仅作为一个实例,半导体层可以包括诸如合适的单晶硅等材料等。第二接触层与半导体层的第二表面叠置地被形成。第二接触层被沿平行于第一接触层的方向布置。在一个具体实施例中,第二接触层被图案化以形成多个电极,这些电极耦合至多个光伏区中的每一个。根据具体实施例,每个电极都可以并联和/或串联配置。当然,还存在其他的变型、改进、和替换。
在一个具体实施例中,可以在第二接触层和半导体衬底之间形成其他结,以提高太阳能电池的效率,如图17的简化示图所示。如所示,根据一个具体实施例,这些附加的结被设置在与所述厚度的单晶硅材料叠置的加厚层上。其他的结可以通过上述厚度的单晶与光伏器件分离开。这些结中的每一个都可以被相互并联和/或串联配置,并通过该厚度的硅材料耦合至光伏器件。可替换地,该结构可以使用直接转移的硅材料的厚度来形成,而无需加厚步骤。当然,还存在其他的变型、改进、和替换。
图18示出了根据本发明实施例的太阳能电池构造的另一个实例。如所示,玻璃衬底附着至半导体层的第一表面。第一接触和第二接触与半导体层的第二表面叠置地形成。第一接触结构被配置为基本平行于第二接触结构。如所示,每一个光伏器件都至少耦合至第一和第二接触结构,这些接触结构与单晶上述厚度的硅材料叠置。可替换地,可以在这些接触结构和半导体衬底之间形成其他的结,以提高太阳能电池的效率,如图19所示。当然,还存在其他的变型、改进、和替换。
图20是根据本发明一个实施例的具有反射表面区2002的太阳能电池的简化示图。如所示,提供了一个太阳能电池。该太阳能电池包括附着至半导体层的第一表面的玻璃衬底。多个接触结构与半导体层的第二表面叠置地形成。如所示,每一个光伏器件都至少耦合至一个与该厚度的单晶硅材料叠置的接触结构。光穿过玻璃衬底和半导体层中的多个光伏器件并转换成电能。如所示,设置反射表面来反射通过光伏区的任何残余光,以进一步激活这些光伏器件中的一个或多个,并转换成电能。可以使用诸如铝、银、金、或其他合适的反射材料的材料来提供该反射表面。可替换地,如果需要非导电反射体,则可以单独地或与导电反射体组合来设计电介质堆叠反射体。该反射表面提供了用于光伏器件中的多条光通道的手段以及增加太阳能电池的效率。当然,还存在其他的变型、改进、和替换。
图21是根据本发明一个实施例的具有透镜区2102的太阳能电池的简化示图。如所示,提供了一个太阳能电池。该太阳能电池包括附着至半导体层的第一表面的玻璃衬底。多个接触结构与半导体层的第二表面叠置地形成。如所示,每一个光伏器件都至少耦合至与该厚度的单晶硅材料叠置的接触结构。光穿过玻璃衬底和半导体层中的多个光伏器件并转换成电能。在一个具体实施例中,使用耦合至玻璃衬底的光学元件2001将光改变方向和/或散射,以使光以多个斜角改变方向,并提高太阳能电池的收集效率。这种光学元件的一个实例可以是菲涅耳透镜。菲涅耳透镜可以由塑料材料或玻璃材料制成。可替换地,玻璃衬底可以被改进以使光散射或改变方向,并起到类似菲涅耳透镜的功能。通过修改光学元件2001的形状,光俘获作用可以通过由硅薄膜内的波导效应允许的全内部反射来达成,或通过接近朗伯源并因而增加薄膜电池的有效厚度来实现。当然,还存在其他的变型、改进、和替换。
图22示出了一个具体实施例,其中,设置了光学元件以将大部分反射光线(specular light ray)以斜角导向薄膜光伏电池。该示图仅是一个实例,其不应该过度限制本文中的权利要求的范围。本领域的普通技术人员应该意识到其他变型、改进、和替换。在一个具体实施例中,选择薄膜厚度以使波导不仅可以俘获在玻璃衬底内以一定角度而反回(impinge)的光,而且对于涉及的光波长范围可以支持在薄膜内的扩展。因而,该设计考虑针对涉及的所有波长(例如,构成最大太阳能谱波长分布的IR至近UV),以允许横电(TE)、横磁(TM)、及组合模式的传播,以及允许薄膜电池厚度内的较高级别模式进行传播。一种完成该设计目标而用于波导的合适的散射曲线会产生可允许的硅厚度范围,以及选择将用作波导覆层的光学耦合层。光在透明衬底中的入射角还可以是对系统整个电池入射角范围的校正操作的设计考虑。一旦在薄膜中被耦合,传播就将会由于吸收辐射以及通过在薄膜内产生载流子而转换为电力而大量衰减。与纵向P-N结一致的光的纵向传播将有助于最大化光转换效率。所产生的电能将被接触1和2收集。该结构还考虑了可以帮助降低反射的光耦合层,其可以降低薄膜太阳能电池的有源区中所耦合的光能。在一个具体实施例中,波导可以以多模或单模的方式进行操作。此外,根据一个具体实施例,可以使用内部材料来形成波以在入射光的内部反射的折射率中产生差别。在一个优选实施例中,硅锗的薄层可以夹在硅结构之间以提高以及甚至优化一个或多个光伏区中的一个区(例如,中央区)的光限制。当然,还存在其他的变型、改进、和替换。
图23至26示出了根据本发明实施例的用于形成太阳能电池的工艺流程。如所示,这些工艺流程可以由三个主要能量范围来启动以形成膜的厚度。图23-24涉及较低能量、注入后转移膜的生长模板的使用。图23示出了临时的、可释放衬底的使用,其将使处理流程使用将变为用于层掺杂、钝化和互连处理的太阳能电池的背面的衬底。应该注意,该临时的、可释放衬底可以使用诸如可化学释放衬底(例如,热、机械或化学可释放带或刚性衬底)、真空卡盘、或静电卡盘的多种方法来实现。图24是更简单、直接转移和生长工艺流程,但是对于优化太阳能电池性能及其光转换效率很少使用背面。当然,还存在其他的变型、改进、和替换。
图25和图26示出了使用临时衬底以及直接接合使用注入工艺形成的1um至50um膜的工艺流程。这些工艺流程与图23和24相比的主要区别在于没有生长或加厚步骤。图25示出了将允许使用将变为用于层掺杂、钝化和互连处理的太阳能电池的背面的衬底的工艺流程的临时的、可释放的衬底。应该注意,该临时的、可释放衬底可以使用诸如可化学释放的衬底(例如,热、机械或化学可释放带或刚性衬底)、真空卡盘、或静电卡盘的多种方法来实现。图25A示出了图23所描述的工艺流程的具体实例,其使用非晶硅接触和发射极结构。该非晶(a-Si)薄表面层已经被示为优化吸收体钝化以及允许更好的接触。由于图25的薄硅工艺利用了临时衬底,因此对于在解理步骤后的a-Si接触形成和钝化,背面使用是可能的。根据该实例,具有P至P-掺杂的被释放的硅膜被沉积有非常薄的非晶本征层(通常为10-30埃),非常薄的非晶本征层不仅允许进行良好的表面钝化而且还可以允许电流流经隧道效应(tunneling)。a-Si层然后被掺杂P+以用作背面接触。该层然后被接合到最终形成的衬底上。根据具体实施例,该背面接触可以如所示被接触或可以是连续透明或不透明的导电膜。然后,用非常薄的本征a-Si膜对正面进行钝化,于是,N+掺杂的a-Si的发射极用作至电池的第二接触。该电池将很好起到高效电池的作用。由a-Si制成的串叠型电池还可以沉积在该结构上以进一步提高效率。当然,掺杂和发射极表面选择可以根据具体实施例而颠倒。图23是更简单、直接转移工艺流程,但是其背面使用仅在接合至永久衬底的步骤之前是可用的。因此,对于优化太阳能电池性能及其光转换效率,使用背面的可能性很小。该工艺流程的选择还可以受在高温和低温最终衬底之间的成本差异的影响,这是因为在最终衬底上而不是在临时衬底上进行的高温电池处理步骤的可能需要会需要更昂贵、具高温能力的最终衬底。当然,还存在其他的变型、改进、和替换。
图27示出了使用能够作为独立衬底被处理的厚硅膜的工艺流程。通过利用上述一种或多种受控解理技术,可以使用单纯的热处理(其可以是恒温的)来使具有约50um至250um的厚度的该厚膜分离。对于处理附着至该临时衬底的一面,该分离可以直接作为独立衬底来进行,或者转移至临时的、可释放的衬底以及最终被释放。应该注意,临时的、可释放的衬底可以使用诸如可化学释放的衬底(例如,热、机械或化学可释放带或刚性衬底)、真空卡盘、或静电卡盘的多种方法来实现。当然,还存在其他的变型、改进、和替换。
在没有包括可释放衬底的接合或放置的上述实例中,可以在解理工艺期间使用适当硬度的板,以限制膜背离其原始形状的任何弯曲,否则,该弯曲可能施加可以引起不需要的解理平面分支、膜破裂或方向改变的剪应力。该板可以与膜接触,而无需粘合剂或其他形式的附着。可替换地,该板可以与膜接近,如限定为被解理的膜厚度的一小部分。因而,该膜被包括以在经过解理工艺也还基本保持其原始形状。
如果解理工艺包括使用激光束(例如,需要正面使用)的热束工艺。在这种情况中,该板可以由透明材料(石英、兰宝石等)制成。可选地,如果具有静电或真空能力,该板还可以成为可以用作解理膜载体的表面。
根据本发明的各方法和工艺的任何一个实施例(包括图23-27具体示出的那些)可以以连续的方式来进行。具体地,一个或一批块状晶锭或刚玉形式的一个或多个可再用衬底会经历注入、接合(如果适合)、以及解理步骤的重复循环,以产生独立或接合材料。其中,根据本发明各实施例的方法和工艺是以这种连续方式执行的,所得到的高生产量可以显著减少制造太阳能模块的成本。这种连续处理(包括披露适于执行这些处理的设备)的进一步讨论可以在于2007年4月5日提交的11/784,524号同时待审美国非临时专利申请中找到,其全部内容通过引证接合于此。
尽管上述是具体实施例的全部描述,但是还可以使用各种改进、替换结构。尽管以上内容已使用所选步骤序列来描述,但是可以使用上述步骤的任何元素的任何组合以及其他的步骤。此外,根据实施例可以组合和/或删除一些步骤。此外,根据替换实施例,可以使用同时注入氦离子和氢离子来代替氢粒子,以允许利用改进的剂量和/或解理特性来形成解理平面。在一些实施例中,背衬衬底可以应用于每个衬底,包括操作衬底和施主衬底。在可替换实施例中,在这些表面或透明材料的其他区上设置涂层。因此,以上描述和示例不应该被看作是限制由所附权利要求限定的本发明的范围。

Claims (25)

1.一种用于使用大规模注入工艺制造光伏电池的方法,所述方法包括:
提供瓦型半导体衬底,所述瓦型半导体衬底具有表面区、解理区和位于所述表面区和所述解理区之间且将被去除的第一厚度的材料;
使用高能量注入工艺、通过所述表面区将能够以质子模式操作的多个氢粒子引入所述解理区的附近;
将所述瓦型半导体衬底的所述表面区耦合至衬底的第一表面区,所述衬底包括所述第一表面区和第二表面区;
对所述半导体衬底进行解理以从所述瓦型半导体衬底去除所述第一厚度的材料;以及
至少由与所述衬底叠置的以瓦型为特征的所述第一厚度的材料形成太阳能电池。
2.根据权利要求1所述的方法,其中,所述第一厚度的材料包括单晶硅材料。
3.根据权利要求1所述的方法,其中,所述解理包括受控解理工艺。
4.根据权利要求1所述的方法,其中,所述解理包括热解理工艺。
5.根据权利要求1所述的方法,其中,在所述瓦型半导体衬底处在介于200至850摄氏度之间的温度范围内时,进行氢粒子引入。
6.根据权利要求5所述的方法,其中,在所述瓦型半导体衬底处在介于300至600摄氏度之间的温度范围内时,进行氢粒子引入。
7.根据权利要求1所述的方法,其中,所述解理包括初始处理以及扩展处理,以将所述第一厚度的材料从所述瓦型半导体衬底的剩余部分去除。
8.根据权利要求1所述的方法,其中,所述氢粒子以H+模式提供。
9.根据权利要求1所述的方法,其中,所述高能量注入工艺在从300keV至2.1MeV的能量范围内使用完全非质量选择的H+。
10.根据权利要求1所述的方法,其中,所述高能量注入工艺在从2.1MeV至5MeV的能量范围内使用H+。
11.根据权利要求10所述的方法,其中,所述高能量注入工艺提供范围从50um至220um的所述第一厚度的硅材料。
12.根据权利要求10所述的方法,其中,所述第一厚度的材料被设置为独立于操作衬底。
13.根据权利要求1所述的方法,其中,所述瓦型半导体衬底包括用于防止同时注入污染物的叠置电介质层,所述叠置电介质层用做屏蔽层。
14.根据权利要求1所述的方法,其中,所述第一厚度的材料的所述表面区附着至背衬衬底,从而露出所述第一厚度的材料的背面表面区。
15.一种制造太阳能电池的方法,所述方法包括:
提供具有垂直于主表面的晶格取向、以及通过注入氢而在表面下解理平面中形成的多个吸收点或多个缺陷区的半导体衬底;以及
施加来自离子束和/或热能束的能量以在所述解理平面上给予断裂应力,并执行受控解理工艺以释放独立膜。
16.根据权利要求15的方法,其中,垂直于被注入的所述半导体衬底表面的晶格方向是<100>。
17.根据权利要求15的方法,其中,施加至所述半导体衬底的来自离子束的热量给予所述断裂应力。
18.根据权利要求15的方法,其中,施加热能束以将所述断裂应力给予所述半导体衬底。
19.根据权利要求18的方法,其中,所述热能束包括激光束。
20.根据权利要求18的方法,其中,来自所述热能束的热量产生沿给予所述断裂应力的所述解理平面方向的热梯度。
21.根据权利要求20所述的方法,其中,所述热能束在沿所述解理平面的方向上被扫描。
22.根据权利要求18所述的方法,其中,来自所述热能束的热量在垂直于所述解理面的方向上产生热梯度和相应的剪切力,所述剪切力给予所述断裂应力。
23.一种制造太阳能电池的方法,所述方法包括:
提供具有形成在表面下解理平面中的多个吸收点或多个缺陷区的半导体衬底;以及
将来自热束的能量施加至所述半导体衬底以在沿所述解理平面的方向上给予断裂应力,并且执行受控解理工艺以释放独立膜。
24.根据权利要求23所述的方法,进一步包括在沿所述解理平面向前延伸解理的方向上扫描所述热束。
25.一种制造太阳能电池的方法,所述方法包括:
提供具有形成在表面下解理平面中的多个吸收点或多个缺陷区的半导体衬底;以及
将来自热束的能量施加至所述半导体衬底以沿垂直于所述解理平面的方向给予热梯度和剪力,并且执行受控解理工艺以释放独立膜。
CN2007800229339A 2006-09-08 2007-09-10 使用厚层转移工艺制造太阳能电池的方法和结构 Expired - Fee Related CN101473446B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US82509506P 2006-09-08 2006-09-08
US60/825,095 2006-09-08
US11/852,088 2007-09-07
US11/852,088 US7811900B2 (en) 2006-09-08 2007-09-07 Method and structure for fabricating solar cells using a thick layer transfer process
PCT/US2007/078023 WO2008082723A2 (en) 2006-09-08 2007-09-10 Method and structure for fabricating solar cells using a thick layer transfer process

Publications (2)

Publication Number Publication Date
CN101473446A CN101473446A (zh) 2009-07-01
CN101473446B true CN101473446B (zh) 2012-06-27

Family

ID=39589156

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800229339A Expired - Fee Related CN101473446B (zh) 2006-09-08 2007-09-10 使用厚层转移工艺制造太阳能电池的方法和结构

Country Status (6)

Country Link
US (3) US7811900B2 (zh)
EP (1) EP2082434A2 (zh)
JP (1) JP2010503239A (zh)
KR (1) KR20090025257A (zh)
CN (1) CN101473446B (zh)
WO (1) WO2008082723A2 (zh)

Families Citing this family (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8835282B2 (en) * 1997-05-12 2014-09-16 Silicon Genesis Corporation Controlled process and resulting device
US8159825B1 (en) 2006-08-25 2012-04-17 Hypres Inc. Method for fabrication of electrical contacts to superconducting circuits
US9362439B2 (en) * 2008-05-07 2016-06-07 Silicon Genesis Corporation Layer transfer of films utilizing controlled shear region
US8993410B2 (en) 2006-09-08 2015-03-31 Silicon Genesis Corporation Substrate cleaving under controlled stress conditions
US7811900B2 (en) * 2006-09-08 2010-10-12 Silicon Genesis Corporation Method and structure for fabricating solar cells using a thick layer transfer process
US8293619B2 (en) * 2008-08-28 2012-10-23 Silicon Genesis Corporation Layer transfer of films utilizing controlled propagation
US7598506B2 (en) * 2006-12-22 2009-10-06 The Boeing Company Low-temperature adjustable blackbody apparatus
JP5463017B2 (ja) * 2007-09-21 2014-04-09 株式会社半導体エネルギー研究所 基板の作製方法
US8501585B2 (en) * 2007-10-10 2013-08-06 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
US8455331B2 (en) 2007-10-10 2013-06-04 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
JP4348454B2 (ja) * 2007-11-08 2009-10-21 三菱重工業株式会社 デバイスおよびデバイス製造方法
DE102007056115A1 (de) * 2007-11-15 2009-05-20 Freiberger Compound Materials Gmbh Verfahren zum Trennen von Einkristallen
US8481845B2 (en) * 2008-02-05 2013-07-09 Gtat Corporation Method to form a photovoltaic cell comprising a thin lamina
US8129613B2 (en) * 2008-02-05 2012-03-06 Twin Creeks Technologies, Inc. Photovoltaic cell comprising a thin lamina having low base resistivity and method of making
US8563352B2 (en) * 2008-02-05 2013-10-22 Gtat Corporation Creation and translation of low-relief texture for a photovoltaic cell
WO2009114108A2 (en) * 2008-03-08 2009-09-17 Crystal Solar, Inc. Integrated method and system for manufacturing monolithic panels of crystalline solar cells
US8481357B2 (en) * 2008-03-08 2013-07-09 Crystal Solar Incorporated Thin film solar cell with ceramic handling layer
EP2105972A3 (en) * 2008-03-28 2015-06-10 Semiconductor Energy Laboratory Co, Ltd. Photoelectric conversion device and method for manufacturing the same
US8623137B1 (en) * 2008-05-07 2014-01-07 Silicon Genesis Corporation Method and device for slicing a shaped silicon ingot using layer transfer
CN102099870A (zh) 2008-06-11 2011-06-15 因特瓦克公司 用于在太阳能电池制作中使用的专用注入系统和方法
JP2010021398A (ja) * 2008-07-11 2010-01-28 Disco Abrasive Syst Ltd ウェーハの処理方法
US7981778B2 (en) * 2009-07-22 2011-07-19 Applied Materials, Inc. Directional solid phase crystallization of thin amorphous silicon for solar cell applications
JP2010050356A (ja) * 2008-08-22 2010-03-04 Shin-Etsu Chemical Co Ltd ヘテロ接合太陽電池の製造方法及びヘテロ接合太陽電池
US8088675B2 (en) 2008-09-19 2012-01-03 Applied Materials, Inc. Methods of making an emitter having a desired dopant profile
SG160302A1 (en) * 2008-09-29 2010-04-29 Semiconductor Energy Lab Method for manufacturing semiconductor substrate
JP5465674B2 (ja) * 2008-10-31 2014-04-09 株式会社アルバック イオン注入装置
KR100967903B1 (ko) * 2008-12-29 2010-07-06 한국과학기술원 적층형 태양전지 및 상기 태양전지의 제조방법
US8802477B2 (en) 2009-06-09 2014-08-12 International Business Machines Corporation Heterojunction III-V photovoltaic cell fabrication
US8703521B2 (en) 2009-06-09 2014-04-22 International Business Machines Corporation Multijunction photovoltaic cell fabrication
US8633097B2 (en) * 2009-06-09 2014-01-21 International Business Machines Corporation Single-junction photovoltaic cell
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
US20110041910A1 (en) * 2009-08-18 2011-02-24 Semiconductor Energy Laboratory Co., Ltd. Photoelectric conversion device and manufacturing method thereof
US20110056532A1 (en) * 2009-09-09 2011-03-10 Crystal Solar, Inc. Method for manufacturing thin crystalline solar cells pre-assembled on a panel
CN102576548B (zh) * 2009-11-03 2017-03-15 应用材料公司 针对图案化磁盘媒介应用的等离子体离子注入工艺期间的基板温度控制
US8349626B2 (en) * 2010-03-23 2013-01-08 Gtat Corporation Creation of low-relief texture for a photovoltaic cell
EP2372755B1 (de) * 2010-03-31 2013-03-20 EV Group E. Thallner GmbH Verfahren zum permanenten Verbinden zweier Metalloberflächen
TW201210058A (en) * 2010-05-12 2012-03-01 Applied Materials Inc Method of manufacturing crystalline silicon solar cells using epitaxial deposition
EP2617066B1 (en) * 2010-09-13 2014-06-18 Imec Method for fabricating thin photovoltaic cells
US8609453B2 (en) 2010-11-22 2013-12-17 International Business Machines Corporation Low cost solar cell manufacture method employing a reusable substrate
US8358476B2 (en) * 2010-11-23 2013-01-22 Institute Of Nuclear Energy Research, Atomic Energy Council, Executive Yuan Condensing lens for high concentration photovoltaic module and manufacturing method thereof
US8723185B2 (en) * 2010-11-30 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing wafer distortion through a high CTE layer
CN102104087B (zh) * 2010-12-15 2012-11-07 上海理工大学 一种柔性薄膜太阳能电池制备方法
US8536448B2 (en) 2010-12-29 2013-09-17 Gtat Corporation Zener diode within a diode structure providing shunt protection
US8435804B2 (en) 2010-12-29 2013-05-07 Gtat Corporation Method and apparatus for forming a thin lamina
US8173452B1 (en) 2010-12-29 2012-05-08 Twin Creeks Technologies, Inc. Method to form a device by constructing a support element on a thin semiconductor lamina
US8268645B2 (en) 2010-12-29 2012-09-18 Twin Creeks Technologies, Inc. Method and apparatus for forming a thin lamina
US8101451B1 (en) 2010-12-29 2012-01-24 Twin Creeks Technologies, Inc. Method to form a device including an annealed lamina and having amorphous silicon on opposing faces
US20120258561A1 (en) * 2011-04-11 2012-10-11 Twin Creeks Technologies, Inc. Low-Temperature Method for Forming Amorphous Semiconductor Layers
JP2014512699A (ja) * 2011-04-29 2014-05-22 アンバーウェーブ, インコーポレイテッド 薄膜はんだ接合
US20120285517A1 (en) * 2011-05-09 2012-11-15 International Business Machines Corporation Schottky barrier solar cells with high and low work function metal contacts
DE102011103481B4 (de) * 2011-06-03 2017-08-17 Leibniz-Institut für Oberflächenmodifizierung e.V. Selektives Abtragen dünner Schichten mittels gepulster Laserstrahlung zur Dünnschichtstrukturierung
US9224904B1 (en) * 2011-07-24 2015-12-29 Ananda Kumar Composite substrates of silicon and ceramic
US8524572B2 (en) 2011-10-06 2013-09-03 Micron Technology, Inc. Methods of processing units comprising crystalline materials, and methods of forming semiconductor-on-insulator constructions
US9324598B2 (en) 2011-11-08 2016-04-26 Intevac, Inc. Substrate processing system and method
US9177688B2 (en) 2011-11-22 2015-11-03 International Business Machines Corporation Carbon nanotube-graphene hybrid transparent conductor and field effect transistor
FR2985371A1 (fr) * 2011-12-29 2013-07-05 Commissariat Energie Atomique Procede de fabrication d'une structure multicouche sur un support
US8916954B2 (en) 2012-02-05 2014-12-23 Gtat Corporation Multi-layer metal support
US8841161B2 (en) 2012-02-05 2014-09-23 GTAT.Corporation Method for forming flexible solar cells
US9336989B2 (en) * 2012-02-13 2016-05-10 Silicon Genesis Corporation Method of cleaving a thin sapphire layer from a bulk material by implanting a plurality of particles and performing a controlled cleaving process
ITUD20120073A1 (it) * 2012-04-24 2013-10-25 Applied Materials Italia Srl Dispositivo e procedimento per tenere posizionato uno strato di materiale semiconduttore, per la produzione di celle fotovoltaiche, rispetto ad un supporto
US9257339B2 (en) 2012-05-04 2016-02-09 Silicon Genesis Corporation Techniques for forming optoelectronic devices
US8796054B2 (en) 2012-05-31 2014-08-05 Corning Incorporated Gallium nitride to silicon direct wafer bonding
US8785294B2 (en) 2012-07-26 2014-07-22 Gtat Corporation Silicon carbide lamina
US9499921B2 (en) 2012-07-30 2016-11-22 Rayton Solar Inc. Float zone silicon wafer manufacturing system and related process
KR102081393B1 (ko) * 2012-08-22 2020-02-25 뉴사우스 이노베이션즈 피티와이 리미티드 광 전지용 콘택 형성 방법
US8852695B2 (en) * 2012-09-10 2014-10-07 The Research Foundation For The State University Of New York Optical barriers, waveguides, and methods for fabricating barriers and waveguides for use in harsh environments
CN102969250B (zh) * 2012-11-22 2015-08-19 京东方科技集团股份有限公司 Ltps薄膜及薄膜晶体管的制备方法,阵列基板及显示装置
TWI570745B (zh) 2012-12-19 2017-02-11 因特瓦克公司 用於電漿離子植入之柵極
US9812592B2 (en) * 2012-12-21 2017-11-07 Sunpower Corporation Metal-foil-assisted fabrication of thin-silicon solar cell
CN105051919A (zh) 2013-01-16 2015-11-11 Qmat股份有限公司 用于形成光电器件的技术
JP2014138189A (ja) * 2013-01-16 2014-07-28 Silicon Genesis Corp 制御されたプロセス及び結果として生じるデバイス
US8735204B1 (en) 2013-01-17 2014-05-27 Alliance For Sustainable Energy, Llc Contact formation and gettering of precipitated impurities by multiple firing during semiconductor device fabrication
US8895416B2 (en) 2013-03-11 2014-11-25 Alliance For Sustainable Energy, Llc Semiconductor device PN junction fabrication using optical processing of amorphous semiconductor material
US10421208B2 (en) * 2013-03-12 2019-09-24 Sunpower Corporation Method and device for cleaving wafers
US11721547B2 (en) * 2013-03-14 2023-08-08 Infineon Technologies Ag Method for manufacturing a silicon carbide substrate for an electrical silicon carbide device, a silicon carbide substrate and an electrical silicon carbide device
US8901010B2 (en) * 2013-03-15 2014-12-02 Sunpower Corporation Methods for improving solar cell lifetime and efficiency
KR101803790B1 (ko) * 2013-04-18 2017-12-04 한화테크윈 주식회사 웨이퍼의 시닝 방법 및 장치
CN103341692A (zh) * 2013-06-26 2013-10-09 京东方科技集团股份有限公司 切割不规则图形基板的方法和显示装置
US10553738B2 (en) 2013-08-21 2020-02-04 Sunpower Corporation Interconnection of solar cells in a solar cell module
DE102013016669A1 (de) * 2013-10-08 2015-04-09 Siltectra Gmbh Kombiniertes Herstellungsverfahren zum Abtrennen mehrerer dünner Festkörperschichten von einem dicken Festkörper
DE102013016682A1 (de) * 2013-10-08 2015-04-09 Siltectra Gmbh Erzeugung einer Rissauslösestelle oder einer Rissführung zum verbesserten Abspalten einer Festkörperschicht von einem Festkörper
CN105993063A (zh) * 2013-12-02 2016-10-05 应用材料公司 用于基板处理的方法
US9523158B2 (en) * 2014-02-07 2016-12-20 Applied Materials, Inc. Methods and apparatus for forming semiconductor
US9960175B2 (en) * 2014-03-06 2018-05-01 The Regents Of The University Of Michigan Field effect transistor memory device
TW201603193A (zh) * 2014-06-19 2016-01-16 Gtat公司 增強用於離子佈植的施體基板的發射性
EP3544065A1 (en) 2015-06-19 2019-09-25 Qmat, Inc. Bond and release layer transfer process
US10828800B2 (en) * 2016-03-08 2020-11-10 Arizona Board Of Regents On Behalf Of Arizona State University Sound-assisted crack propagation for semiconductor wafering
WO2017190800A1 (en) * 2016-05-06 2017-11-09 Applied Materials Italia S.R.L. Apparatus for manufacture of at least two solar cell arrangements, system for manufacture of at least two shingled solar cells, and method for manufacture of at least two solar cell arrangements
US20180019169A1 (en) * 2016-07-12 2018-01-18 QMAT, Inc. Backing substrate stabilizing donor substrate for implant or reclamation
US10186630B2 (en) 2016-08-02 2019-01-22 QMAT, Inc. Seed wafer for GaN thickening using gas- or liquid-phase epitaxy
US20180138357A1 (en) 2016-11-11 2018-05-17 QMAT, Inc. Micro-light emitting diode (led) fabrication by layer transfer
CN106784151B (zh) * 2016-12-28 2018-08-14 中国电子科技集团公司第十八研究所 一种柔性铜铟镓硒薄膜太阳电池制备方法
CN110078017B (zh) * 2018-01-26 2021-11-05 沈阳硅基科技有限公司 一种贯穿空腔结构硅片的加工方法
CA3101187A1 (en) * 2018-05-29 2019-12-05 Iqe Plc Optoelectronic devices formed over a buffer
JP7187215B2 (ja) * 2018-08-28 2022-12-12 株式会社ディスコ SiC基板の加工方法
CN109256442B (zh) * 2018-11-15 2020-05-22 安徽省华腾农业科技有限公司 薄膜电池的制备方法及薄膜电池
CN114566461A (zh) * 2022-03-02 2022-05-31 成都海威华芯科技有限公司 基于正反面通孔的半导体器件深背孔制作方法及器件
CN117133632B (zh) * 2023-10-26 2024-02-20 西北电子装备技术研究所(中国电子科技集团公司第二研究所) 双频超声裂纹扩展及剥离单晶SiC装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030077885A1 (en) * 2000-05-30 2003-04-24 Bernard Aspar Embrittled substrate and method for making same
US20030096098A1 (en) * 2001-10-05 2003-05-22 Ovshinsky Stanford R. Semiconductor with coordinatively irregular structures
US20030186493A1 (en) * 2000-04-03 2003-10-02 Atsushi Iwasaki Method and device for making substrates
US20040253794A1 (en) * 2001-05-18 2004-12-16 Faris Sadeg M. MEMS and method of manufacturing MEMS
US20050189013A1 (en) * 2003-12-23 2005-09-01 Oliver Hartley Process for manufacturing photovoltaic cells

Family Cites Families (254)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2614055A (en) 1947-05-12 1952-10-14 Samica Corp Method of treating mica
DE834363C (de) 1951-02-27 1952-03-20 Zentral Werkstatt Goettingen Verfahren und Vorrichtung zur Herstellung duenner Glimmerfolien
US3117002A (en) * 1960-02-16 1964-01-07 Ampco Metal Inc Aluminum bronze alloy having improved wear resistance by the addition of cobalt, chromium, and manganese
US3225820A (en) 1962-11-01 1965-12-28 Gen Precision Inc Device for controlling temperature by heat conduction
DE1238105B (de) 1963-07-17 1967-04-06 Siemens Ag Verfahren zum Herstellen von pn-UEbergaengen in Silizium
US3390033A (en) 1964-08-13 1968-06-25 Rca Corp Method of separating frit sealed parts of an electron tube
US5082793A (en) * 1965-09-28 1992-01-21 Li Chou H Method for making solid state device utilizing ion implantation techniques
US3516855A (en) 1967-05-29 1970-06-23 Ibm Method of depositing conductive ions by utilizing electron beam
US3551213A (en) 1968-09-04 1970-12-29 Bell Telephone Labor Inc Geometrically selective ion bombardment by means of the photoelectric effect
US3786359A (en) * 1969-03-28 1974-01-15 Alpha Ind Inc Ion accelerator and ion species selector
US3900636A (en) 1971-01-21 1975-08-19 Gillette Co Method of treating cutting edges
US3806380A (en) * 1971-03-05 1974-04-23 Hitachi Ltd Method for hardening treatment of aluminum or aluminum-base alloy
GB1392811A (en) 1971-04-07 1975-04-30 Atomic Energy Authority Uk Methods for treating steel to modify the structure thereof
US3770499A (en) 1972-02-28 1973-11-06 Motorola Inc Liquid phase deposition of thin insulating and refractory film on a substrate
US3915757A (en) 1972-08-09 1975-10-28 Niels N Engel Ion plating method and product therefrom
US3913520A (en) 1972-08-14 1975-10-21 Precision Thin Film Corp High vacuum deposition apparatus
US3993909A (en) 1973-03-16 1976-11-23 U.S. Philips Corporation Substrate holder for etching thin films
US3914655A (en) 1973-06-28 1975-10-21 Ibm High brightness ion source
FR2245779B1 (zh) * 1973-09-28 1978-02-10 Cit Alcatel
US3946334A (en) * 1973-11-14 1976-03-23 Nippon Electric Company, Limited Injection semiconductor laser device
US3901423A (en) 1973-11-26 1975-08-26 Purdue Research Foundation Method for fracturing crystalline materials
US3964957A (en) 1973-12-19 1976-06-22 Monsanto Company Apparatus for processing semiconductor wafers
FR2261802B1 (zh) 1974-02-21 1978-01-06 Devienne Fernand
NL7404364A (nl) 1974-04-01 1975-10-03 Philips Nv Werkwijze en inrichting voor het bewerken van vlakke voorwerpen.
US4170662A (en) 1974-11-05 1979-10-09 Eastman Kodak Company Plasma plating
US4121334A (en) 1974-12-17 1978-10-24 P. R. Mallory & Co. Inc. Application of field-assisted bonding to the mass production of silicon type pressure transducers
FR2298880A1 (fr) 1975-01-22 1976-08-20 Commissariat Energie Atomique Procede et dispositif d'implantation ionique
US3957107A (en) * 1975-02-27 1976-05-18 The United States Of America As Represented By The Secretary Of The Air Force Thermal switch
US4039416A (en) 1975-04-21 1977-08-02 White Gerald W Gasless ion plating
US4116751A (en) 1975-10-08 1978-09-26 Solomon Zaromb Methods and apparatus for producing unsupported monocrystalline films of silicon and of other materials
GB1542299A (en) * 1976-03-23 1979-03-14 Warner Lambert Co Blade shields
US4053335A (en) 1976-04-02 1977-10-11 International Business Machines Corporation Method of gettering using backside polycrystalline silicon
US4216906A (en) 1976-06-21 1980-08-12 Flow Research, Inc. Method of making high velocity liquid jet
US4074139A (en) * 1976-12-27 1978-02-14 Rca Corporation Apparatus and method for maskless ion implantation
US4108751A (en) 1977-06-06 1978-08-22 King William J Ion beam implantation-sputtering
US4237601A (en) 1978-10-13 1980-12-09 Exxon Research & Engineering Co. Method of cleaving semiconductor diode laser wafers
JPS55104057A (en) 1979-02-02 1980-08-09 Hitachi Ltd Ion implantation device
US4255208A (en) * 1979-05-25 1981-03-10 Ramot University Authority For Applied Research And Industrial Development Ltd. Method of producing monocrystalline semiconductor films utilizing an intermediate water dissolvable salt layer
CH640886A5 (de) 1979-08-02 1984-01-31 Balzers Hochvakuum Verfahren zum aufbringen harter verschleissfester ueberzuege auf unterlagen.
US4244348A (en) * 1979-09-10 1981-01-13 Atlantic Richfield Company Process for cleaving crystalline materials
FR2506344B2 (fr) 1980-02-01 1986-07-11 Commissariat Energie Atomique Procede de dopage de semi-conducteurs
FR2475068B1 (fr) * 1980-02-01 1986-05-16 Commissariat Energie Atomique Procede de dopage de semi-conducteurs
GB2071411B (en) * 1980-03-07 1983-12-21 Philips Electronic Associated Passivating p-n junction devices
EP0506146A2 (en) * 1980-04-10 1992-09-30 Massachusetts Institute Of Technology Method of producing sheets of crystalline material
US4342631A (en) 1980-06-16 1982-08-03 Illinois Tool Works Inc. Gasless ion plating process and apparatus
US4471003A (en) 1980-11-25 1984-09-11 Cann Gordon L Magnetoplasmadynamic apparatus and process for the separation and deposition of materials
FR2501727A1 (fr) 1981-03-13 1982-09-17 Vide Traitement Procede de traitements thermochimiques de metaux par bombardement ionique
JPS5861763A (ja) 1981-10-09 1983-04-12 武笠 均 触感知器消化装置
US4361600A (en) 1981-11-12 1982-11-30 General Electric Company Method of making integrated circuits
US4412868A (en) 1981-12-23 1983-11-01 General Electric Company Method of making integrated circuits utilizing ion implantation and selective epitaxial growth
FR2519437A1 (fr) 1982-01-04 1983-07-08 Commissariat Energie Atomique Dispositif de limitation et de controle de la temperature d'une cible reposant sur un support dans une enceinte sous vide et recevant un faisceau d'energie et son application a des mesures thermiques
US4486247A (en) 1982-06-21 1984-12-04 Westinghouse Electric Corp. Wear resistant steel articles with carbon, oxygen and nitrogen implanted in the surface thereof
US4554570A (en) 1982-06-24 1985-11-19 Rca Corporation Vertically integrated IGFET device
FR2529383A1 (fr) 1982-06-24 1983-12-30 Commissariat Energie Atomique Porte-cible a balayage mecanique utilisable notamment pour l'implantation d'ioris
FR2537768A1 (fr) 1982-12-08 1984-06-15 Commissariat Energie Atomique Procede et dispositif d'obtention de faisceaux de particules de densite spatialement modulee, application a la gravure et a l'implantation ioniques
FR2537777A1 (fr) 1982-12-10 1984-06-15 Commissariat Energie Atomique Procede et dispositif d'implantation de particules dans un solide
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
DE3246480A1 (de) 1982-12-15 1984-06-20 Wacker-Chemitronic Gesellschaft für Elektronik-Grundstoffe mbH, 8263 Burghausen Verfahren zur herstellung von halbleiterscheiben mit getternder scheibenrueckseite
US4468309A (en) 1983-04-22 1984-08-28 White Engineering Corporation Method for resisting galling
GB2144343A (en) * 1983-08-02 1985-03-06 Standard Telephones Cables Ltd Optical fibre manufacture
US4567505A (en) * 1983-10-27 1986-01-28 The Board Of Trustees Of The Leland Stanford Junior University Heat sink and method of attaching heat sink to a semiconductor integrated circuit and the like
FR2560426A1 (fr) 1984-02-28 1985-08-30 Commissariat Energie Atomique Dispositif de production d'ions d'une espece determinee, utilisant pour les separer d'autres ions, une selection en energie, application a l'implantation ionique
GB2155024A (en) 1984-03-03 1985-09-18 Standard Telephones Cables Ltd Surface treatment of plastics materials
FR2563377B1 (fr) 1984-04-19 1987-01-23 Commissariat Energie Atomique Procede de fabrication d'une couche isolante enterree dans un substrat semi-conducteur, par implantation ionique
JPS6124240A (ja) * 1984-07-13 1986-02-01 Toshiba Corp 半導体基板
FR2575601B1 (fr) 1984-12-27 1987-01-30 Commissariat Energie Atomique Procede et dispositif de determination de parametres electriques d'une couche semi-conductrice en fonction de la profondeur
US4566403A (en) * 1985-01-30 1986-01-28 Sovonics Solar Systems Apparatus for microwave glow discharge deposition
NL8501773A (nl) * 1985-06-20 1987-01-16 Philips Nv Werkwijze voor het vervaardigen van halfgeleiderinrichtingen.
US4706377A (en) 1986-01-30 1987-11-17 United Technologies Corporation Passivation of gallium arsenide by nitrogen implantation
JPS62208638A (ja) 1986-03-07 1987-09-12 Toshiba Corp 半導体装置の製造方法
JPS62219636A (ja) 1986-03-20 1987-09-26 Hitachi Ltd 半導体装置
US4837172A (en) 1986-07-18 1989-06-06 Matsushita Electric Industrial Co., Ltd. Method for removing impurities existing in semiconductor substrate
US4717683A (en) * 1986-09-23 1988-01-05 Motorola Inc. CMOS process
US4764394A (en) 1987-01-20 1988-08-16 Wisconsin Alumni Research Foundation Method and apparatus for plasma source ion implantation
US4847792A (en) 1987-05-04 1989-07-11 Texas Instruments Incorporated Process and apparatus for detecting aberrations in production process operations
US4906594A (en) * 1987-06-12 1990-03-06 Agency Of Industrial Science And Technology Surface smoothing method and method of forming SOI substrate using the surface smoothing method
EP0296804B1 (en) 1987-06-24 1994-03-30 Advanced Semiconductor Materials America, Inc. Process for epitaxial deposition of silicone
US4846928A (en) 1987-08-04 1989-07-11 Texas Instruments, Incorporated Process and apparatus for detecting aberrations in production process operations
US4887005A (en) 1987-09-15 1989-12-12 Rough J Kirkwood H Multiple electrode plasma reactor power distribution system
US5015353A (en) * 1987-09-30 1991-05-14 The United States Of America As Represented By The Secretary Of The Navy Method for producing substoichiometric silicon nitride of preselected proportions
GB8725497D0 (en) 1987-10-30 1987-12-02 Atomic Energy Authority Uk Isolation of silicon
DE3803424C2 (de) * 1988-02-05 1995-05-18 Gsf Forschungszentrum Umwelt Verfahren zur quantitativen, tiefendifferentiellen Analyse fester Proben
JP2666945B2 (ja) 1988-02-08 1997-10-22 株式会社東芝 半導体装置の製造方法
US4894709A (en) * 1988-03-09 1990-01-16 Massachusetts Institute Of Technology Forced-convection, liquid-cooled, microchannel heat sinks
US4883561A (en) 1988-03-29 1989-11-28 Bell Communications Research, Inc. Lift-off and subsequent bonding of epitaxial films
US4853250A (en) 1988-05-11 1989-08-01 Universite De Sherbrooke Process of depositing particulate material on a substrate
JP2670623B2 (ja) 1988-09-19 1997-10-29 アネルバ株式会社 マイクロ波プラズマ処理装置
US4952273A (en) 1988-09-21 1990-08-28 Microscience, Inc. Plasma generation in electron cyclotron resonance
US4996077A (en) * 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
US4891329A (en) * 1988-11-29 1990-01-02 University Of North Carolina Method of forming a nonsilicon semiconductor on insulator structure
US5202095A (en) * 1988-12-27 1993-04-13 Matsushita Electric Industrial Co., Ltd. Microwave plasma processor
DE19538634C2 (de) 1995-10-17 1997-09-04 Itt Ind Gmbh Deutsche Verfahren zum Vereinzeln von elektronischen Elementen aus einem Halbleiterwafer
GB8905075D0 (en) 1989-03-06 1989-04-19 Nordiko Ltd Electrode assembly and apparatus
US5203960A (en) * 1989-03-09 1993-04-20 Applied Microwave Plasma Concepts, Inc. Method of operation of electron cyclotron resonance plasma source
US5133826A (en) 1989-03-09 1992-07-28 Applied Microwave Plasma Concepts, Inc. Electron cyclotron resonance plasma source
US5370765A (en) 1989-03-09 1994-12-06 Applied Microwave Plasma Concepts, Inc. Electron cyclotron resonance plasma source and method of operation
US5196355A (en) * 1989-04-24 1993-03-23 Ibis Technology Corporation Simox materials through energy variation
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5387555A (en) 1992-09-03 1995-02-07 Harris Corporation Bonded wafer processing with metal silicidation
US5034343A (en) 1990-03-08 1991-07-23 Harris Corporation Manufacturing ultra-thin wafer using a handle wafer
US5070040A (en) 1990-03-09 1991-12-03 University Of Colorado Foundation, Inc. Method and apparatus for semiconductor circuit chip cooling
US5141878A (en) 1990-04-02 1992-08-25 At&T Bell Laboratories Silicon photodiode for monolithic integrated circuits and method for making same
DE4114108C1 (zh) 1991-04-30 1991-12-19 Schott Glaswerke, 6500 Mainz, De
JP2575545B2 (ja) 1990-07-05 1997-01-29 株式会社東芝 半導体装置の製造方法
US5198371A (en) 1990-09-24 1993-03-30 Biota Corp. Method of making silicon material with enhanced surface mobility by hydrogen ion implantation
US5102821A (en) * 1990-12-20 1992-04-07 Texas Instruments Incorporated SOI/semiconductor heterostructure fabrication by wafer bonding of polysilicon to titanium
US5206749A (en) 1990-12-31 1993-04-27 Kopin Corporation Liquid crystal display having essentially single crystal transistors pixels and driving circuits
US5475514A (en) 1990-12-31 1995-12-12 Kopin Corporation Transferred single crystal arrayed devices including a light shield for projection displays
US5317436A (en) 1990-12-31 1994-05-31 Kopin Corporation A slide assembly for projector with active matrix moveably mounted to housing
US5258320A (en) 1990-12-31 1993-11-02 Kopin Corporation Single crystal silicon arrayed devices for display panels
US5362671A (en) 1990-12-31 1994-11-08 Kopin Corporation Method of fabricating single crystal silicon arrayed devices for display panels
US5256562A (en) 1990-12-31 1993-10-26 Kopin Corporation Method for manufacturing a semiconductor device using a circuit transfer film
US5528397A (en) 1991-12-03 1996-06-18 Kopin Corporation Single crystal silicon transistors for display panels
US5444557A (en) 1990-12-31 1995-08-22 Kopin Corporation Single crystal silicon arrayed devices for projection displays
US5258325A (en) 1990-12-31 1993-11-02 Kopin Corporation Method for manufacturing a semiconductor device using a circuit transfer film
DE4100526A1 (de) * 1991-01-10 1992-07-16 Wacker Chemitronic Vorrichtung und verfahren zum automatischen vereinzeln von gestapelten scheiben
IL100910A (en) * 1991-02-12 1994-10-07 Hughes Aircraft Co Assessment of the degree of wear of objects
JPH04266047A (ja) * 1991-02-20 1992-09-22 Fujitsu Ltd 埋め込み層形成に相当するsoi型半導体装置の製造方法及び半導体装置
US5110748A (en) * 1991-03-28 1992-05-05 Honeywell Inc. Method for fabricating high mobility thin film transistors as integrated drivers for active matrix display
JPH0817166B2 (ja) 1991-04-27 1996-02-21 信越半導体株式会社 超薄膜soi基板の製造方法及び製造装置
JPH04359518A (ja) 1991-06-06 1992-12-11 Nec Corp 半導体装置の製造方法
JPH04365377A (ja) 1991-06-13 1992-12-17 Agency Of Ind Science & Technol 半導体装置
JPH05308107A (ja) 1991-07-01 1993-11-19 Sumitomo Electric Ind Ltd 半導体装置及びその製作方法
KR0156011B1 (ko) 1991-08-12 1998-12-01 이노우에 아키라 플라즈마 처리장치 및 방법
FR2681472B1 (fr) 1991-09-18 1993-10-29 Commissariat Energie Atomique Procede de fabrication de films minces de materiau semiconducteur.
US5234529A (en) 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
JP2726583B2 (ja) 1991-11-18 1998-03-11 三菱マテリアルシリコン株式会社 半導体基板
US5198071A (en) * 1991-11-25 1993-03-30 Applied Materials, Inc. Process for inhibiting slip and microcracking while forming epitaxial layer on semiconductor wafer
US5827751A (en) 1991-12-06 1998-10-27 Picogiga Societe Anonyme Method of making semiconductor components, in particular on GaAs of InP, with the substrate being recovered chemically
JP2910001B2 (ja) 1992-01-30 1999-06-23 キヤノン株式会社 半導体基材及びその作製方法
JP2901031B2 (ja) 1992-01-30 1999-06-02 キヤノン株式会社 半導体基材及びその作製方法
DE69333152T2 (de) * 1992-01-30 2004-05-27 Canon K.K. Verfahren zur Herstellung eines Halbleitersubstrates
JP3261685B2 (ja) * 1992-01-31 2002-03-04 キヤノン株式会社 半導体素子基体及びその作製方法
US5372952A (en) 1992-04-03 1994-12-13 National Semiconductor Corporation Method for forming isolated semiconductor structures
US5269880A (en) 1992-04-03 1993-12-14 Northern Telecom Limited Tapering sidewalls of via holes
US5213986A (en) * 1992-04-10 1993-05-25 North American Philips Corporation Process for making thin film silicon-on-insulator wafers employing wafer bonding and wafer thinning
US5226967A (en) 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5363603A (en) 1992-06-22 1994-11-15 Alliant Techsystems, Inc. Abrasive fluid jet cutting compositon and method
US5273610A (en) 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP2560178B2 (ja) * 1992-06-29 1996-12-04 九州電子金属株式会社 半導体ウェーハの製造方法
US5404079A (en) * 1992-08-13 1995-04-04 Matsushita Electric Industrial Co., Ltd. Plasma generating apparatus
US5304509A (en) * 1992-08-24 1994-04-19 Midwest Research Institute Back-side hydrogenation technique for defect passivation in silicon solar cells
JP3192000B2 (ja) * 1992-08-25 2001-07-23 キヤノン株式会社 半導体基板及びその作製方法
JP3266163B2 (ja) 1992-10-14 2002-03-18 東京応化工業株式会社 プラズマ処理装置
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
JPH06232141A (ja) * 1992-12-07 1994-08-19 Sony Corp 半導体基板の作成方法及び固体撮像装置の製造方法
US5234535A (en) 1992-12-10 1993-08-10 International Business Machines Corporation Method of producing a thin silicon-on-insulator layer
US5409563A (en) * 1993-02-26 1995-04-25 Micron Technology, Inc. Method for etching high aspect ratio features
US5487785A (en) * 1993-03-26 1996-01-30 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5354381A (en) 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
JP2856030B2 (ja) * 1993-06-29 1999-02-10 信越半導体株式会社 結合ウエーハの製造方法
US5413679A (en) * 1993-06-30 1995-05-09 The United States Of America As Represented By The Secretary Of The Navy Method of producing a silicon membrane using a silicon alloy etch stop layer
US5344524A (en) 1993-06-30 1994-09-06 Honeywell Inc. SOI substrate fabrication
FR2707401B1 (fr) * 1993-07-09 1995-08-11 Menigaux Louis Procédé de fabrication d'une structure intégrant un guide optique clivé à un support de fibre optique pour un couplage optique guide-fibre et structure obtenue.
JP2786081B2 (ja) 1993-07-27 1998-08-13 日本電気株式会社 Soi基板
US5443431A (en) 1993-10-13 1995-08-22 Zexel-Gleason Usa, Inc. Differential with friction-enhancing wedge
US5840590A (en) 1993-12-01 1998-11-24 Sandia Corporation Impurity gettering in silicon using cavities formed by helium implantation and annealing
US5459016A (en) 1993-12-16 1995-10-17 Minnesota Mining And Manufacturing Company Nanostructured thermal transfer donor element
FR2714524B1 (fr) * 1993-12-23 1996-01-26 Commissariat Energie Atomique Procede de realisation d'une structure en relief sur un support en materiau semiconducteur
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5476691A (en) 1994-01-21 1995-12-19 International Business Machines, Inc. Surface treatment of magnetic recording heads
FR2715502B1 (fr) 1994-01-26 1996-04-05 Commissariat Energie Atomique Structure présentant des cavités et procédé de réalisation d'une telle structure.
FR2715503B1 (fr) 1994-01-26 1996-04-05 Commissariat Energie Atomique Substrat pour composants intégrés comportant une couche mince et son procédé de réalisation.
FR2715501B1 (fr) 1994-01-26 1996-04-05 Commissariat Energie Atomique Procédé de dépôt de lames semiconductrices sur un support.
JP3293736B2 (ja) 1996-02-28 2002-06-17 キヤノン株式会社 半導体基板の作製方法および貼り合わせ基体
US5558718A (en) 1994-04-08 1996-09-24 The Regents, University Of California Pulsed source ion implantation apparatus and method
US5480842A (en) * 1994-04-11 1996-01-02 At&T Corp. Method for fabricating thin, strong, and flexible die for smart cards
FR2720189B1 (fr) 1994-05-18 1996-08-30 Commissariat Energie Atomique Procédé de réalisation d'une structure à faible taux de dislocations comprenant une couche d'oxyde enterrée dans un substrat semi-conducteur.
US5411592A (en) * 1994-06-06 1995-05-02 Ovonic Battery Company, Inc. Apparatus for deposition of thin-film, solid state batteries
FR2725074B1 (fr) 1994-09-22 1996-12-20 Commissariat Energie Atomique Procede de fabrication d'une structure comportant une couche mince semi-conductrice sur un substrat
JP3265493B2 (ja) * 1994-11-24 2002-03-11 ソニー株式会社 Soi基板の製造方法
AUPM982294A0 (en) 1994-12-02 1995-01-05 Pacific Solar Pty Limited Method of manufacturing a multilayer solar cell
US5504328A (en) * 1994-12-09 1996-04-02 Sematech, Inc. Endpoint detection utilizing ultraviolet mass spectrometry
US5611855A (en) * 1995-01-31 1997-03-18 Seh America, Inc. Method for manufacturing a calibration wafer having a microdefect-free layer of a precisely predetermined depth
US6107213A (en) 1996-02-01 2000-08-22 Sony Corporation Method for making thin film semiconductor
JP3381443B2 (ja) 1995-02-02 2003-02-24 ソニー株式会社 基体から半導体層を分離する方法、半導体素子の製造方法およびsoi基板の製造方法
DE19611043B4 (de) 1995-03-20 2006-02-16 Toshiba Ceramics Co., Ltd. Verfahren zum Herstellen eines Siliciumwafers, Verfahren zum Bilden eines Siliciumwafers und Verfahren zur Herstellung eines Halbleiterbauelements
TW447144B (en) 1995-03-27 2001-07-21 Semiconductor Energy Lab Semiconductor device and a method of manufacturing the same
JPH08271880A (ja) 1995-04-03 1996-10-18 Toshiba Corp 遮光膜,液晶表示装置および遮光膜形成用材料
US5603779A (en) * 1995-05-17 1997-02-18 Harris Corporation Bonded wafer and method of fabrication thereof
US5653811A (en) 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US5863831A (en) 1995-08-14 1999-01-26 Advanced Materials Engineering Research, Inc. Process for fabricating semiconductor device with shallow p-type regions using dopant compounds containing elements of high solid solubility
JP2701803B2 (ja) 1995-08-28 1998-01-21 日本電気株式会社 半導体装置の製造方法
FR2738671B1 (fr) * 1995-09-13 1997-10-10 Commissariat Energie Atomique Procede de fabrication de films minces a materiau semiconducteur
CN1132223C (zh) 1995-10-06 2003-12-24 佳能株式会社 半导体衬底及其制造方法
KR0165467B1 (ko) 1995-10-31 1999-02-01 김광호 웨이퍼 디본더 및 이를 이용한 웨이퍼 디본딩법
US5869405A (en) * 1996-01-03 1999-02-09 Micron Technology, Inc. In situ rapid thermal etch and rapid thermal oxidation
US6004868A (en) 1996-01-17 1999-12-21 Micron Technology, Inc. Method for CMOS well drive in a non-inert ambient
JPH09263500A (ja) * 1996-01-22 1997-10-07 Komatsu Electron Metals Co Ltd 貼り合わせsoiウェーハの剥がし治具
FR2744285B1 (fr) 1996-01-25 1998-03-06 Commissariat Energie Atomique Procede de transfert d'une couche mince d'un substrat initial sur un substrat final
FR2748851B1 (fr) 1996-05-15 1998-08-07 Commissariat Energie Atomique Procede de realisation d'une couche mince de materiau semiconducteur
FR2748850B1 (fr) * 1996-05-15 1998-07-24 Commissariat Energie Atomique Procede de realisation d'un film mince de materiau solide et applications de ce procede
US5793913A (en) 1996-07-10 1998-08-11 Northern Telecom Limited Method for the hybrid integration of discrete elements on a semiconductor substrate
US5710057A (en) * 1996-07-12 1998-01-20 Kenney; Donald M. SOI fabrication method
FR2752332B1 (fr) 1996-08-12 1998-09-11 Commissariat Energie Atomique Dispositif de decollement de plaquettes et procede de mise en oeuvre de ce dispositif
DE69739376D1 (de) * 1996-08-27 2009-06-04 Seiko Epson Corp Ablösungsverfahren und Verfahren zum Übertragen eines Dünnfilm-Bauelements
US5753560A (en) * 1996-10-31 1998-05-19 Motorola, Inc. Method for fabricating a semiconductor device using lateral gettering
SG65697A1 (en) 1996-11-15 1999-06-22 Canon Kk Process for producing semiconductor article
KR100232886B1 (ko) 1996-11-23 1999-12-01 김영환 Soi 웨이퍼 제조방법
US5841931A (en) 1996-11-26 1998-11-24 Massachusetts Institute Of Technology Methods of forming polycrystalline semiconductor waveguides for optoelectronic integrated circuits, and devices formed thereby
US6143628A (en) 1997-03-27 2000-11-07 Canon Kabushiki Kaisha Semiconductor substrate and method of manufacturing the same
JPH10275905A (ja) 1997-03-31 1998-10-13 Mitsubishi Electric Corp シリコンウェーハの製造方法およびシリコンウェーハ
US6191007B1 (en) * 1997-04-28 2001-02-20 Denso Corporation Method for manufacturing a semiconductor substrate
US5906951A (en) * 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
US6251754B1 (en) 1997-05-09 2001-06-26 Denso Corporation Semiconductor substrate manufacturing method
US6033974A (en) * 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
US6013563A (en) * 1997-05-12 2000-01-11 Silicon Genesis Corporation Controlled cleaning process
US6027988A (en) * 1997-05-28 2000-02-22 The Regents Of The University Of California Method of separating films from bulk substrates by plasma immersion ion implantation
US6150239A (en) 1997-05-31 2000-11-21 Max Planck Society Method for the transfer of thin layers monocrystalline material onto a desirable substrate
US5877070A (en) * 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
US5968279A (en) 1997-06-13 1999-10-19 Mattson Technology, Inc. Method of cleaning wafer substrates
DE69827824T3 (de) 1997-06-24 2009-09-03 Massachusetts Institute Of Technology, Cambridge Kontrolle der verspannungsdichte durch verwendung von gradientenschichten und durch planarisierung
JP3292101B2 (ja) 1997-07-18 2002-06-17 信越半導体株式会社 珪素単結晶基板表面の平滑化方法
US6103599A (en) 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
US5882987A (en) * 1997-08-26 1999-03-16 International Business Machines Corporation Smart-cut process for the production of thin semiconductor material films
JP3324469B2 (ja) 1997-09-26 2002-09-17 信越半導体株式会社 Soiウエーハの製造方法ならびにこの方法で製造されるsoiウエーハ
US5920764A (en) 1997-09-30 1999-07-06 International Business Machines Corporation Process for restoring rejected wafers in line for reuse as new
FR2773261B1 (fr) 1997-12-30 2000-01-28 Commissariat Energie Atomique Procede pour le transfert d'un film mince comportant une etape de creation d'inclusions
US6171982B1 (en) * 1997-12-26 2001-01-09 Canon Kabushiki Kaisha Method and apparatus for heat-treating an SOI substrate and method of preparing an SOI substrate by using the same
JPH11195775A (ja) * 1997-12-26 1999-07-21 Sony Corp 半導体基板および薄膜半導体素子およびそれらの製造方法ならびに陽極化成装置
JPH11204771A (ja) * 1998-01-07 1999-07-30 Sony Corp 半導体基板の製造方法及び固体撮像装置の製造方法
US6274464B2 (en) 1998-02-06 2001-08-14 Texas Instruments Incorporated Epitaxial cleaning process using HCL and N-type dopant gas to reduce defect density and auto doping effects
US6120597A (en) 1998-02-17 2000-09-19 The Trustees Of Columbia University In The City Of New York Crystal ion-slicing of single-crystal films
US6083324A (en) 1998-02-19 2000-07-04 Silicon Genesis Corporation Gettering technique for silicon-on-insulator wafers
US5909627A (en) 1998-05-18 1999-06-01 Philips Electronics North America Corporation Process for production of thin layers of semiconductor material
US6291326B1 (en) * 1998-06-23 2001-09-18 Silicon Genesis Corporation Pre-semiconductor process implant and post-process film separation
JP3358550B2 (ja) 1998-07-07 2002-12-24 信越半導体株式会社 Soiウエーハの製造方法ならびにこの方法で製造されるsoiウエーハ
EP0984483B1 (en) * 1998-09-04 2006-04-05 Canon Kabushiki Kaisha Semiconductor substrate and method for producing the same
US6534381B2 (en) * 1999-01-08 2003-03-18 Silicon Genesis Corporation Method for fabricating multi-layered substrates
US6171965B1 (en) 1999-04-21 2001-01-09 Silicon Genesis Corporation Treatment method of cleaved film for the manufacture of substrates
US6204151B1 (en) * 1999-04-21 2001-03-20 Silicon Genesis Corporation Smoothing method for cleaved films made using thermal treatment
US6287941B1 (en) 1999-04-21 2001-09-11 Silicon Genesis Corporation Surface finishing of SOI substrates using an EPI process
US6452091B1 (en) 1999-07-14 2002-09-17 Canon Kabushiki Kaisha Method of producing thin-film single-crystal device, solar cell module and method of producing the same
US6263941B1 (en) * 1999-08-10 2001-07-24 Silicon Genesis Corporation Nozzle for cleaving substrates
US6489241B1 (en) 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
US6455397B1 (en) 1999-11-16 2002-09-24 Rona E. Belford Method of producing strained microelectronic and/or optical integrated and discrete devices
US6518644B2 (en) * 2000-01-20 2003-02-11 Amberwave Systems Corporation Low threading dislocation density relaxed mismatched epilayers without high temperature growth
FR2804247B1 (fr) 2000-01-21 2002-04-12 St Microelectronics Sa Procede de realisation d'un transistor bipolaire a emetteur et base extrinseque auto-alignes
US6376806B2 (en) * 2000-05-09 2002-04-23 Woo Sik Yoo Flash anneal
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US6723661B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6743495B2 (en) 2001-03-30 2004-06-01 Memc Electronic Materials, Inc. Thermal annealing process for producing silicon wafers with improved surface characteristics
US7019339B2 (en) * 2001-04-17 2006-03-28 California Institute Of Technology Method of using a germanium layer transfer to Si for photovoltaic applications and heterostructure made thereby
US6514836B2 (en) * 2001-06-04 2003-02-04 Rona Elizabeth Belford Methods of producing strained microelectronic and/or optical integrated and discrete devices
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US7226504B2 (en) 2002-01-31 2007-06-05 Sharp Laboratories Of America, Inc. Method to form thick relaxed SiGe layer with trench structure
US7335545B2 (en) * 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
FR2842650B1 (fr) * 2002-07-17 2005-09-02 Soitec Silicon On Insulator Procede de fabrication de substrats notamment pour l'optique, l'electronique ou l'opto-electronique
US6911376B2 (en) 2003-10-01 2005-06-28 Wafermasters Selective heating using flash anneal
US7354815B2 (en) * 2003-11-18 2008-04-08 Silicon Genesis Corporation Method for fabricating semiconductor devices using strained silicon bearing material
KR101260981B1 (ko) * 2004-06-04 2013-05-10 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 인쇄가능한 반도체소자들의 제조 및 조립방법과 장치
US7273998B2 (en) * 2004-09-15 2007-09-25 General Electric Company System and method for monitoring laser shock processing
US7811900B2 (en) * 2006-09-08 2010-10-12 Silicon Genesis Corporation Method and structure for fabricating solar cells using a thick layer transfer process

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030186493A1 (en) * 2000-04-03 2003-10-02 Atsushi Iwasaki Method and device for making substrates
US20030077885A1 (en) * 2000-05-30 2003-04-24 Bernard Aspar Embrittled substrate and method for making same
US20040253794A1 (en) * 2001-05-18 2004-12-16 Faris Sadeg M. MEMS and method of manufacturing MEMS
US20030096098A1 (en) * 2001-10-05 2003-05-22 Ovshinsky Stanford R. Semiconductor with coordinatively irregular structures
US20050189013A1 (en) * 2003-12-23 2005-09-01 Oliver Hartley Process for manufacturing photovoltaic cells

Also Published As

Publication number Publication date
CN101473446A (zh) 2009-07-01
JP2010503239A (ja) 2010-01-28
EP2082434A2 (en) 2009-07-29
US20100178723A1 (en) 2010-07-15
US8563402B2 (en) 2013-10-22
US7811900B2 (en) 2010-10-12
WO2008082723A3 (en) 2008-10-30
US20080179547A1 (en) 2008-07-31
WO2008082723A2 (en) 2008-07-10
US8110480B2 (en) 2012-02-07
US20120058624A1 (en) 2012-03-08
KR20090025257A (ko) 2009-03-10

Similar Documents

Publication Publication Date Title
CN101473446B (zh) 使用厚层转移工艺制造太阳能电池的方法和结构
JP5367562B2 (ja) 太陽電池の製造方法および構造
US7759220B2 (en) Method and structure for fabricating solar cells using a layer transfer process
US9214353B2 (en) Systems and methods for laser splitting and device layer transfer
US8071463B2 (en) Method and structure for fabricating multiple tiled regions onto a plate using a controlled cleaving process
US20170358704A1 (en) Techniques for forming optoelectronic devices
CN105051919A (zh) 用于形成光电器件的技术
JP2005514795A (ja) 光発電セル及び光発電セルの製造方法
US10304977B1 (en) High performance ultra-thin solar cell structures
CN101405833A (zh) 用于制造太阳能电池的方法和结构
KR20100067117A (ko) 기판과 기판의 일 면에 증착되는 층을 포함하는 구조체를 제조하는 방법
US7910456B1 (en) Liquid based substrate method and structure for layer transfer applications

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120627

Termination date: 20210910

CF01 Termination of patent right due to non-payment of annual fee