KR20090025257A - 후층 트랜스퍼 공정을 이용하여 태양전지를 제조하는 방법 및 구조 - Google Patents

후층 트랜스퍼 공정을 이용하여 태양전지를 제조하는 방법 및 구조 Download PDF

Info

Publication number
KR20090025257A
KR20090025257A KR1020087031257A KR20087031257A KR20090025257A KR 20090025257 A KR20090025257 A KR 20090025257A KR 1020087031257 A KR1020087031257 A KR 1020087031257A KR 20087031257 A KR20087031257 A KR 20087031257A KR 20090025257 A KR20090025257 A KR 20090025257A
Authority
KR
South Korea
Prior art keywords
substrate
thickness
silicon
semiconductor substrate
layer
Prior art date
Application number
KR1020087031257A
Other languages
English (en)
Inventor
프랑소와 제이. 헨리
Original Assignee
실리콘 제너시스 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 실리콘 제너시스 코포레이션 filed Critical 실리콘 제너시스 코포레이션
Publication of KR20090025257A publication Critical patent/KR20090025257A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier
    • H01L31/068Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76254Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/036Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes
    • H01L31/0392Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including thin films deposited on metallic or insulating substrates ; characterised by specific substrate materials or substrate features or by the presence of intermediate layers, e.g. barrier layers, on the substrate
    • H01L31/03921Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including thin films deposited on metallic or insulating substrates ; characterised by specific substrate materials or substrate features or by the presence of intermediate layers, e.g. barrier layers, on the substrate including only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier
    • H01L31/068Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells
    • H01L31/0687Multiple junction or tandem solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic System
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/544Solar cells from Group III-V materials
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/547Monocrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Sustainable Development (AREA)
  • Sustainable Energy (AREA)
  • Photovoltaic Devices (AREA)

Abstract

광전지 셀 장치, 예컨대 태양전지, 태양광 패널 및 그 제조 방법. 본 장치는 제 1 표면과 제 2 표면을 구비하는 광학적으로 투명한 기판을 가진다. 제 1 표면 영역과 제 2 표면 영역을 갖는 제 1 두께의 물질(예컨대, 반도체 물질, 단결정 물질)이 포함된다. 바람직한 실시형태에서, 상기 표면 영역은 상기 광학적으로 투명한 기판의 상기 제 1 표면 위에 놓여있다. 본 장치는 소정 두께의 물질의 상기 제 1 표면 영역과 상기 광학적으로 투명한 물질의 상기 제 1 표면 사이에 제공되는 광 결합 물질을 가진다.

Description

후층 트랜스퍼 공정을 이용하여 태양전지를 제조하는 방법 및 구조{METHOD AND STRUCTURE FOR FABRICATING SOLAR CELLS USING A THICK LAYER TRANSFER PROCESS}
즉각적이고 비예비적인 본 출원은 모든 목적을 위해 여기에 참고로 전부 편입된 2006년 9월 8일자의 미국 예비특허출원 제60/825,095호에 대한 우선권을 주장한다.
본 발명에 따른 실시형태들은 광전지 어플리케이션용 레이어(Layer) 트랜스퍼(Transfer) 기술을 이용하여 태양전지 구조를 형성하기 위한 방법 및 구조를 포함하는 기술들에 일반적으로 관련되어 있다. 그러나, 본 발명은 더욱 광범위한 응용 가능성을 가지는바, 집적된 반도체 장치의 3차원 패킹(Packing), 광양자 장치(Photonic Device), 압전자 장치(Piezoelectronic Device), 평판 디스플레이, 마이크로전자기계시스템("MEMS"), 나노기술 구조, 센서, 엑츄에이터(Actuator), 집적회로, 생물학 및 생의학 장치(Biological and Biomedical Device) 등과 같은 다른 유형의 어플리케이션에도 적용 가능하다.
시초에, 인간은 거의 모든 유용한 형태의 에너지를 얻어내기 위하여 "태양"에 의존해 왔다. 그러한 에너지는 석유, 발광체, 나무 및 다양한 형태의 열에너지 로부터 오는 것이다. 단순한 예로, 인간은 그들이 필요로 하는 것 중에서 많은 부분을 석탄이나 가스와 같은 석유 자원에 크게 의존해 왔다. 불행하게도, 그러한 석유 자원은 고갈되기에 이르러 다른 문제들을 야기하고 있다. 대체용으로서, 부분적으로는 태양 에너지가 석유 자원에 대한 인간의 의존도를 줄이는데 사용되고 있다. 단순한 예로, 태양 에너지는 보통 실리콘으로 이루어진 "태양전지(Solar Cell)"로부터 얻을 수 있다.
실리콘 태양전지는 태양으로부터의 태양광 복사에 노출될 때 전기력을 발생시킨다. 그 복사는 실리콘의 원자와 상호 작용하여 전자(Electron)와 홀(Hole)을 형성하며, 이들은 실리콘 몸체에서 P-불순물 및 N-불순물 영역으로 이동하여 이 불순물 영역들 간에 전압차와 전류를 생성한다. 응용예에 따르면, 태양전지는 효율을 개선하기 위하여 집중화 소자들(Concentrating Elements)과 함께 집적되어 왔다. 예를 들면, 태양 복사 에너지는 활성화된 하나 이상의 광전지 물질 부분으로 그 복사 에너지를 인도하는 집중화 소자들을 이용하여 축적되고 집중된다. 효과적이지만, 이러한 태양전지는 여전히 많은 한계를 가지고 있다.
간단한 예로, 태양전지는 실리콘과 같은 원료 물질(Starting Material)에 의존한다. 이 실리콘은 보통 폴리실리콘 및/또는 단결정 실리콘 물질을 이용하여 만들어진다. 통상적으로 이러한 물질들은 제조하기가 어렵다. 폴리실리콘 셀은 보통 폴리실리콘 플레이트(Plate)를 제조함으로써 형성된다. 이러한 플레이트를 효율적으로 형성할 수는 있지만, 이것이 고효율 태양전지용으로는 최적의 특성을 발휘하지는 못한다. 단결정 실리콘은 고급 태양전지용에 적합한 특성을 가지고 있다. 그 러나, 이러한 단결정 실리콘은 고가이고, 태양광 어플리케이션용으로는 효과적이고 비용 효율적인 방식으로 사용하기가 어렵다. 일반적으로, 박막 태양전지는 실리콘 물질을 적게 사용해서 덜 비싸지만, 그것의 비정질 혹은 다결정질 구조는 단결정 실리콘 기판으로 제작된 더 고가인 벌크 실리콘(Bulk Silicon) 셀보다 덜 효율적이다. 이와 같은, 그리고 다른 한계들은 본 명세서에 걸쳐서, 더욱 구체적으로는 아래 내용에 걸쳐서 알 수 있다.
상술한 바로부터, 비용 효율적이고 효과적인 대형 기판을 제조하는 기술이 필요하다는 점을 알 수 있다.
본 발명의 실시형태들에 따르면, 광전지 물질의 제조를 위한 기술들이 제공된다. 더욱 상세하게는, 본 발명은 광전지 어플리케이션용 레이어 트랜스퍼 기술을 이용하여 태양전지 구조를 형성하기 위한 방법 및 구조를 포함하는 기술을 제공한다. 그러나, 본 발명은 더욱 광범위한 응용 가능성을 가지는바, 집적된 반도체 장치의 3차원 패킹, 광양자 장치, 압전자 장치, 평판 디스플레이, 마이크로전자기계시스템("MEMS"), 나노기술 구조, 센서, 엑츄에이터, 집적회로, 생물학 및 생의학 장치 등과 같은 다른 유형의 어플리케이션에도 적용 가능하다.
특정한 실시형태에서, 본 발명은 광전지 셀, 예컨대 태양전지, 태양열 패널(Solar Panel)을 제조하기 위한 방법을 제공한다. 본 방법은 표면 영역, 클리브(Cleave) 영역 및 이 표면 영역과 클리브 영역 사이에서 제거될 제 1 두께의 물질을 갖는 반도체 기판을 제공하는 단계를 포함한다. 본 방법은 반도체 기판의 표면 영역을 예컨대 유리, 석영, 플라스틱 등 광학적으로 투명한 기판의 제 1 표면 영역에 결합하는 단계를 포함한다. 바람직한 실시형태에서, 광학적으로 투명한 기판은 제 1 표면 영역과 제 2 표면 영역을 구비한다. 또한, 본 방법은 표면 영역이 클리브된 표면 영역의 형성을 유발하도록 제 1 표면 영역에 결합되어 있는 동안 반도체 기판을 클리빙(Cleaving)하여 반도체 기판으로부터 제 1 두께의 물질을 제거하는 단계를 포함한다. 예를 들면, 광전지 어플리케이션에서 만약 이 제 1 두께의 물질이 충분한 두께를 갖는다면, 트랜스퍼된 제 1 두께의 물질은 광(光) 흡수층으로 사용 가능하다. 제 1 두께의 물질의 두께에 따라, 제 1 두께의 물질은 효율적인 박막 태양전지용으로 충분할 수 있다. 특정한 실시형태에서, 제 1 두께의 물질은 쏘잉(Sawing) 및 웨이퍼링(Wafering) 공정과 같은 종래의 방법들을 이용하여 제작된 두꺼운 기판에 대한 비용 효율적인 대체물로서도 충분히 두꺼울 수 있다. 더 얇은 두께의 물질 역시 후속의 에피택셜 성장 공정을 위한 단결정 템플릿(Template)으로서 사용 가능하다. 선택적으로는, 본 방법은 반도체 물질의 최종 두께를 형성하기 위해 클리브된 표면 영역 위에 놓여지는 제 2 두께의 반도체 물질을 형성하는 단계를 포함한다.
선택적인 특정한 실시형태에서, 본 발명은 광전지 셀 장치, 예컨대 태양전지, 태양열 패널을 제공한다. 이 장치는 제 1 표면과 제 2 표면을 구비하는 광학적으로 투명한 기판을 가진다. 제 1 표면 영역과 제 2 표면 영역을 갖는 제 1 두께의 물질(예컨대, 반도체 물질, 단결정 물질)이 포함되어 있다. 바람직한 실시형태에서, 표면 영역은 광학적으로 투명한 기판의 제 1 표면 위에 놓여있다. 이 장치는 해당 두께의 물질의 제 1 표면 영역과 이 광학적으로 투명한 물질의 제 1 표면 사이에 제공되는 광 결합 물질(Optical Coupling Material, 예컨대 주석 산화물, 산화 인듐 주석(ITO), 이산화 티탄, 산화 아연(ZnO), 혹은 다른 절연 스택(Stack) 형성 물질, 스핀온글래스(Spin On Glass, SOG), 혹은 기타 적당한 물질들)을 가진다.
다른 선택적인 특정한 실시형태에서, 본 발명은 멀티패스(Multi-pass) 방법 및 구조를 제공한다. 즉, 본 구조는 하나 이상의 광전지 영역 중 액티브(Active) 영역으로 광을 되돌려 보내는 반사 표면을 가진다. 특정한 실시형태에서, 광은 광을 전력으로 변환하는 광전지 영역과 유리 기판을 통과하여 진행한다. 광전지 영역을 통과하여 진행하는 어떠한 광도 그후 반사 표면을 경유하여 광전지 영역의 하나 이상의 부분으로 다시 반사된다. 물론, 다른 변형, 수정 및 대안이 있을 수 있다.
본 발명의 실시형태에 따른 또 다른 대안에 있어서, 본 방법 및 구조는 광을 더욱 경사진 각으로 산발하고(Scatter) 방향을 바꾸어서(Redirect) 박형 셀의 수집 효율을 증가시키는 유리나 어떤 다른 물질의 뒤측(Backside) 상에 플라스틱 프레넬 시트(Fresnel Sheet)와 같은 하나 이상의 광 트래핑(Trapping) 구조를 제공한다. 물론, 다른 변형, 수정 및 대안이 있을 수 있다.
본 발명에 따른 구조 및 방법의 다른 실시형태들은 클리브된 물질을 이용하여 제작된 흡수자(Absorber)의 일면 혹은 양면 상의 표면 텍스쳐화 식각(Surface Texturization Etch)을 이용하는 광 트래핑을 특징으로 할 수 있다. 사용되는 특정 물질에 따라 특정한 식각 공식을 설계하여, 흡수자/표면 구성이 입사광을 흡수하는 능력에 있어서 램버시안(Lambertian) 표면에 근접하도록 하는 능력을 최대화한다. 예를 들면, 약한 파세팅(Faceting) 식각은 (110) 결정 배향(Orientation)을 최적화할 수 있는데 반해, 고 파세팅 식각(예컨대, KOH를 이용함)은 (100) 결정 배향을 최적화할 수 있다. 물론, 다른 변형, 수정 및 대안이 있을 수 있다.
본 발명을 이용할 경우 현존하는 기술에 비하여 수많은 이점이 구현된다. 특히, 본 발명은 특정한 실시형태에 따라 유리 기판 상에 얇은 광전지막을 차별적으로 클리브하기 위해 제어된 에너지와 선택된 조건을 사용한다. 특정한 실시형태에서, 본 방법 및 장치는 패킹 물질의 일부로 사용 가능한 유리 상에 매우 고품질의 광전지 재료를 제공한다. 바람직한 실시형태에서, 본 방법 및 구조는 광전지 셀을 이용하여 효율적인 전력을 제공하기 위한 단결정 실리콘을 제공한다. 특정한 실시형태에서, 본 발명은 광전지 영역의 제조용으로 사용 가능한 두꺼운 단결정 실리콘 물질의 레이어 트랜스퍼를 제공한다. 실시형태에 따라, 이들 이점 중 하나 이상을 실현할 수 있다. 이들 및 다른 이점들은 본 명세서 및 특히 아래 내용에 걸쳐서 기술될 수 있다.
본 발명은 공지의 공정 기술의 배경에서 위와 같은 이점들과 다른 것들을 구현한다. 그러나, 본 발명의 본질과 이점에 대한 추가적인 이해는 본 명세서 및 첨부 도면에 관한 뒷 부분을 참조하여 실현될 수 있다.
도 1 내지 15는 본 발명의 실시형태에 따라 광전지 장치를 제조하기 위한 방법을 나타내는 도면.
도 16 내지 19는 본 발명의 실시형태에 따른 태양전지 구성의 간략도.
도 20은 본 발명의 실시형태에 따라 반사 표면 영역을 갖는 태양전지의 간략도.
도 21 내지 22는 본 발명의 실시형태에 따라 렌즈 영역을 포함하는 광학 영역을 갖는 태양전지를 예시하는 간략도.
도 23은 본 발명의 실시형태에 따라 트랜스퍼된 성장 템플릿을 이용하는 태양전지를 형성하기 위한 간략화된 공정 흐름을 나타내는 도면.
도 24는 본 발명의 실시형태에 따라 후속의 실리콘 흡수층 후화(厚化, Thickening) 및 도핑(Doping)과 함께 트랜스퍼된 성장 템플릿을 이용하는 태양전지를 형성하기 위한 간략화된 공정 흐름을 나타내는 도면.
도 25는 본 발명의 실시형태에 따라 뒤측 표면 처리에 대한 액세스(Access)를 가능하게 하도록 재이용 가능한 기판 상에 실리콘 박막을 트랜스퍼하는 것을 이용하여 태양전지를 형성하기 위한 간략화된 공정 흐름을 나타내는 도면.
도 25a는 본 발명의 실시형태에 따라 재이용 가능한 일시적 기판을 이용하여 박막 태양전지 구조를 제조하기 위한 간략화된 공정 흐름을 나타내는 도면.
도 26은 본 발명의 실시형태에 따라 최종 기판 상에 실리콘의 트랜스퍼된 박막을 이용하여 태양전지를 형성하기 위한 간략화된 공정 흐름을 나타내는 도면.
도 27은 본 발명의 실시형태에 따라 곧바로 프리스탠딩(Free-standing) 기판으로 되기 위하여 트랜스퍼되거나 선택적인 일시적 기판 상에 실리콘의 트랜스퍼된 막을 이용하여 태양전지를 형성하기 위한 간략화된 공정 흐름을 나타내는 도면.
도 28a 내지 28c는 (100)의 표면 배향을 갖는 겉면(Face)으로 성장한 불 레(Boule)로부터 (110)의 표면 배향을 갖는 겉면을 구비하는 잉곳(Ingot)을 형성하는 공정의 조망을 나타내는 도면.
도 29는 본 발명의 일 실시형태에 따라 제어된 클리빙을 수행하기 위한 열적 빔(Thermal Beam) 어플리케이션의 간략화된 단면도.
도 30은 본 발명의 다른 실시형태에 따라 제어된 클리빙을 수행하기 위한 열적 빔 어플리케이션의 간략화된 단면도.
도 31은 본 발명의 또 다른 실시형태에 따라 제어된 클리빙을 수행하기 위한 열적 빔 어플리케이션의 간략화된 단면도.
도 32는 본 발명의 또 다른 실시형태에 따라 제어된 클리빙을 수행하기 위한 열적 빔 어플리케이션의 간략화된 단면도.
본 발명의 실시형태들에 따르면, 광전지 물질의 제조를 위한 기술들이 제공된다. 더욱 상세하게는, 본 발명은 광전지 어플리케이션용 레이어 트랜스퍼 기술을 이용하여 태양전지 구조를 형성하기 위한 방법 및 구조를 포함하는 기술을 제공한다. 그러나, 본 발명은 더욱 광범위한 응용 가능성을 가지는바, 집적된 반도체 장치의 3차원 패킹, 광양자 장치, 압전자 장치, 평판 디스플레이, 마이크로전자기계시스템("MEMS"), 나노기술 구조, 센서, 엑츄에이터, 집적회로, 생물학 및 생의학 장치 등과 같은 다른 유형의 어플리케이션에도 적용 가능하다.
반도체 기판 상의 광전지층을 제조하는 방법은 아래에 간략하게 약술되어 있다.
1. 타일(Tile) 구조로 특징지어지는 표면 영역, 클리브 영역 및 상기 표면 영역과 상기 클리브 영역 사이에서 제거될 제 1 두께의 물질을 갖는 반도체 기판을 제공한다.
2. 광학적으로 투명한 기판에 반도체 기판을 정렬한다.
3. 상기 광학적으로 투명한 기판의 제 1 표면 영역에 상기 반도체 기판의 상기 표면 영역을 결합한다.
4. 상기 클리브 영역의 일부에 대한 제어된 클리빙 동작을 개시한다.
5. 클리브된 표면 영역의 형성을 유발하기 위하여 상기 표면 영역이 상기 제 1 표면 영역에 결합되어 있는 동안, 상기 반도체 기판으로부터 상기 제 1 두께의 물질을 제거하기 위해 상기 반도체 기판을 클리브한다.
6. 선택적으로, 하나 이상의 광전지 영역을 가지는 최종 두께의 반도체 물질을 형성하기 위해, 클리브된 표면 영역 위에 놓여있는 제 2 두께의 반도체 물질을 형성한다.
7. 적어도 상기 제 1 두께의 물질과 상기 광학적으로 투명한 물질로부터 태양전지를 형성한다.
8. 필요하다면, 다른 단계들을 수행한다.
상술한 순서의 단계들은 본 발명의 실시형태에 따른 방법을 제공한다. 나타낸 바와 같이, 본 기술은 광전지 어플리케이션용 레이어 트랜스퍼 기술을 이용하여 태양전지 구조를 형성하기 위한 방법 및 구조를 포함한다.
여기서, 청구항의 범위를 벗어나지 않으면서 단계들을 추가하거나, 하나 이 상의 단계들을 제거하거나, 하나 이상의 단계들을 상이한 순서로 제공하는 다른 대안들이 제공될 수도 있다. 예를 들면, 선택적인 실시형태에 따라, 상술한 스텝 4 및 5를 역순으로 수행하여, 클리빙을 먼저 하고 투명한 기판 상에 클리브된 막을 이동하는 단계가 뒤따르는 것이 가능하다.
선택적으로는, 이러한 구조를 형성하는 다른 방법들이 있을 수 있다. 즉, 특정한 실시형태에 따라 먼저 커버 유리나 다른 적당한 물질과 같은 커버 시트 상에 형성한 후 다른 층을 형성하는 순서도 가능하다. 레이어 트랜스퍼는 태양전지 장치의 나머지를 형성하는데 사용되는 커버 유리 상에서 이루어진다. 다른 기술들은 핸들 기판(Handle Substrate) 상에 레이어 트랜스퍼 물질을 트랜스퍼하는 트랜스퍼 기판을 이용할 수 있다. 본 방법에 관한 추가적인 세부사항은 본 명세서와 더욱 구체적으로는 아래 내용에 걸쳐서 알 수 있다.
도 1에 나타낸 바와 같이, 본 방법은 제 1 편향 특성을 갖는 투명한 핸들 기판(102), 뒷면(104) 및 겉면(106)을 제공한다. 투명한 핸들 기판은 유리, 석영, 중합체나 기타 합성물과 그 유사물일 수 있다. 단순한 예로, 투명한 기판은 두께, 뒤측 표면, 겉면을 가진다. 투명한 기판은 태양전지 등을 덮기 위해 사용되는 것들과 같은 유리이다. 실시형태에 따라, 유리는 약간 휘어지고, 강도(Rigidity)를 위해 받침 플레이트(Backing Plate)를 대어야 한다. 물론, 다른 변형, 수정 및 대안이 있을 수 있다.
선택적인 실시형태에서, 핸들 기판은 어떠한 균일형(Homogeneous), 단층형(Graded) 혹은 다층형(Multilayered) 물질이거나 이들의 어떠한 조합일 수도 있 다. 즉, 핸들 기판은 거의 모든 단결정질이나 다결정질, 혹은 심지어 비정질형 기판으로도 제작 가능하다. 기판은 SiC로 제작할 수 있다. 부가적으로는, 기판은 갈륨 비소, 갈륨 질화물(GaN) 및 다른 것들과 같은 Ⅲ/Ⅴ족 물질로 이루어질 수 있다. 부가적으로, 기판은 휘는 특성을 갖는 실리콘 탄화물, 게르마늄, 실리콘, 유리나 석영 화합물, 플라스틱 및 폴리머일 수 있다. 바람직하게는, 특정한 실시형태에 따라 핸들 기판은 레이어 트랜스퍼 공정에 부적합한 약간의 휘는 특성을 가진다. 기판의 부적합한 성질은 특정한 실시형태에 따라 과도한 거칠기(Roughness), 파손, 부분적인 막 분리 등을 유발한다. 특정한 실시형태에 따라 어떠한 물질의 조합이라도 사용할 수 있다.
바람직한 실시형태에서, 본 발명은 도 2에 나타낸 바와 같이 핸들 기판 구조에 강도를 더하기 위하여 받침 기판(202)을 제공한다. 바람직하게는, 받침 기판은 두께(204)를 가지고, 도너(Donor) 기판으로부터의 소정 두께의 실리콘 함유 물질이 핸들 기판의 겉면 상으로 트랜스퍼되기에 접합하도록 적어도 받침 기판과 핸들 기판으로 구성된 다층 구조의 효과적인 편향 특성을 제공하기에 적당한 물질을 가진다.
단순한 예로, 받침 기판은 석영 핸들 기판용 실리콘 웨이퍼이다. 이러한 받침 기판은 725마이크론 +/- 15마이크론의 두께를 가지며, 예컨대 200㎜ 도너/핸들/받침 기판 구조를 이용하여 단결정 실리콘으로 제작된다. 이러한 기판은 <100> 방향으로 약 130 기가파스칼의 영의 계수(Young's Modulus)를 가진다. 결합된 받침 기판과 핸들 기판 구조에 소정의 강도를 제공하기 위하여, 플라스틱, 금속, 유리, 석영, 합성물 등과 같은 다른 종류의 물질과 두께를 사용할 수 있다. 물론, 당업자라면 다른 변형, 수정 및 대안을 인지할 것이다.
선택적인 특정한 실시형태에서, 본 방법은 도 3 및 도 4에 도시한 바와 같이 받침 및/또는 투명한 핸들 기판의 표면 상에서 세정 및/또는 활성화 공정(302, 예컨대, 플라즈마 활성화 공정)을 수행한다. 이러한 플라즈마 활성화 공정은 기판의 표면을 세정 및/또는 활성화 한다. 플라즈마 활성화 공정은 20℃ 내지 40℃의 온도에서 산소나 질소 함유 플라즈마를 이용하여 제공된다. 플라즈마 활성화 공정은 캘리포니아 산호세의 Silicon Genesis사에서 제작한 이중 주파(Dual Frequency) 플라즈마 활성화 시스템에서 수행하는 것이 바람직하다. 다른 실시형태에서는, 받침 물질이 존재하지 않을 수 있다. 선택적으로는, 또 다른 실시형태에서 본 방법은 정전기식 척 및/또는 다공성(Porous) 척 등의 방식으로 받침 물질을 사용할 수 있다. 특정한 실시형태에 따라, 이 받침 물질은 핸들 기판이나 도너 기판 중 하나 혹은 핸들 기판과 도너 기판 둘다에 제공될 수 있다. 물론, 다른 변형, 수정 및 대안이 있을 수 있다.
도 5를 참조하면, 본 방법은 보통 다른 기판으로부터 물리적으로 분리되는 투명한 핸들 기판의 뒤측에 받침 기판의 맞물림(502)을 개시한다. 바람직하게는, 본 방법은 다층 구조를 형성하도록 투명한 핸들 기판에 받침 기판을 공고히 붙이기 위하여, 투명한 핸들 기판의 뒤측에 받침 기판을 일시적으로 부착한다. 단순한 예로, 실리콘 웨이퍼 받침 기판은 바람직한 실시형태에 따라 다른 어떠한 수정 및/또는 개조 없이도 석영 플레이트에 단단히 붙는다. 여기서, 비록 도 6의 경우에는 자 연 산화막이 없는 실시형태이지만, 실리콘 웨이퍼는 석영 플레이트의 표면에 본딩(Bonding)하는 자연 산화막의 매우 얇은 코팅을 가진다. 다른 실시형태에서, 정전기식 공정이나 공유 결합을 포함하는 웹(Web) 결합 혹은 이들의 어떠한 조합과 유사한 것들을 이용하여서도 결합이 이루어질 수 있다. 또 다른 실시형태에서, 결합은 스핀온글래스, 글루 레이어(Glue Layer), 이들의 어떠한 조합이나 유사물을 이용하여서도 이루어질 수 있다. 물론, 다른 변형, 수정 및 대안이 있을 수 있다.
나타낸 바와 같이, 본 방법은 도 7에 나타낸 바와 같이 클리브 영역(704), 겉면(706), 뒷면(708) 및 겉면과 클리브 영역 사이의 소정 두께의 실리콘 함유 물질(710)을 구비하는 도너 기판(702)을 제공하는 단계를 포함한다. 단순한 예로, 도너 기판은 실리콘 웨이퍼, GaN, 게르마늄 웨이퍼, 실리콘 게르마늄 물질, 실리콘 탄화물 함유 물질, Ⅲ/Ⅴ족 화합물, 이들의 어떠한 조합 및 다른 것들일 수도 있다. 바람직한 실시형태에서, 도너 기판은 감광성 물질일 수 있다. 물론, 다른 변형, 수정 및 대안이 있을 수 있다.
도너 기판용으로 선택된 물질의 유형은 특정한 응용예에 따라 달라질 수 있다. 예를 들면, 도너 기판이 단결정 실리콘 물질을 포함하는 경우, 표면의 배향은 (100), (110) 혹은 (111)로 선택될 수 있다. 선택적으로는, 이들 표면은 <100>, <110> 및 <111> 방향에서 표면 방향에 대한 법선을 가진다고 말할 수 있는데, 여기서 "방향(Direction)"이라는 용어는 달리 특정해서 기술하지 않는 한 표면에 대한 이 법선을 지칭하는 용어이다. (100) 표면 배향을 갖는 단결정 실리콘 기판은 태양전지 산업과 같은 특정 산업에서 더욱 일반적으로 성장한 배향이지만, 이 배향은 클리빙 공정 중에 기판으로 연장하는(Extending) 바람직하지 못한 평면 이탈 갈라짐(Out-of-plane Cracking)의 가능성이 더 높아질 수 있는 평면을 가진다.
본 즉각적인 출원의 목적을 위하여, 평면 이탈 갈라짐은 전파하는(Propagating) 클리브 방향이 원하는 클리브 평면으로부터 이탈하여 이동하는 클리브 실패 메커니즘을 가리킨다. 그러한 메커니즘 중 하나를 "브랜칭(Branching)"이라고 하는데, 클리브 플레인(Cleave Plane)이 (111)과 같은 다른 주요 결정 배향으로 천이(Shift)하는 경우이다. 다른 클리브 실패 메커니즘으로는 전단 응력(Shear Stress)으로 유발된 전파하는 클리브의 깊이나 거칠기 혹은 방향에 있어서의 바람직하지 못한 변화가 있다. 이러한 클리브 실패들은 본 출원에서 교시된 바와 같이 적절한 주입 및 클리빙 기술과 함께 알맞은 원료 물질(Starting Material) 배향의 선택을 이용하여 회피하여야 한다.
(100) 표면 배향을 갖는 단결정 실리콘 기판과는 반대로, (110) 표면 배향을 갖는 단결정 실리콘 기판은 상이한 게터 사이트(Getter Site)를 가지고, 결함 영역이 주입 입자들과 상호 작용한다. 예컨대 주입종(Implant Species)을 수소로 하는 경우, (110) 표면 배향은 더 느린 수소 방출성(Detrapping)과 더 높은 클리브층 압축 응력(Compressive Stress) 프로파일을 가진다. 그러나, 단결정 실리콘 (110)은 (100)보다 더 낮은 브랜칭 가능성으로 전파하는 클리빙 플레인이며, 클리빙 공정 동안 기판으로 연장하는 평면 이탈 갈라짐을 더 적게 유발할 수 있어 바람직하다.
나아가, 도 28a 내지 29c에 나타낸 바와 같이, (100) 결정 배향을 갖는 겉면을 통상적으로 가지고 성장한 단결정 실리콘 불레는 (110) 결정 배향을 갖는 겉면 을 가지는 단결정 불레로 쉽게 변환될 수 있다.
구체적으로는, 도 28a는 (100) 결정 배향을 갖는 겉면을 노출하도록 끝단을 자르는 단계(Cropping, 크로핑)가 이후에 뒤따르는 단결정 실리콘 잉곳이나 불레의 성장이나 주조 공정을 위한 종래의 프로세스를 나타낸다. 다음으로, 잘려진 불레가 사각 처리(Squaring, 스퀘어링)되거나 벽돌 처리(Bricking, 브리킹)되는데, 그후에는 모서리 그라인딩(Grinding)에 의해 (100) 결정 배향을 갖는 측면과 겉면을 갖는 잉곳을 제작한다.
다음으로, 도 28b에 나타낸 바와 같이, 잉곳의 (100) 겉면은 (110) 긴 겉면으로 2개의 절반을 얻도록 장축을 따라 절반이 잘려나간다. 도 28c에 나타낸 바와 같이, 이후 긴 직사각형이 정사각형으로 잘려져서, 코너가 이제는 바닥 위에 위치한다. 작은 사용 가능한 물질은 이 접근법에 의해 소실되지만, 잘리는 물질의 저측면들은 아마도 공정 도중에 불레를 클램핑(Clamping)하거나 공고히 하는데 사용 가능할 것이다.
또 다른 실시형태에 따르면, (111) 표면 배향을 갖는 단결정 실리콘 도너 기판을 사용할 수 있다. 이러한 구성은 더 낮은 클리빙 에너지와 (100) 또는 (110)을 따라 방향이 잡힌 겉면을 갖는 단결정 실리콘에 의해 발휘될 수 있는 클리빙 실패 및 브랜칭에 대한 저항성을 제공한다.
단결정 실리콘의 다른 특성들도 도너 기판 물질의 선택에 영향을 줄 수 있다. 예를 들면, 특정한 어플리케이션은 초크랄스키(Czochralski, CZ) 성장을 이용하여 형성된 단결정 실리콘 도너 기판을 이용할 수 있다. 다른 어플리케이션은 플 롯존(Float Zone, FZ) 성장법으로 형성된 단결정 실리콘 도너 기판을 이용할 수 있다.
실시형태에 따라, 클리브 영역은 다양한 기술을 이용하여 형성될 수 있다. 즉, 클리브 영역은 주입된 입자, 증착된 층, 확산된 물질, 패터닝된 영역 및 다른 기법들의 어떠한 적절한 조합을 이용하여서도 형성될 수 있다. 도 7을 참조하면, 본 방법은 도너 기판의 상부면을 통과하여 "박막" 물질이라 칭하는 두께의 물질 영역을 정의하는 선택된 깊이까지의 주입 공정(712)을 이용하여 소정의 에너지 입자들을 도입한다. 실리콘 웨이퍼에 에너지 입자를 주입하기 위하여 다양한 기술을 사용할 수 있다. 이러한 기술에는 예컨대 Applied Materials, Inc 등과 같은 회사에서 제작한 빔 라인 이온 주입 장비를 이용하는 이온 주입이 포함된다. 선택적으로는, 주입은 플라즈마 잠입 이온 주입(Plasma Immersion Ion Implantation, "PIII") 기술, 이온 샤워(Ion Shower) 및 기타 질량 및 비질량 특정 기술들을 이용하여 발생한다. 이러한 기술들의 조합을 사용할 수도 있다. 물론, 응용예에 따라 사용되는 기술이 달라진다.
어플리케이션에 따라, 바람직한 실시형태에서는 물질 영역에 대한 손상 가능성을 줄이기 위해 일반적으로 질량이 작은 입자가 선택된다. 즉, 질량이 작은 입자는 이 입자들이 통과하여 진행하는 물질 영역에 거의 손상을 가하지 않고서 선택된 깊이까지 기판 물질을 통해 쉽게 진행한다. 예를 들면, 질량이 작은 입자(혹은 에너지 입자)는 거의 모든 대전된(예컨대, 양 혹은 음) 및/또는 중성의 원자나 분자 혹은 전자나 그 유사물일 수 있다. 특정한 실시형태에서, 입자는 실시형태에 따라 수소와 그 동위원소의 이온, 헬륨과 그 동위원소 및 네온과 같은 희류 가스(Rare Gas)의 이온과 같은 이온이나 다른 것들을 포함하는 대전 및/또는 중성 입자일 수 있다. 이러한 입자들은 또한 가스, 예컨대 수소 가스, 수증기, 메탄 및 수소 화합물과 같은 화합물 및 기타 가벼운 원자 질량의 입자들로부터 얻을 수 있다. 선택적으로는, 이 입자들은 상술한 입자들 및/또는 이온들 및/또는 분자 종류들 및/또는 원자 종류들의 어떠한 조합일 수도 있다. 이 입자들은 일반적으로 표면을 통과하여 표면 아래의 선택된 깊이까지 침투하기에 충분한 운동 에너지를 갖는다.
예컨대 실리콘 웨이퍼에 대한 주입종으로서 수소를 이용하는 경우, 주입 공정은 특정한 세트의 조건을 이용하여 수행된다. 주입량은 약 1×1015 내지 약 1×1018 원자/㎠ 까지의 범위이며, 용량은 약 1×1016 원자/㎠보다 큰 것이 바람직하다. 주입 에너지는 약 1KeV에서 적은 MeV의 범위이며, 반도체 어플리케이션용으로 유용한 박막의 형성을 위해서는 일반적으로 50 KeV 정도이다. 주입 온도는 약 20℃ 내지 약 600℃의 범위이며, 주입된 실리콘 웨이퍼 밖으로 상당량의 수소 이온이 확산되고 주입된 손상과 스트레스를 아닐링(Annealing)할 가능성을 방지하기 위하여 약 400℃ 미만인 것이 바람직하다. 수소 이온은 실리콘 웨이퍼로 약 ±0.03 내지 ±1.5 마이크론의 정확도로 선택된 깊이까지 선택적으로 도입될 수 있다. 물론, 응용예에 따라 사용되는 이온의 유형과 공정 조건이 달라진다.
더 높은 주입 에너지를 이용할 경우, 재이용 가능한 기판 내에 최대 범위의 클리빙 플레인을 허용하도록 실질적으로 순수한 양자 주입(양으로 혹은 음으로 대 전됨)을 갖는 것이 특히 유용하다. 실리콘을 이용하여 예를 들면, 태양전지 웨이퍼의 원료 물질로서 사용하기 위한 두께로 수백 마이크론을 측정하여 많은 MeV 산출(Yielding) 기판에 대한 광 흡수자(Absorber) 효율을 최대화하기 위하여 후속의 에피택셜 정장이 필요한 경우, 광전지 흡수자를 위한 템플릿 형성에 대해서는 주입의 에너지 범위가 상당히 클 수 있고 적은 keV로부터 걸칠 수도 있다. 주입 에너지의 함수로서 주입 깊이의 일반적인 범위는 예컨대 SRIM(Stopping Ragne In Matter) 2003 혹은 Monte Carlo 시뮬레이션 프로그램(http://www.srim.org/)을 이용하여 연산할 수 있다. 특정한 실시형태에서, 약 10keV 내지 약 300keV 범위의 양자 주입 에너지를 이용할 경우 실리콘막의 두께 범위는 약 13㎚ 내지 약 3㎛ 범위이다. 이와 같은 실리콘막 두께는 후속의 태양전지 형성을 위한 에피택셜 후화 공정(예컨대, 호모에피택셜(Homoepitaxial) 성장 공정이나 헤테로에피택셜(Heteroepitaxial) 성장 공정)용 템플릿으로 적합할 수 있다. 물론, 다른 변형, 수정 및 대안이 있을 수 있다.
특정한 실시형태에서, 실리콘막 두께의 범위는 약 1㎛에서 약 50㎛이며, 약 120keV 내지 약 2.1MeV 범위의 양자 주입 에너지를 이용하여 구현될 수 있다. 이 두께 범위의 실리콘막은 곧바로 우수한 효율로 박막 태양전지를 형성하기에 충분한 두께의 단결정 실리콘막의 두께를 제공하도록 박리(Detachment)될 수 있다. 즉, 형성된 단결정 실리콘의 두께 범위는 태양전지 어플리케이션에서 효율적인 광 흡수층으로서 사용되기 위해 더 두꺼워져야 할 필요가 없다. 전/후 컨택트 형성, 2측 액세스 제작법(2-sided Access Manufacturing)의 사용 및 박막 광 흡수층 내에 더 많 은 광을 가두기 위한 광 산발층(Light Scattering Layer)과 같이 박막 실리콘 태양전지 효율을 최대화하기 위한 기술들은 잘 발달되어 왔고, 이 박리된 층과 조합해서 이용 가능하다. 이러한 기술들은 예컨대 Rolf Brendel의 "Thin-Film Crystalline Silicon Solar Cells - Physics and Technology"(2003 Wiley-VCH Verlag GmbH & Co., KGaA, Weinheim)에서 잘 다루어져 있고, 여기에 참고로 편입되어 있다. 물론, 다른 변형, 수정 및 대안이 있을 수 있다.
특정한 실시형태에서, 실리콘막 두께의 범위는 약 50㎛ 내지 200㎛이며, 약 2.1MeV 내지 약 5MeV의 에너지 범위를 갖는 양자 주입을 이용하여 형성될 수 있다. 이러한 실리콘막 두께의 범위는 프리스탠딩(Free-standing) 실리콘 기판으로서 사용할 수 있는 단결정 실리콘 기판 등가물의 두께를 박리할 수 있도록 한다. 두께 범위가 50㎛ 내지 200㎛인 단결정 실리콘 기판은 웨이퍼 쏘잉, 식각 및 연마 공정들을 이용하는 현재의 방법을 대체하는데 사용될 수 있다. 현재의 기술에 있어서 약 50% 커프 손실(Kerf Loss, "커프 손실"은 커팅(Cutting) 및 웨이퍼링(Wafering) 동작 도중의 물질 손실로서 정의됨)에 반해, 주입 클리빙 기술은 사실상 커프 손실이 없어 상당한 비용 절감과 물질 이용 효율성의 개선을 가져온다. 5MeV보다 높은 에너지는 반도체 공법 대안의 기판 물질을 만드는데 사용될 수 있지만, 태양전지 제조에 있어서는 200㎛가 벌크 실리콘 태양전지의 형성을 위한 실리콘 태양전지 물질의 두께로 적합하다. 결과적으로, 특정한 실시형태에 있어서는 더 두꺼운 실리콘 기판은 태양전지를 제조하기 위한 특정한 상업적 관심의 대상이 아니다.
상술한 실시형태들은 기판의 전체 표면에 걸쳐서 균일하게 입자를 주입하는 공정을 기술하고 있으나, 이것이 본 발명에서 요구되지는 않는다. 본 발명에 따른 선택적인 실시형태는, 예컨대 모서리에서의 클리빙 공정의 개시를 용이하게 하기 위하여 기판의 모서리에 고용량을 주입하는 경우에 패터닝된 주입 접근법을 채용할 수 있다. 모서리에서 개시되는 클리빙의 전파를 돕기 위하여 저용량으로 기판의 내측 영역을 주입할 수 있다. 특정한 일 실시형태에 따르면, 클리브 플레인에 이들 모서리 부분을 고정하기 위하여 고용량으로 모든 모서리 부분(클리빙이 개시되는 영역에 한정되지 않음)을 주입할 수 있다.
도 8은 양자 주입에 있어서 실리콘 태양전지 흡수자 어플리케이션의 에너지와 등급의 범위를 나타낸다. MeV 범위의 주입 조건들은 여기에 참고로 편입된 Reutov et al.에 의해 개시되어 있다(1983년 12월 30일 구소비에트연방의 발명자 확인증 제1282757호, V.F. Reutov and Sh.Sh.Ibragimov, "Method for Fabricating Thin Silicon Wafers"). V.G. Reutov 및 Sh.Sh.Ibragimov 에서, 350㎛까지의 박리된 실리콘 웨이퍼 두께를 생산하기 위해 주입 및 후속 주입(Post-Implant) 재이용 가능 기판의 가열 동안 선택적인 가열과 함께 7MeV까지 양자 주입을 사용하는 것이 개시되어 있다. 또한, 1 MeV 수소 주입을 이용하는 16 마이크론 실리콘막의 열적 클리빙은 여기에 참고로 편입된 1997년 7/8월 M.K.Weldon & al.의 "On the Mechanism of Hydrogen-Induced Exfoliation of Silicon", J.Vac.Sci.Technol.,B 15(4)에 개시되어 있다. 이 부분에서 "박리된" 혹은 "트랜스퍼된 실리콘 두께"라는 용어는 주입된 이온 범위에 의해 형성된 실리콘막의 두께가, 프리스탠딩 상태로 릴리스(Release)되거나, 프리스탠딩 기판으로의 최종적인 사용을 위한 일시적 기판이 나 영구 기판에 릴리스되거나, 혹은 영구 기판 상에 최종적으로 탑재될 수 있다는 점을 의미한다. 바람직한 실시형태에서, 실리콘 물질은 충분히 두껍고 지지 부재로 동작하는 핸들 기판으로부터 자유롭다. 물론, 막을 처리하고 가공하기 위한 특정한 공정은 소정의 셀 프로세스 및 어플리케이션에 따라 달라진다.
효과적으로는, 주입된 입자들은 선택된 깊이에서 기판의 상부 표면에 평행한 면을 따라 파괴 에너지(Fracture Energy)를 감소시키거나 응력을 부가할 수 있다. 에너지는 부분적으로 주입종(Implantation Species)과 조건에 따라 달라진다. 이들 입자는 선택된 깊이에서 기판의 파괴 에너지 레벨을 줄인다. 이는 선택된 깊이에서 주입된 면을 따른 제어된 클리브를 가능하게 한다. 주입은 모든 내부 위치에서의 기판의 에너지 상태가 기판 물질 내에서 비가역 파괴(즉, 분리(Separation) 혹은 클리빙)를 개시하기에 충분하지 않도록 하는 조건하에서 발생할 수 있다. 그러나, 일반적으로 주입량은 보통 적어도 부분적으로는 후속의 열처리, 예컨대 열 아닐링이나 고속 열 아닐링에 의하여 수리될 수 있는 소정량의 결함(예컨대, 미세결함)을 기판에서 일으킨다는 점에 주의해야 한다. 주입을 거친 최종적인 기판이 도 9의 간략도에 의해 도시되어 있다.
특정한 실시형태에서, 플라즈마 잠입 이온 주입이나 이온 샤워 기술, 특히 비질량 선택형 주입자(Implanter)를 이용하는 대면적 주입자의 사용은 실리콘 표면을 통해 바람직하지 않은 오염물(Contaminant)을 함께 주입할 수 있다. 예컨대, 철의 주입은 산출되는 실리콘 흡수층의 유효한 소수 캐리어(Minority Carrier)의 수명을 현저하게 줄여서 광 변환 효율의 바람직하지 못한 저하를 유발할 수 있다. 더 큰 수소의 주입 범위로 인해, 철이 결정질 실리콘막으로 들어가는 것을 방지하기 위하여, 차단층(Screening Layer)이 제공될 수 있다. 차단층의 두께는 주입 에너지, 차단되는 오염물 및 차단 물질에 따라 달라질 것이다. 차단층으로 이산화규소를 사용하고 오염물로 철을 사용하는 경우를 예로 들면, 300keV의 주입 시 철이 실리콘 기판으로 들어가는 것을 완전히 차단하기 위해서는 약 0.3㎛ 두께의 이산화규소가 필요할 것이다. 5MeV 수소 주입의 경우에는 3.5㎛ 내지 4㎛ 두께의 이산화규소층을 필요로 할 것이다. 이러한 이산화규소층은 다른 것들 중에서 HF 스트립(Strip)과 같은 화학적 스트립이나 연마 공정을 이용하여 제거할 수 있다. 실시형태에 따라, 이산화규소층은 셀 제조 공정에 걸쳐서 유지될 수도 있다. 또 다른 실시형태에 따르면, 차단층을 계속 유지해서 태양전지에 완전한 형태로 통합할 수 있다. 물론, 다른 변형, 수정 및 대안이 있을 수 있다. 예컨대, 상술한 예에서는 이산화규소를 차단층으로 설명하였지만, 이는 본 발명에서 반드시 요구되는 것은 아니다. 절연 물질에 한정하지 않고, 물질들의 화합물을 포함한 다른 물질들을 차단층으로서 선택적으로 사용할 수 있다.
실시형태에 따라, 클리브 영역 및/또는 클리브층을 형성하기 위한 다른 기술들을 사용할 수 있다. 단순한 예로, 이와 같은 클리빙 영역은 캘리포니아 산호세의 Silicon Genesis사의 NanocleaveTM 공정, 프랑스의 Soitec SA의 SmartCutTM 공정 및 일본 도쿄의 Canon Inc.의 EltranTM 공정이라 불리는 것, 이와 유사한 공정들이나 다른 것들과 같은 기타 공정들을 이용하여 형성된다. 물론, 다른 변형, 수정 및 대 안이 있을 수 있다.
특정한 실시형태에서, 받침에 결합된 투명한 핸들 기판과 도너 기판은 둘다 도 9에 부분적으로 나타낸 바와 같이 플라즈마 활성화 공정을 거친다. 이러한 플라즈마 활성화 공정은 기판의 표면을 세정 및/또는 활성화 한다. 플라즈마 활성화 공정은 20℃ 내지 40℃의 온도에서 산소나 질소 함유 플라즈마를 이용하여 제공된다. 플라즈마 활성화 공정은 캘리포니아 산호세의 Silicon Genesis사에서 제작한 이중 주파 플라즈마 활성화 시스템으로 수행하는 것이 바람직하다. 물론, 다른 변형, 수정 및 대안이 있을 수 있으며, 이들은 본 명세서 외에는 물론이고 여기에도 기술되어 있다.
이후, 이들 기판 각각은 도 10에도 도시한 바와 같이 상호 본딩된다. 나타낸 바와 같이, 핸들 기판은 도너 웨이퍼에 본딩되어 있다. 바람직하게는, 기판들은 Electronic Vision Group에서 제작한 EVG 850 본딩 도구나 다른 유사한 프로세스를 이용하여 본딩된다. Karl Suss에 의해 제작된 것들과 같은 다른 유형의 도구들도 사용 가능하다. 물론, 다른 변형, 수정 및 대안이 있을 수 있다. 투명한 핸들 기판과 도너 간의 본딩은 거의 영구적이고 우수한 신뢰도를 갖는 것이 바람직하다.
따라서, 본딩 이후에 본딩된 구조에 베이크 처리가 가해진다. 베이크 처리는 소정의 온도와 소정의 시간으로 본딩된 기판을 유지한다. 바람직하게는, 온도는 약 200 또는 250℃에서 약 400℃까지의 범위이며, 실리콘 도너 기판과 투명한 핸들 기판에 대하여 약 1시간 가량 동안 350℃ 정도인 것이 바람직하다. 특정한 실시형태에서, 본 베이크 처리는 핫플레이트로부터 본딩된 기판으로 곧바로 열 에너지를 직 접적으로 결합하는 핫 플레이트 및/또는 표면으로 도전성 가열 공정을 이용하여 발생할 수 있다. 다른 실시형태에서, 열 에너지는 복사, 전도, 대류 혹은 이들 기술의 어떠한 조합이나 유사한 것을 이용하여 제공될 수 있다. 특정한 어플리케이션에 따라, 다른 변형, 수정 및 대안이 있을 수 있다.
특정한 실시형태에서, 기판은 저온 열 공정을 이용하여 상호 결합되거나 용융된다. 저온 열 공정은 일반적으로 주입된 입자들이 제어되지 않는 클리브 동작을 유발할 수 있는 과도한 응력을 물질 영역 상에 가하지 않도록 하는 것을 보장한다. 이러한 공정을 플라즈마 활성화 표면 처리와 결합하는 또 다른 고려를 해보면, 사용되는 비유사 물질의 열 팽창 부정합 계수에 의해 도입된 응력에 의하여 통상적으로 유발되는 동일한 베이크 처리 공정 중에 어셈블리의 층분리(Delamination)를 제거하기 위하여 결합력(Bond Strength)을 증가시킬 수 있다. 특정한 실시형태에서, 저온 본딩 공정은 자기-본딩(Self-Bonding) 공정에 의해 이루어진다. 특히, 하나의 웨이퍼가 그로부터 산화작용을 제거하기 위하여 스트리핑(Stripping)된다(혹은, 하나의 기판이 산화되지 않음). 세정 용액은 웨이퍼 표면 상에 O--H 본딩을 형성하도록 웨이퍼의 표면을 처리한다. 웨이퍼를 세정하는데 사용되는 용액의 예로는 과산화수소와 황산의 혼합물 및 기타 유사한 용액들이 있다. 건조기는 기판 표면으로부터 잔존하는 용액이나 입자를 제거하기 위해 웨이퍼 표면을 건조시킨다. 자기-본딩은 세정된 기판들의 표면을 함께 위치함으로써 발생한다. 물론, 다른 변형, 수정 및 대안이 있을 수 있다.
선택적으로는, 하나의 기판을 다른 기판에 결합하는 접착제를 이들 기판의 양쪽 혹은 한쪽 표면 상에 도포할 수 있다. 특정한 실시형태에서, 접착제는 에폭시, 폴리이미드 타입의 물질 및 유사물을 포함한다. 스핀온글래스층은 하나의 기판 표면을 다른 기판의 겉면 상에 결합하는데 사용할 수 있다. 이러한 스핀온글래스("SOG") 물질에는 다른 것들 중에서도 알콜 기반의 용매와 종종 혼합되는 실록산이나 규산염 혹은 그 유사물이 포함된다. SOG는 그것이 웨이퍼의 표면에 적용된 이후에 SOG를 경화(Cure)하는데 종종 필요한 저온(예컨대, 150 내지 250℃) 때문에 바람직한 물질이 될 수 있다.
선택적으로는, 핸들 기판에 도너 웨이퍼를 결합하기 위하여 다양한 다른 저온 기술을 사용할 수 있다. 예를 들면, 2개의 기판을 상호 결합하기 위하여 정전기식 본딩 기술을 사용할 수 있다. 특히, 한쪽 혹은 양쪽의 기판 표면을 대전시켜서 다른 기판 표면에 이끌리도록 한다. 부가적으로는, 도너 기판은 다양한 다른 일반적으로 알려진 기술을 이용하여 핸들 웨이퍼에 용융될 수 있다. 특정한 실시형태에서, 도너와 핸들 기판을 상호 결합하기 위한 본 본딩 공정은 인시투(In-situ) 플라즈마 활성화 본딩 공정, 인시투 정전기식 본딩 공정, 이들의 어떠한 조합이나 그 유사한 형태를 이용할 수 있다. 물론, 사용되는 기술은 응용예에 따라 달라진다.
특정한 실시형태에서, 본 방법은 2개의 기판 사이에 광 결합 물질을 사용한다. 광 결합 물질은 약 1.8 내지 약 2.2의 굴절율을 갖는 적당한 물질 전부이지만, 다른 것일 수도 있다. 이 물질은 주석 산화물, 인듐 주석 산화물(ITO), 산화아연(ZnO) 티타늄 이산화물, 실리콘 질화물 혹은 다른 항반사나 절연 스택 형성 물질 및 이들의 조합을 포함하는 유사물로부터 선택될 수 있다. 실시형태에서 따라, 해 당 물질은 하나 이상의 층과 다른 구성을 포함할 수 있다. 물론, 다른 변형, 수정 및 대안이 있을 수 있다.
본 방법은 도 11 및 도 12에 도시한 바와 같이 결합된 기판 구조 상에서 제어된 클리빙 공정을 수행한다. 이 제어된 클리빙 공정은 도너 기판의 클리브 영역의 부분 내에서 선택된 에너지를 제공한다. 단순한 예로, 제어된 클리빙 공정은 캘리포니아 산호세의 Silicon Genesis사에 공통적으로 양도되고 모든 목적을 위해 여기에 참고로 편입된 "제어된 클리빙 공정"이라는 제목의 미국 특허 제6,013,563호에 개시되어 있다.
특정한 실시형태에 따르면, 제어된 클리빙 공정은 열 에너지의 인가를 채용할 수 있다. 예컨대, 도 29에 나타낸 특정한 실시형태에서, 열 에너지의 빔(2900)(레이저 빔 등)은 복수의 게터링 사이트(Gettering Site)로 이루어진 표면 아래 클리브 플레인(2906)을 갖는 기판(2904)의 표면(2902)에 인가될 수 있다. 국부화된 가열 실리콘과 인접한 냉각기 실리콘 영역 사이에서 클리브 플레인의 방향을 따라 증감하는 온도는 실리콘에 파괴 응력(Fracture Stress)(인장 응력(Tensile Stress) 및 다른 것일 수 있음)을 전달한다. 이 파괴 응력은 다시 클리브 플레인의 방향을 따른 클리빙을 유발한다. 이러한 파괴 응력의 영역은 열적인 빔 소스나 기판 혹은 이들 양자가 클리브 플레인의 방향을 따라 병진하면서 클리브 플레인의 방향을 따라 병진한다.
다양한 변수들에 대한 제어를 수행함으로써 클리빙 공정을 최적화할 수 있다. 이러한 변수들의 예로는 열적 빔의 강도와 지속시간, 빔의 공간적인 사이즈와 시간적인 펄스 프로파일 및 열적 빔의 병진 속도가 포함되지만, 여기에 국한되는 것은 아니다. 빔은 타일 전체나 부분을 가로지르는 점(2차원)이나 선 형태(1차원)일 수 있다. 빔의 폭은 이러한 구성으로 얻을 수 있는 열적으로 생성된 파괴 응력장의 크기에 강한 영향력을 미친다.
도 29에 나타낸 특정한 실시형태는 열적 빔으로 유발된 클리빙을 보여주고 있지만, 이것이 필요한 것은 아니다. 선택적인 실시형태에서, 열 에너지는 빔의 다른 유형에 의하여 클리브 플레인으로 전달될 수 있다. 예를 들면, 일 실시형태에서 열 에너지는 기판과 충돌하는 입자들(이온 등)의 빔에 의해 전달될 수 있다. 특정한 일 실시형태에서, 이러한 빔의 입자들은 클리브 플레인의 게터링 사이트나 결함 영역을 형성하도록 주입되는 동일한 입자들로 이루어질 수 있다.
또한, 도 29에 나타낸 실리콘의 국부화된 가열은 클리브 플레인의 방향에 직교하는 수직 방향으로 증감하는 온도를 발생시킨다. 그리고, 적어도 1) 클리브 플레인 위에 놓인 실리콘의 더 작은 부피와, 2) 열적 빔의 방향성 소스로 인하여, 이러한 수직적인 열적 경사(Thermal Gradient)는 비대칭이며, 기판의 표면을 향하여 전진하는 방향에서 가장 가파르다. 이러한 비대칭 온도 경사는, 예컨대 이러한 열적인 프로파일 비대칭이 크게 상쇄되는 크랙(Crack) 프론트(Front)보다 충분히 앞에 빔 소스를 위치시킴으로써 해당 구성을 최적화하지 않는다면, 결과적인 인장력(Tensile Force)과 결과적인 클리빙 동작에 대해 바람직하지 못한 수직적인 배향을 제공할 수 있다.
따라서, 도 30은 본 발명에 따른 클리빙 접근법의 선택적인 실시형태의 간략 화된 단면도를 나타내며, 여기서 냉각 플레이트(3000)는 열적 빔(3006)을 받는 기판(3004)의 표면(3002)에 인접하게 배치된다. 여기서, 냉각 플레이트는 헬륨 가스층에 의해 기판 표면으로부터 분리되어 있다. 냉각 플레이트(300)의 존재는 클리브 플레인의 일측 상에 존재하는 온도 경사의 가파름을 균형 잡도록 기능하고, 이로써 수직 방향에서 클리브 방향을 변경할 가능성이 있는 전단 응력으로 될 수 있는 응력의 경사가 거의 혹은 전혀 없어지게 된다. 이러한 전단 응력의 부족은 크랙이 기판의 소정 깊이로 연장하여 거친 표면과 고르지 않은 두께의 프리스탠딩 막을 유발할 가능성을 감소시킨다.
상술한 실시형태는 수직 방향에 있어서 비대칭적인 열적 경사의 존재를 회피하려는 것이지만, 본 발명에 따른 선택적인 접근법은 클리빙을 달성하기 위하여 이러한 열적 경사를 이용할 수 있다. 도 31은 그러한 선택적인 실시형태에 따른 클리빙 방법의 간략화된 단면도를 나타내며, 여기서 기판(3102)의 표면에 대한 열 에너지(여기서는 빔(3100)의 형태임)의 인가가 클리브 플레인(3106)에 전단 응력을 발생시킬 수직적인 온도 경사(3104)를 일으킨다. 이 경우, 이 전단 응력은 클리브 플레인에 걸쳐서 클리빙 동작을 발생시킬 수 있는 모드 Ⅱ(전단 모드) 응력 강도 계수와 결부된다. 그러한 소스로는, 예컨대 고속 열 공정 시스템이나 플래시램프(Flashlamp) 기반의 광소스가 있을 수 있다. 열적으로 도입된 응력은 열적 경사도의 변화에 비례하기 때문에, 비록 고속 열 아닐링(RTA) 시스템이 동작하지만, 플래시램프(Flashlamp) 기반 시스템의 ㎲ 내지 ㎳의 열 펄스 특성은 이로울 수 있다.
도 31에 나타낸 본 발명의 실시형태가 국부적으로 적용되는 열 에너지의 빔 을 이용하고 있지만, 이것이 필요한 것은 아니다. 본 발명의 다른 실시형태에 따르면, 제어된 클리빙을 발생시키는 클리브 플레인에 걸쳐서 전단력(Shear Force)과 수직적인 온도 경사를 도입하기 위하여 열 에너지를 기판의 표면에 전체적으로 적용할 수 있다.
나아가, 도 29 내지 31에 나타낸 본 발명의 실시형태가 제어된 클리빙 공정을 수행하는데 있어서 열 에너지를 이용하고 있으나, 이것이 본 발명에서 요구되지는 않는다. 선택적인 실시형태에서, 열 에너지 외의 에너지를 인가하여 클리브 플레인을 따른 클리빙을 발생시킬 수 있다.
예컨대, 레이저 쇼크 피닝(Laser Shock Peening)의 기술에 있어서, 강한 레이저 빔(예컨대, 지속시간이 1-20㎱이고 강도가 약 1GW/㎠나 그 이상)이 물질의 표면에 인가된다. 강한 레이저는 물질에 결합된 압축 충격파(Shock Wave)와 국부화된 플라즈마를 발생시키도록 표면 물질의 기화를 유발한다. 이 표면이 액체(거의 대부분 물)에 잠입되어 있다면, 물도 기화하여 압축 충격파 발생시키고 1 내지 3 GPa이나 그 이상의 피크 응력 강도로 고도로 격해진다(물에서 약 3~4배). 이와 같은 강한 충격파는 압축 응력파로서 벌크 물질을 통과하여 전달될 수 있으며, 이는 벌크 물질의 끝으로부터 반사될 때 거의 균일한 크기를 갖는 전단 응력파로 변한다.
도 32는 본 발명의 실시형태에 따라 제어된 클리빙 공정을 수행하기 위하여 레이저 쇼크 피닝을 사용하는 예의 간략화된 단면도를 나타낸다. 구체적으로는, 기판(3200)은 제 1 표면(3204)으로 수소나 다른 물질을 이온 주입함으로써 미리 형성된 표면 아래 클리브 플레인(3202)을 가진다. 이 제 1 표면은 예컨대 진공이나 정 전기력을 통해 척(3206) 상에 지지된다.
물(3208)의 막은 제 2 표면(3205) 상에 형성되고, 이후 레이저 빔의 형태인 에너지가 제 1 표면에 인가된다. 레이저에 의한 물의 가열은 국부 플라즈마(3209)를 유발하고, 이는 이후에 소정 두께의 기판을 통과하여 전파하는 충격파와 이에 대응하는 압축력(3210)을 발생시킨다.
척에 의해 지지된 기판의 전면에 도달할 때, 충격파는 전단 응력파(3212)로서 반사되어 돌아온다. 이 전단 응력파는 게터링 사이트와 결함 영역을 포함하는 클리브 플레인에 도달하며, 여기서 전단 응력은 제어된 클리빙 동작을 일으킨다.
레이저 쇼크 피닝은 과도 충격파를 생성하여 그것이 지나가는 동안 클리브 플레인과 상호 작용하는 전단 응력파를 발생시킨다. 선택적인 기술은 MHz 및 GHz 주파수 범위의 변조된 초음파나 연속파(CW)를 기판 내에서 발생시킬 수 있는 초음파 트랜스듀서를 사용하여 클리브 플레인을 따른 파괴 연장을 유발하는 것이다. 예를 들면, 이 트랜스듀서는 기판의 바닥 위에 배치될 수 있으며, 적당한 결합 매체를 통해 입사하는 초음파를 효과적으로 실리콘 물질에 결합할 수 있다. 최종적인 웨이브(Wave, 파)는 레이저 쇼크 피닝 기술에서 전단 및 압축파 모두가 발생된다는 점을 제외하고는 이 기술로 유발되는 가파른 과도 현상과 매우 유사하게 동작한다.
웨이브가 클리브 플레인의 평면을 지나가서 기판으로부터 반사할 때, 입사하는 전단파는 클리브 플레인과 직접적으로 상호 작용할 수 있다. 아니면, 기판으로부터의 반사 시에 입사 및 표면 반사파가 클리브 플레인의 부근에 정상파를 형성한다.
클리브 플레인 위의 막이 초음파의 1/4 주기(혹은 1/2 주기의 곱)만큼 진행한 거리와 같은 경우의 입사 초음파를 선택한다면, 진행하는 초음파의 압축 및 전단 성분이 클리브 플레인의 깊이에서 더해지게 되는 부가적인 구조적 간섭 효과가 존재할 것이다. 그 결과는 모든 전단 반주기에서 파괴 플레인을 연장할 수 있는 더 강한 압축/전단 정상파일 것이다. 대략 2.5㎞/s의 실리콘에서의 음속(vsi)과 막 두께(tfilm)을 정의하는 50 마이크론 클리브 플레인 깊이를 가정하면, 기본 초음파는 Fultrasound = vsi/4*tfilm = 12.5MHz이다. 다른 정상파들은 Fultrasound = (n + 1/2)*vsi/2*tfilm (n=0,1,2,...)만큼 주파수가 더 높다. 초음파 에너지는 선행하는 클리브 프론트의 부근 상에서 초점이 맞추어지거나 초점이 없을 수도 있다.
또 다른 실시형태에서, 상기 정상파 방법을 이용할 경우 더 높은 주파수를 사용하여 더욱 수직으로 국부화된 압축-전단-압축 정상파를 생성할 수 있다. 수직 방향에서의 더 큰 압력 경사는 파괴를 연장하기에 더욱 효과적으로 유리할 수 있다.
하나의 반주기에서, 인장 응력은 클리브 플레인의 위아래의 압축 응력 성분으로 크랙 연장을 유발하도록 클리브 플레인에서 최대화된다. 이는 제어된 수직 차원에서 크랙을 연장하는데 도움을 주는 경향이 있다. 다른 반주기 동안, 이 크랙은 크랙 연장을 정지시키는 압축 성분을 만나게 될 것이다. 결과적인 효과는 전단 정상파 성분의 중심 내에 플레인의 강한 가이딩(Guiding)이다.
저주파가 표면으로부터 멀어지면서 네트(Net) 전단력을 형성하는 반면에 고 주파가 표면을 향하여 네트 전단력을 형성하는 경우에, 주파수 변조를 수직 가이딩으로 사용할 수 있다. 원리적으로 이는 클리빙 공정 중에 수직으로 파괴를 가이드할 수 있다. 초음파 에너지는 선행하는 클리브 프론트의 부근 상에서 초점이 맞추어지거나 초점이 없을 수도 있다.
만약 클리브 플레인이 선택된 깊이(예컨대, 모서리나 주변 패턴 주입)에서 시작된다면, 이러한 고주파 초음파 정상파 가이딩은 주파수 제어에 의해 선택된 깊이를 따라 클리브를 연장할 수 있으며, 이로써 플레인을 선택된 깊이 내에서 연장하게 할 수 있다. 일단 클리브 플레인이 정상파에 의해 락(Lock)되는 경우에는 더 낮은 주입량(전파하는 영역 내에서는 전혀 없음)이 필요할 수 있다. 이러한 기술은 이후 더 나은 생산성과 비용 효율성을 가능하게 할 것이다. 물론, 초음파 에너지는 막 클리브 공정을 최적화하기 위하여 본 즉각적인 특허출원의 다른 부분에서 기술한 하나 이상의 클리빙 기술과 결합하여 채용될 수 있다.
상기 설명 중 일부는 본딩된 기판 구조의 클리빙에 관련되어 있지만, 본 발명에서 이것이 요구되는 것은 아니다. 선택적인 실시형태에서, 물질의 프리스탠딩 막은 단일 기판으로부터 클리브될 수 있다.
다음 개시를 위하여, "프리스탠딩 막"이나 "프리스탠딩 층"은 핸들이나 트랜스퍼 기판과 같은 지지 부재와 접촉하지 않고 그 구조적인 일체성(즉, 부스러지거나 깨어져 분리되지 않음)을 유지할 수 있는 물질의 막으로서 정의한다. 일반적으로, 매우 얇은 막(예컨대, 약 5 내지 10㎛보다 얇은 실리콘막)은 부서짐 없이는 핸들링할 수 없다. 통상적으로, 그러한 박막은 처음부터 박막을 형성하는데 필요할 수도 있는 지지 구조를 이용하여 조작된다. 더 두꺼운 막(즉, 20 내지 50㎛ 사이의 두께를 갖는 실리콘막)의 핸들링은 지지를 이용함으로써 용이하게 될 수 있으나, 그러한 지지가 필수적이지는 않다. 따라서 본 발명의 실시형태는 20㎛보다 큰 두께를 갖는 프리스탠딩 실리콘막의 제조에 관련된다.
다음으로, 본 방법은 도 13에 나타낸 바와 같이 도너 기판으로부터 소정 두께의 물질을 완전히 제거하기 위하여 도너 기판으로부터 소정 두께의 물질을 자유롭게 한다. 실시형태에 따라, 막을 박리하는 방법은 막의 두께와 영구적으로 혹은 일시적으로 지지 기판에 부착되지 않은 상태로 다루어지거나 가공되는 능력의 함수이다. 예컨대 에피택셜 템플릿 성장용으로 사용되는 매우 얇은 막의 경우, 일시적 혹은 영구적인 기판 상으로의 막의 트랜스퍼는 그 막을 손상시키지 않아야 한다. 약 50㎛를 초과하는 물질막 두께의 경우, 박은 예컨대 태양전지의 제조에 사용될 프리스탠딩 방식으로 다루어질 수 있다. 태양전지 어플리케이션용으로 약 3㎛ 내지 약 50㎛의 막을 위한 일시적인 지지 기판은 산출되는 광전지 장치를 최적화하기 위하여 박리된 실리콘 박막의 양측 모두를 액세스하여 가공하는데 유용할 것이다. 영구 기판 상으로 박리된 실리콘 박막을 영구 본딩하고 트랜스퍼하는 것은 막 처리 공정을 단순화하는데 도움이 될 수 있다. 표면 텍스쳐링, 표면 반사 특성을 최대화하는 것, 패시베이션(Passivation) 컨택트 형성 및 표면 도핑과 같은, 주입 공정 이전 혹은 이후의 도너 기판에 대한 사전 가공은 일시적인 지지 기판의 필요성을 제거하도록 이 표면에 대한 가공을 허용할 수 있다. 물론, 지지 기판과 제조 공정 흐름의 선택은 어플리케이션과 광전지 셀 구조에 따라 달라진다. 도 17에 예시된 광전지 셀 설계를 설명한다. 광전지 셀 구조는 상부 표면 영역과 뒤 표면 영역 상에 형성된 컨택트층들을 가진다. 나타낸 바와 같이, 특정한 실시형태에서 광은 유리 기판을 통과하여 진행할 수 있으며, 광 결합층을 이용하여 광 흡수층의 저부에 결합된다. 이와 같은 광 결합층의 예로는 실리콘 질화물이나 기타 적당한 굴절율과 두께를 갖는 물질이 포함될 수 있다.바람직하게는, 광 결합층의 두께는 1/4파 정합층을 제공한다. 광 결합층의 다른 예로는 최대 광 전송의 파장 범위를 확대함으로써 광 결합 효율을 개선하도록 적절한 두께와 굴절율을 갖는 다층의 절연 스택을 포함할 수 있다. 또한, 특정한 실시형태에서, 적절하게 텍스쳐링된 층을 이용하는 텍스쳐링이나 투명한 기판을 텍스쳐링하거나 그러한 조합과 같은 광 트래핑 구조는 광 흡수층에 대한 광 전송 효율을 개선하기 위해 사용 가능하다. 또한, 선택적인 실시형태에서, 광 흡수층의 저부 표면은 광 결합층이 될 수도 있는 적절한 층을 이용하여 패시베이트될 수 있다. 얇은 비정질 실리콘층은 표면 캐리어 재조합 속도를 제한하고 캐리어 수명을 최대화하기 위하여 우수한 패시베이션층으로서 동작할 수 있다. 막은 투명한 기판 상에 박리되고, 그 상부는 패시베이트, 텍스쳐링 및 흡수자와 접합에 대한 교대하는 컨택트로 컨택트된다(예컨대, 확산되는 쇼트키(Schottky) 금속, 혹은 도핑된 비정질 이미터가 가능함). 셀 효율을 최대화하기 위하여, 흡수자의 상부 표면은 흡수자 내에 광을 유지하기 위하여 텍스쳐링되고 고도로 반사적일 수 있다. 흡수자 컨택트는 앞이 서로 맞물린 컨택트를 이용하여 제작 가능하다. 저부 컨택트 도핑층을 사용하면, 이들은 셀을 통과하는 컨택트를 경유하여 접속될 수 있다. 이러한 컨택트는 흡수자의 상부측 상에 있을 것이기 때문 에, 이러한 구조는 광 수집 효율(광이 총 광 수집 영역 상에서 폐색되지 않는 광 수집 영역의 부분으로서 측정됨)을 최대화할 것이다.
바람직한 실시형태에서, 본 방법은 도 14에 도시한 바와 같이 투명한 핸들 기판으로부터 받침 기판을 제거한다. 바람직한 실시형태에서, 받침 기판과 핸들 기판 간의 접착은 일시적이고, 둘 중 하나의 기판을 손상하지 않고서 기계적인 힘으로 제거할 수 있다. 특정한 실시형태에서, 분리 공정은 핸들 기판으로부터 받침 기판을 분리하는데 사용할 수 있다. 특정한 실시형태에서, 받침 기판은 받침 기판 부재에 정전기식, 진공식 혹은 기계식 척 및/또는 부착 장치가 제공될 때 릴리스될 수도 있다. 물론, 다른 변형, 수정 및 대안이 있을 수 있다.
도 15를 참조하면, 본 방법은 소정 두께의 물질의 표면 상에서 광전지 장치를 형성한다. 이러한 장치는 집적된 반도체 장치와 광전지 장치를 포함할 수 있다. 이러한 장치는 증착, 식각, 주입, 광 마크크 공정, 잉크젯 프린팅, 스크린 프린팅, 이들의 어떠한 조합 및 그 유사한 것들을 이용하여 제작될 수 있다. 물론, 다른 변형, 수정 및 대안이 있을 수 있다.
또한, 특정한 실시형태에서, 본 방법은 증착 공정을 이용하여 트랜스퍼된 물질의 두께를 두껍게 할 수 있다. 특정한 실시형태에서, 본 방법은 고체 상태의 에피택셜 공정 및/또는 다른 형태의 증착 공정을 이용한다. 이 공정은 특정한 실시형태에 따라 적당한 단결정 실리콘이나 유사한 물질을 형성할 수 있다. 단순한 예로, 이 물질은 비정질 실리콘, 다결정질 실리콘, 게르마늄 및 실리콘 게르마늄 합금일 수 있다. 예컨대, 비정질 실리콘은 밑에 놓여 있는 트랜스퍼된 실리콘막을 템플릿 으로 이용하여 단결정 실리콘의 고체상 에피택셜 성장을 가능하게 하여 유리할 수 있다. 실리콘 물질의 증착률을 효과적으로 상승시킬 수 있는 또 다른 방법은 밑에 놓여 있는 트랜스퍼된 실리콘막을 템플릿으로 이용하여 단결정 실리콘을 제작하기 위하여 열적으로 처리될 수 있는 실리콘 나노입자들(유리하게는, 비정질 실리콘)로 표면을 스프레이하거나 코팅하는 것이다. 이는 후속의 공정 동안 제거될 액체를 이용하는 응용된 건조일 수 있다. 또한, 다결정질 실리콘과 기타 물질들은 레이저 아닐, 플래시 열 처리 등과 같은 적절한 처리를 이용하여 단결정 재성장을 가능하게 할 수 있다. 물론, 다른 변형, 수정 및 대안이 있을 수 있다.
특정한 실시형태에서, 본 방법은 광전지 영역을 형성하는 단계 및/또는 후화된 층을 형성하는 단계 중 어느 단계 이전에라도 클리브된 표면 영역을 부드럽게 하기 위한 식각 및/또는 증착 공정을 포함한다. 본 방법은 특정한 실시형태에 따라 수소 및 염화수소가 포함된 환경을 이용하여 클리브된 막의 열 처리를 포함하는 평탄화 공정을 이용할 수 있다. 선택적으로는, 식각액은 클리브된 막을 식각하고 필요하다면 소정량만큼 텍스쳐링하기 위한 화학 용액(예컨대, KOH, TMAH)일 수 있다. 식각 공정은 예컨대 약 300 내지 800 Angstrom의 수소 손상된 실리콘을 제거하는데 사용할 수 있다. 특정한 실시형태에서, 식각 공정은 버퍼형 산화물 식각 및/또는 다른 적절한 식각종(Etching Species)을 이용하여 나중에 스트립되는 수소 손상된 영역을 산화물로 변환하도록 산화 공정보다 선행할 수도 있다. 이러한 표면 처리에 따라, 캐리어 표면 재결합을 제어하기 위한 표면 패시베이션이 산화물, 실리콘 질화물, 혹은 실리콘 탄화막 형성, 얇은 비정질 실리콘막의 수소 첨가나 증착과 같은 일반적으로 알려진 하나 이상의 기술을 통해 이루어질 수 있다. 패시베이트된 표면의 품질은 표면 재결합 속도(Surface Recombination Velocity, SRV, 단위는 ㎝/s)를 이용하여 측정된다. 박막(5 내지 10 마이크론) 결정질 실리콘 셀의 셀 효율 하락이 1000㎝/s를 넘는 SRV 값에 대하여 표면 패시베이션에 의해 지배되는 반면에, 우수한 표면 패시베이션은 대략 10 내지 100㎝/s의 SRV 값을 가질 것이다. 박막 실리콘 셀의 셀 효율에 대한 SRV의 영향은 Brendel의 참고문헌 및 1997년 6월 30일부터 7월 4일까지 제14차 유럽 광전지 태양 에너지 컨퍼런스에서의 Brendel의 관련 논문 "A Novel Process for Ultra-Thin Monocrystalline Silicon Solar Cells on Glass"에 설명되어 있으며, 이는 여기에 참고로 편입되어 있다. 물론, 다른 변형, 수정 및 대안이 있을 수 있다.
바람직한 실시형태에서, 트랜스퍼된 물질은 비정질 실리콘층을 이용하여 후화된다. 바람직한 실시형태에서, 비정질 실리콘층은 결정화되거나 유사하게 된다. 특정한 실시형태에서, 비정질 실리콘층은 후화된 물질의 시트 형성을 유발하도록 열 처리를 나중에 거치는 나노입자(예컨대, 비정질 실리콘, 결정질 실리콘, 폴리실리콘, 혹은 이들의 조합)의 적용을 이용하여 증착된다. 선택적으로는, 비정질 실리콘층은 특정한 실시형태에 따라 저온에서 물리적인 증착 혹은 화학적인 증착(예컨대, 플라즈마 강화형)을 이용하여 형성될 수 있다. 바람직한 실시형태에서, 유리 물질 위에 놓여서 증착되어진 비정질 실리콘층은 이러한 실리콘층의 형성 도중에 500℃ 미만의 온도로 유지된다. 특정한 실시형태에서, 최종적인 막은 특정한 실시형태에 따라 단결정 및/또는 다결정질 구조일 수 있다. 바람직한 실시형태에서, 최 종적인 막은 단결정이고, 알맞은 전기적 특성을 가진다. 물론, 다른 변형, 수정 및 대안이 있을 수 있다.
실시형태에 따라, 후화된 물질에는 태양전지 구조를 형성하기 위해 불순물이 투입될 수 있다. 특정한 실시형태에서, 불순물은 이온 빔, 플라즈마 잠입 주입, 이온 샤워, 비질량 분리형 주입, 실질적으로 혹은 부분적으로 비질량 분리형 또는 종래의 주입 기술들을 이용하는 인시투 도핑형, 확산형 및/또는 주입형일 수 있다. 특정한 실시형태에 따라, 이들 태양전지 구조는 P형 및 N형 불순물에 대한 불순물 영역을 포함할 수 있다. 물론, 다른 변형, 수정 및 대안이 있을 수 있다.
특정한 실시형태에서, 본 방법은 또한 광전지 장치를 형성하기 위하여 후화된 층 위에 놓여 있는 다른 층을 형성할 수 있다. 특정한 실시형태에 따라, 이 다른 층은 완성된 태양전지 구조에 제공되는 광전지 장치를 강화하는데 사용될 수 있다. 선택적인 실시형태에서, 이 다른 층은 게르마늄, 실리콘 게르마늄, Ⅱ/Ⅳ족, Ⅲ/Ⅴ족, SiC, GaN, 이들의 어떠한 조합 및 다른 것들일 수 있다. 이 다른 층은 다른 광전지 장치에 결합될 수 있는 광전지 영역들의 다른 세트를 형성하는데 사용되어 전체적인 광전지 세기(Intensity)를 강화시킬 수 있다. 물론, 다른 변형, 수정 및 대안이 있을 수 있다.
실시형태에 따라, 본 방법 및 구조는 후화된 층 및/또는 레이어 트랜스퍼된 층과 후화된 층의 조합의 특정 두께로 형성될 수 있다. 특정한 실시형태에서, 후화된 층은 실리콘 물질을 이용하여 약 1㎛와 20㎛ 사이일 수 있다. 다른 실시형태에서, 후화된 층은 1 마이크론보다 작거나 20 마이크론보다 클 수 있다. 다른 실시형 태에서, 이 후화된 층은 약 50 마이크론보다 작을 수 있다. 물론, 다른 변형, 수정 및 대안이 있을 수 있다.
실시형태에 따라, 소정 두께의 물질은 후속으로 후화되는 제 1 트랜스퍼된 층을 이용하여 형성되거나, 추가적인 후화 공정이 필요없는 충분한 두께로 곧바로 트랜스퍼될 수 있다. 패시베이션 층, 컨택트층, 확산층, 텍스쳐링 및 기타 광 트랩핑 층, 그리고 광 반사 혹은 광 결합 층과 같은 다른 층들도 결합 공정 이전에 하부 기판이나 도너에 혹은 클리빙 공정 이후에 트랜스퍼된 막의 상부 위에 추가될 수 있다. 특정한 실시형태에서, 트랜스퍼된 층은 실리콘 물질을 이용하여 약 1㎛와 20㎛ 사이 범위의 두께를 가질 수 있다. 물론, 다른 변형, 수정 및 대안이 있을 수 있다.
도 16 내지 19는 본 발명의 실시형태에 따른 태양전지 구조의 간략도를 나타낸다. 이들 도면은 단지 예시일 뿐이고, 여기서 청구항의 범위를 부당하게 제한해서는 안된다. 당업자라면 많은 변형, 수정 및 대안을 인지할 것이다. 도 16에 나타낸 바와 같이, 제 1 컨택트층은 유리 기판과 반도체층의 제 1 표면 사이에 삽입되어 형성된다. 특정한 실시형태에서, 컨택트층은 ITO 등과 같은 투명한 도전성 물질과 같은 적당한 물질로 이루어질 수 있다. 다른 물질들도 사용 가능하다. 제 1 컨택트층은 보통 p-n 접합이나 다중 p-n 접합을 구성하는 태양전지 셀용의 제 1 전극 구조에 결합한다. 단순한 예로, 반도체층은 적당한 단결정 실리콘 및 다른 것들과 같은 물질을 포함할 수 있다. 제 2 컨택트층은 반도체층의 제 2 표면 위에 놓여서 형성된다. 제 2 컨택트층은 제 1 컨택트층에 평행한 방향으로 배열된다. 특정한 실 시형태에서, 제 2 컨택트층은 광전지 영역들 각각에 결합하는 복수의 전극들을 형성하도록 패터닝된다. 각 전극들은 특정한 실시형태에 따라 평행하게 및/또는 직렬로 구성될 수 있다. 물론, 다른 변형, 수정 및 대안이 있을 수 있다.
특정한 실시형태에서, 도 17의 간략도로 나타낸 바와 같이 태양전지의 효율을 증가시키기 위해 제 2 컨택트층과 반도체 기판 사이에 추가적인 접합들을 형성할 수 있다. 나타낸 바와 같이, 추가적인 접합들은 특정한 실시형태에 따라 소정 두께의 단결정 실리콘 물질 위에 놓여있는 후화된 층 위에 제공된다. 추가적인 접합들은 소정 두께의 단결정 실리콘에서 광전지 장치로부터 분리될 수 있다. 이러한 추가적인 접합들 각각은 소정 두께의 실리콘 물질에서 광전지 장치에 결합되고, 상호 평행하게 및/또는 직렬로 구성될 수 있다. 선택적으로는, 이러한 구조는 후화 공정 없이 직접적으로 트랜스퍼된 두께의 실리콘 물질을 이용하여 형성될 수 있다. 물론, 다른 변형, 수정 및 대안이 있을 수 있다.
도 18은 본 발명의 실시형태에 따른 태양전지 구조의 또 다른 예를 나타낸다. 나타낸 바와 같이, 유리 기판은 반도체층의 제 1 표면에 붙어있다. 제 1 컨택트 및 제 2 컨택트는 반도체층의 제 2 표면 위에 놓여서 형성된다. 제 1 컨택트 구조는 제 2 컨택트 구조에 거의 평행하게 구성된다. 나타낸 바와 같이, 적어도 광전지 장치 각각은 소정 두께의 단결정 실리콘 물질 위에 놓여있는 제 1 및 제 2 컨택트 구조에 결합된다. 선택적으로는, 도 19에 나타낸 바와 같이 태양전지의 효율을 증가시키기 위하여 반도체 기판과 컨택트 구조 사이에 형성될 수 있다. 물론, 다른 변형, 수정 및 대안이 있을 수 있다.
도 20은 본 발명의 실시형태에 따라 반사 표면 영역(2002)을 갖는 태양전지의 간략도이다. 나타낸 바와 같이, 태양전지가 제공된다. 태양전지는 반도체층의 제 1 표면에 부착되는 유리 기판을 포함한다. 복수의 컨택트 구조는 반도체층의 제 2 표면 위에 놓여서 형성된다. 나타낸 바와 같이, 각각의 광전지 장치는 소정 두께의 단결정 실리콘 물질 위에 놓여있는 컨택트 구조와 적어도 결합되어 있다. 광은 유리 기판과 반도체층의 광전지 장치를 통과하여 진행하며 전기 에너지로 변환된다. 나타낸 바와 같이, 반사 표면은 하나 이상의 광전지 장치를 추가적으로 활성화하여 전지 에너지로 변환하기 위한 광전지 영역을 통과하여 지나가는 어떠한 잔여 광이라도 반사하도록 제공된다. 반사 표면은 알루미늄, 은, 금 혹은 다른 적당한 반사 물질과 같은 물질을 이용하여 제공될 수 있다. 선택적으로는, 비도전성 반사기가 필요한 경우, 절연 스택 반사기를 단독으로 혹은 도전성 반사기와 조합하여 설계할 수 있다. 반사 표면은 광전지 장치에서 광의 다중 경로를 위한 수단을 제공하며, 태양전지의 효율을 증가시킨다. 물론, 다른 변형, 수정 및 대안이 있을 수 있다.
도 21은 본 발명의 실시형태에 따른 렌즈 영역(2102)을 갖는 태양전지의 간략도이다. 나타낸 바와 같이, 태양전지가 제공된다. 태양전지는 반도체층의 제 1 표면에 부착되는 유리 기판을 포함한다. 복수의 컨택트 구조는 반도체층의 제 2 표면 위에 놓여서 형성된다. 나타낸 바와 같이, 각 광전지 장치는 소정 두께의 단결정 실리콘 물질 위에 놓여있는 컨택트 구조와 적어도 결합되어 있다. 광은 유리 기판과 반도체층의 광전지 장치를 통과하여 진행하며 전기 에너지로 변환된다. 특정 한 실시형태에서, 광은 더욱 비스듬한 각도로 광의 방향을 잡고 태양전지의 수집 효율을 증가시키기 위하여 유리 기판에 결합된 광학 소자(2001)를 이용하여 방향 변경 및/또는 산발된다. 그러한 광학 소자의 예로는 프레넬 렌즈가 있을 수 있다. 프레넬 렌즈는 플라스틱 물질이나 유리 물질로 제작될 수 있다. 선택적으로는, 유리 기판은 광을 산발하거나 그 방향을 변경하며 프레넬 렌즈와 유사하게 동작하도록 수정될 수 있다. 광학 소자(2001)의 모양을 수정함으로써, 광 트래핑 동작은 실리콘 박막 내의 도파관 효과에 의해, 혹은 람베르시안 소스에 근사시켜서 박막 셀의 효율적인 두께를 증가시킴으로써 허용되는 총 내부 반사만큼 발생할 수 있다. 물론, 다른 변형, 수정 및 대안이 있을 수 있다.
도 22는 대부분 거의 정반사성의 광이 박막 광전지 셀을 향하여 비스듬한 각으로 향하도록 광학 소자가 제공되는 특정한 실시형태를 나타낸다. 이 도면은 단순한 예이며, 여기서 청구항의 범위를 부당하게 제한해서는 안된다. 당업자라면 다른 변형, 수정 및 대안을 인지할 것이다. 특정한 실시형태에서, 소정 두께의 박막은 도파관이 유리 기판 내에서 소정 각도로 영향을 미치는 광을 트래핑할 뿐만 아니라 필요한 범위의 광 파장에 대하여 박막 내에서의 전파를 지원할 수 있도록 선택된다. 따라서, 필요한 모든 파장(예컨대, 가장 큰 태양전력 스펙트럼 파장 분포를 구성하는 적외선 내지 자외선 부근)이 TE(Transverse Electric), TM(Transverse Magnetic) 및 조합 모드와 고차 모드가 전파가 허용되어야 하는 소정 두께의 박막 내에서 전파할 수 있도록 하는 것이 설계의 고려사항이다. 도파관이 이러한 설계 목표를 달성하기 위한 적당한 분산 커브는 허용 가능한 실리콘 두께의 범위를 만들 어서 도파관 클래딩(Cladding)으로 동작할 광 결합층을 선택할 것이다. 투명한 기판 내에서의 광 입사각은 또한 셀 입사각의 범위에 걸쳐서 시스템의 정확한 동작을 위한 설계 고려사항일 것이다. 일단 박막 내에 결합되면, 박막 내에서 캐리어를 생성함으로써 복사 흡수와 전기로의 변환으로 인하여 전파가 고도로 감쇠할 것이다. 종방향 P-N 접합과 일치하는 광의 종방향 전파는 광 변환 효율을 최대화하는데 도움을 줄 것이다. 결과적인 전력은 컨택트 1 및 2에 의해 수집될 것이다. 또한, 이 구조는 박막 태양전지의 활성 영역 내에서 결합된 광 에너지를 낮출 수 있는 저 반사에 도움을 줄 수 있는 광 결합층을 가능하게 한다. 특정한 실시형태에서, 도파관은 다중 모드 혹은 단일 모드로 동작 가능할 수 있다. 부가적으로는, 특정한 실시형태에 따라 입사하는 광의 내부 반사에 대한 굴절율의 차이를 유발하도록 내부 물질을 이용하여 파장을 제작할 수 있다. 바람직한 실시형태에서, 실리콘 게르마늄의 얇은 층은 하나 이상의 광전지 영역들 중 한 영역(예컨대, 중심 영역)에 대한 광 가둠을 개선하고 더 나아가서는 최적화하도록 실리콘 구조 내에 삽입될 수 있다. 물론, 다른 변형, 수정 및 대안이 있을 수 있다.
도 23 내지 26은 본 발명의 실시형태에 따른 태양전지를 형성하기 위한 공정 흐름을 나타낸다. 나타낸 바와 같이, 이 공정 흐름은 소정 두께의 막을 형성하기 위한 3가지 주요한 에너지 범위에 의해 각각 작동할 수 있다. 도 23 내지 24는 주입 후 트랜스퍼된 막의 저에너지 성장 템플릿의 사용에 관련되어 있다. 도 23은 해당 공정 흐름이 레이어 도핑, 패시베이션 및 상호 접속 공정을 위하여 태양전지의 뒤측이 될 수 있는 것에 대한 액세스를 가질 수 있도록 하는 일시적인 릴리스 가능 한 기판의 사용을 나타낸다. 일시적인 릴리스 가능한 기판은 화학적으로 릴리스 가능한 기판, 예컨대 열적으로, 기계적으로 혹은 화학적으로 릴리스 가능한 테입이나 단단한 기판 등의 화학적으로 릴리스 가능한 기판, 진공 척, 혹은 정전기 척과 같은 수많은 방법을 이용하여 구현될 수 있다. 도 24는 더 단순하고 직접적인 트랜스퍼 및 성장 공정 흐름이지만, 태양전지 성능과 그 광 변환 효율을 최적화하기 위한 뒤측에 대한 액세스를 덜 가질 것이다. 물론, 다른 변형, 수정 및 대안이 있을 수 있다.
도 25 및 26은 주입 공정을 이용하여 형성된 1㎛ 내지 50㎛에 대한 직접적인 결합과 일시적인 기판을 이용하는 공정 흐름을 나타낸다. 도 23 및 24에 비하여 이들 공정 흐름의 주요한 차이점은 성장 혹은 후화 공정의 부재이다. 도 25는 해당 공정 흐름이 레이어 도핑, 패시베이션 및 상호 접속 공정을 위한 태양전지의 뒤측이 되는 것에 대한 액세스를 갖도록 하는 일시적인 릴리스 가능한 기판의 사용을 나타낸다. 일시적인 릴리스 가능한 기판은 열적으로, 기계적으로 혹은 화학적으로 릴리스 가능한 테입이나 단단한 기판과 같은 화학적으로 릴리스 가능한 기판, 진공 척, 혹은 정전기 척 등 수많은 방법을 이용하여 구현될 수 있다. 도 25a는 비정질 실리콘 컨택트와 이미터 구조를 이용하여 도 23에서 기술한 공정 흐름의 특정한 예를 나타낸다. 이러한 비정질(a-Si) 박막 표면층은 흡수자 패시베이션을 최적화하고 더 나은 컨택트를 가능하게 하도록 나타내어져 있다. 도 25의 박막 Si 공정은 일시적 기판을 이용하기 때문에, 뒤측 액세스는 클리빙 공정 이후의 a-Si 컨택트 형성과 패시베이션을 위하여 가능하다. 이 예에 따르면, P 내지 P- 도핑을 갖는 릴리스 된 실리콘막은 우수한 표면 패시베이션을 허용하면서도 터널링을 통한 전류 흐름을 가능하게 할 수 있는 매우 얇은 비정질 진성층(Intrinsic Layer, 보통 10 내지 30 Angstroms)으로 증착된다. 이후 a-Si 층은 뒤측 컨택트로서 기능하도록 P+ 도핑된다. 이후 이 층은 최종 기판 상에 결합된다. 특정한 실시형태에 따라 백 컨택팅이 나타낸 바와 같이 접촉되거나, 연속적인 투명한 혹은 불투명한 도전성 막이 될 수 있다. 전면측은 이후 매우 얇은 진성 a-Si 막과 N+ 도핑된 a-Si의 이미터로 패시베이트되며, 그리고 나서 셀에 대한 제 2 컨택트로서 기능한다. 이 셀은 고효율 셀로서 훌륭하게 동작할 것이다. a-Si로 이루어진 직렬 셀이 효율을 더욱 강화하도록 이 구조 위에 증착될 수도 있다. 물론, 특정한 실시형태에 따라 도핑이나 이미터 표면의 선택은 반대로 될 수 있다. 도 23은 더 간단하고 직접적인 트랜스퍼 공정 흐름이지만, 뒤측 액세스는 영구 기판에 대한 결합 공정 이전에만 허용될 것이다. 따라서, 태양전지 성능과 그 광 변환 효율을 최적화하기 위한 뒤측에 대한 액세스의 기회는 더 적다. 일시적 기판 상에서보다 최종 기판 상에서 이루어지는 고온 셀 처리 공정이 필요하다면 더욱 고가이고 고온 가능한 최종 기판이 요구될 수 있기 때문에, 공정 흐름의 선택은 고온 및 저온 최종 기판 사이의 비용차에 의해 영향을 받을 수도 있다. 물론, 다른 변형, 수정 및 대안이 있을 수 있다.
도 27은 프리스탠딩 기판으로서 처리될 수 있는 두꺼운 실리콘막을 이용하는 공정 흐름을 나타낸다. 두께가 약 50㎛ 내지 250㎛인 이 후막은 상술한 제어된 클리빙 기술 중 하나 이상을 이용함으로써 순수하게 열적인 공정(등온일 수 있음)을 이용하여 박리될 수 있다. 박리는 프리스탠딩 기판으로서 직접적으로, 혹은 일시적 인 릴리스 가능한 기판에 트랜스퍼되고 최종적으로 이 일시적 기판에 부착된 층을 가공하기 위해 릴리스되어 수행될 수 있다. 일시적인 릴리스 가능한 기판은 예컨대 열적으로, 기계적으로 혹은 화학적으로 릴리스 가능한 테입이나 단단한 기판과 같은 화학적으로 릴리스 가능한 기판, 진공 척, 혹은 정전기 척과 같은 수많은 방법을 이용하여 구현될 수 있다. 물론, 다른 변형, 수정 및 대안이 있을 수 있다.
릴리스 가능한 기판의 본딩이나 배치를 포함하지 않는 상술한 예에서, 그렇지 않으면 바람직하지 못한 클리브 플레인 브랜칭, 막 파괴나 방향 변경을 유발할 수 있는 전단 응력을 가할 수 있는 막의 원형으로부터의 굴곡을 방지하기 위해, 클리빙 공정 동안 적당한 경도의 플레이트를 사용할 수 있다. 이 플레이트는 접착성이나 다른 유형의 부착을 필요로 하지 않고서 막과 접촉할 수 있다. 선택적으로는, 이 플레이트는 클리브되는 막 두께의 부분으로서 정의되는 바와 같이 막에 가까이 인접할 수 있다. 따라서, 이 막은 클리빙 공정을 통해 최종적으로 그 원형으로 남도록 포함될 것이다.
클리빙 공정이 레이저 빔을 이용하는 열적인 빔 공정을 포함한다면, 예컨대 전면 표면 액세스가 필요하다. 이 경우, 플레이트는 투명한 물질(석영, 사파이어 등)로 제작될 수 있다. 선택적으로는, 이 플레이트는 정전기 혹은 진공 능력을 가지고 있다면 클리브된 막에 대한 캐리어로서 동작할 수 있는 표면이 될 수도 있다.
도 23 내지 27ㅇ에 구체적으로 나타낸 사항들을 포함하여 본 발명에 따른 방법이나 공정의 어떠한 실시형태도 연속적인 방식으로 수행될 수 있다. 구체적으로는, 한개 혹은 일군의 벌크 잉곳이나 불레의 형태인 하나 이상의 재이용 가능한 기 판은 프리스탠딩 혹은 본딩된 물질을 생성하기 위하여 주입, 결합(필요한 경우) 및 클리빙 공정의 반복되는 사이클을 거칠 수 있다. 본 발명의 실시형태에 따른 방법과 공정이 이렇게 연속적인 방식으로 수행되는 경우, 결과적인 고도의 작업량은 태양 모듈을 제작하는 비용을 상당히 절감할 수 있다. 동일하게 수행하기에 적합한 장치들의 개시를 포함하는 이러한 연속적인 공정에 대한 추가적인 논의는 함께 계류중이고 모든 목적을 위해 여기에 전부 참고로 편입된 2007년 4월 5일자의 미국 비예비 특허출원 제11/784,524호에서 찾을 수 있다.
상술한 내용은 특정한 실시형태들의 완전한 설명이지만, 다양한 변형, 수정, 대안 및 등가물을 사용할 수 있다. 상술한 바는 선택된 순서의 공정을 이용하여 기술되어 있으나, 다른 것들은 물론이고 기술한 공정의 어떠한 부분들의 어떠한 조합도 사용 가능하다. 부가적으로는, 실시형태에 따라 특정한 단계들을 결합 및/또는 제거할 수 있다. 나아가, 수소 입자는 선택적인 실시형태에 따른 변경된 용량 및/또는 클리빙 특성을 갖는 클리브 플레인의 형성을 위하여 헬륨 및 수소 이온의 공동 주입을 이용하여 대체될 수 있다. 특정한 실시형태에서, 받침 기판은 핸들과 도너를 포함하는 기판 각각에 적용될 수 있다. 선택적인 실시형태에서, 투명한 물질의 표면이나 다른 영역 상에 코딩을 제공할 수도 있다. 그러므로, 상술한 개시 및 설명은 첨부된 청구항에 의해 정의되는 본 발명의 범위를 제한하는 것으로 취급되어서는 안된다.

Claims (70)

  1. 대형 주입 공정을 이용하여 광전지 셀을 제조하기 위한 방법에 있어서,
    표면 영역, 클리브 영역 및 상기 표면 영역과 상기 클리브 영역 사이에서 제거될 제 1 두께의 물질을 갖는 타일 형태의 반도체 기판을 제공하는 단계;
    상기 표면 영역을 통해, 고에너지 주입 공정을 이용하여 상기 클리브 영역의 부근 내에서 실질적으로 양자 모드로 동작 가능한 복수의 수소 입자를 도입하는 단계;
    상기 타일 형태의 반도체 기판의 상기 표면 영역을, 제 1 표면 영역과 제 2 표면 영역을 구비하는 기판의 상기 제 1 표면 영역에 결합하는 단계;
    상기 타일 형태의 반도체 기판으로부터 상기 제 1 두께의 물질을 제거하기 위해 상기 반도체 기판을 클리빙하는 단계; 및
    상기 기판 위에 존재하는 상기 타일 형태에 의해 특징지어지는 적어도 제 1 두께의 물질로부터 태양전지를 형성하는 단계를 포함하는 것을 특징으로 하는 광전지 셀 제조 방법.
  2. 제 1 항에 있어서,
    상기 표면 영역을 상기 제 1 표면 영역에 결합하기 이전에 상기 표면 영역과 상기 제 1 표면 영역을 플라즈마 활성화하는 단계를 더 포함하는 것을 특징으로 하는 광전지 셀 제조 방법.
  3. 제 1 항에 있어서,
    상기 결합은 상기 표면 영역과 상기 제 1 표면 영역 간의 광 결합 물질을 구비하는 것을 특징으로 하는 광전지 셀 제조 방법.
  4. 제 1 항에 있어서,
    상기 광 결합 물질은 실리콘 질화물, 탄화 규소, 주석 산화물, 인듐 주석 산화물, 혹은 티타늄 이산화물을 포함하는 것을 특징으로 하는 광전지 셀 제조 방법.
  5. 제 1 항에 있어서,
    상기 광 결합 물질은 절연 스택을 포함하는 것을 특징으로 하는 광전지 셀 제조 방법.
  6. 제 1 항에 있어서,
    상기 제 1 두께의 물질 위에 존재하는 고체상 에피택셜 공정을 포함하는 제 2 두께의 반도체 물질을 형성하는 단계를 더 포함하는 것을 특징으로 하는 광전지 셀 제조 방법.
  7. 제 1 항에 있어서,
    상기 제 1 두께의 물질 위에 존재하는 비정질 실리콘층을 형성하는 단계를 포함하는 제 2 두께의 반도체 물질을 형성하는 단계를 더 포함하는 것을 특징으로 하는 광전지 셀 제조 방법.
  8. 제 7 항에 있어서,
    상기 비정질 실리콘층을 결정화하는 단계를 더 포함하는 것을 특징으로 하는 광전지 셀 제조 방법.
  9. 제 1 항에 있어서,
    상기 제 1 두께의 물질 위에 존재하는 에피택셜 성장 공정을 포함하는 제 2 두께의 반도체 물질을 형성하는 단계를 더 포함하는 것을 특징으로 하는 광전지 셀 제조 방법.
  10. 제 9 항에 있어서,
    상기 에피택셜 성장 공정은 핫와이어(Hot-wire) CVD, 플라즈마 강화 CVD, 이온 빔 지원 증착 및 열적 CVD 에피택셜 성장으로부터 선택되는 것을 특징으로 하는 광전지 셀 제조 방법.
  11. 제 1 항에 있어서,
    상기 제 1 두께의 반도체 물질은 단결정 실리콘 물질을 포함하는 것을 특징으로 하는 광전지 셀 제조 방법.
  12. 제 1 항에 있어서,
    상기 제 1 두께의 반도체 물질은 다결정질 실리콘 물질을 포함하는 것을 특징으로 하는 광전지 셀 제조 방법.
  13. 제 1 항에 있어서,
    상기 기판은 유리 기판이나 석영 기판을 포함하는 것을 특징으로 하는 광전지 셀 제조 방법.
  14. 제 1 항에 있어서,
    상기 기판은 주석 산화물과 인듐 주석 산화물을 포함하는 도전성 물질을 포함하는 것을 특징으로 하는 광전지 셀 제조 방법.
  15. 제 1 항에 있어서,
    상기 제 1 두께의 물질은 제 1 전극과 제 2 전극을 구비하는 하나 이상의 광전지 영역을 포함하는 것을 특징으로 하는 광전지 셀 제조 방법.
  16. 제 1 항에 있어서,
    상기 클리빙하는 단계는 제어된 클리빙 공정을 포함하는 것을 특징으로 하는 광전지 셀 제조 방법.
  17. 제 1 항에 있어서,
    상기 클리빙하는 단계는 열적 클리빙 공정을 포함하는 것을 특징으로 하는 광전지 셀 제조 방법.
  18. 제 1 항에 있어서,
    상기 타일 형태의 반도체 기판이 약 200 내지 850℃ 혹은 300 내지 600℃ 사이의 온도에 있는 동안에 수소 입자 도입이 이루어지는 것을 특징으로 하는 광전지 셀 제조 방법.
  19. 제 1 항에 있어서,
    상기 클리빙하는 단계는 상기 타일 형태의 반도체 기판의 잔존하는 일부로부터 상기 제 1 두께의 물질을 자유롭게 하도록 개시 공정(Initiation Process) 및 전파 공정(Propagation Process)을 포함하는 것을 특징으로 하는 광전지 셀 제조 방법.
  20. 제 1 항에 있어서,
    상기 표면 영역은 실질적으로 상기 타일 형태의 반도체 기판의 겉면인 것을 특징으로 하는 광전지 셀 제조 방법.
  21. 제 1 항에 있어서,
    상기 표면 영역은 위에 놓여있는 마스크층(Masking Layer)에 의해 특징지어지는 것을 특징으로 하는 광전지 셀 제조 방법.
  22. 제 21 항에 있어서,
    상기 마스크층은 상기 표면 영역의 부근에 존재하는 것을 특징으로 하는 광전지 셀 제조 방법.
  23. 제 1 항에 있어서,
    상기 수소 입자는 H+ 모드로 제공되는 것을 특징으로 하는 광전지 셀 제조 방법.
  24. 제 1 항에 있어서,
    상기 수소 입자는 H2+ 모드 및/또는 H3+ 모드로 제공되는 것을 특징으로 하는 광전지 셀 제조 방법.
  25. 제 1 항에 있어서,
    상기 고에너지 주입 공정은 약 300keV 내지 2.1MeV 범위의 에너지에서 실질적으로 비질량 선택형 H+를 사용하는 것을 특징으로 하는 광전지 셀 제조 방법.
  26. 제 25 항에 있어서,
    상기 고에너지의 실질적으로 비질량 선택형 주입 공정은 약 3㎛ 내지 약 50㎛ 범위에 적합한 상기 제 1 두께의 실리콘 물질을 제공하는 것을 특징으로 하는 광전지 셀 제조 방법.
  27. 제 1 항에 있어서,
    상기 고에너지 주입 공정은 약 2.1MeV 내지 5MeV 범위의 에너지에서 H+를 사용하는 것을 특징으로 하는 광전지 셀 제조 방법.
  28. 제 27 항에 있어서,
    상기 고에너지 주입 공정은 약 50㎛ 내지 약 220㎛ 범위의 상기 제 1 두께의 실리콘 물질을 제공하는 것을 특징으로 하는 광전지 셀 제조 방법.
  29. 제 27 항에 있어서,
    상기 제 1 두께의 반도체 물질은 핸들러(Handler) 기판으로부터 자유롭게 제공되는 것을 특징으로 하는 광전지 셀 제조 방법.
  30. 제 1 항에 있어서,
    상기 타일 형태의 반도체 기판은 오염물의 공동 주입을 방지하기 위해 차단층으로서 동작하는 상부 절연층을 구비하는 것을 특징으로 하는 광전지 셀 제조 방법.
  31. 제 30 항에 있어서,
    상기 상부 절연층은 실리콘 이산화물인 것을 특징으로 하는 광전지 셀 제조 방법.
  32. 제 30 항에 있어서,
    상기 상부 절연층은 상기 주입 공정 이후에 제거되는 것을 특징으로 하는 광전지 셀 제조 방법.
  33. 제 30 항에 있어서,
    상기 상부 절연층은 상기 주입 공정 이후에 제거되지 않는 것을 특징으로 하는 광전지 셀 제조 방법.
  34. 제 1 항에 있어서,
    상기 제 1 두께의 물질의 상기 표면 영역은 상기 제 1 두께의 물질의 뒤측 표면 영역을 노출하며 받침 기판에 부착되어 있는 것을 특징으로 하는 광전지 셀 제조 방법.
  35. 제 34 항에 있어서,
    상기 받침 기판은 상기 제 1 두께의 물질에 일시적으로 부착되는 것을 특징으로 하는 광전지 셀 제조 방법.
  36. 제 35 항에 있어서,
    상기 받침 기판은 진공 혹은 정전기 수단을 이용하여 상기 제 1 두께의 물질에 일시적으로 부착되는 것을 특징으로 하는 광전지 셀 제조 방법.
  37. 제 35 항에 있어서,
    상기 받침 기판은 릴리스 가능한 접착제를 이용하여 상기 제 1 두께의 물질에 일시적으로 부착되는 것을 특징으로 하는 광전지 셀 제조 방법.
  38. 제 34 항에 있어서,
    상기 받침 기판은 상기 제 1 두께의 물질에 영구적으로 부착되는 것을 특징으로 하는 광전지 셀 제조 방법.
  39. 태양전지를 제조하는 방법에 있어서,
    주 표면에 수직인 격자 배향(Orientation)과 수소 주입에 의하여 표면 아래(Subsurface) 클리브 플레인(Cleave Plane)에 형성된 복수의 게터링 사이 트(Gettering Site) 혹은 결함 영역을 갖는 반도체 기판을 제공하는 단계; 및
    상기 클리브 플레인에서 파괴 응력(Fracture Stress)을 제공하고 프리스탠딩(Free Standing) 막을 릴리스(Release)하기 위하여 제어된 클리빙 공정을 수행하기 위하여 빔(Beam)으로부터의 에너지를 인가하는 단계를 포함하는 것을 특징으로 하는 태양전지 제조 방법.
  40. 제 39 항에 있어서,
    주입되는 상기 반도체 기판 표면에 수직인 격자의 방향(Direction)은 <100>인 것을 특징으로 하는 태양전지 제조 방법.
  41. 제 40 항에 있어서,
    상기 제어된 클리빙 공정은, 만약 주입되는 상기 반도체 기판 표면에 수직인 상기 격자의 방향이 <110>이라면, 감소된 클리브 실패 가능성으로 이루어지는 것을 특징으로 하는 태양전지 제조 방법.
  42. 제 39 항에 있어서,
    주입되는 상기 반도체 기판 표면에 수직인 격자의 방향은 <110>인 것을 특징으로 하는 태양전지 제조 방법.
  43. 제 42 항에 있어서,
    주입되는 상기 반도체 기판 표면에 수직인 상기 격자의 방향이 <100>이라면, 상기 복수의 게터링 사이트나 결함 영역을 형성하기 위해 주입된 수소의 낮은 주입량이 요구되는 것을 특징으로 하는 태양전지 제조 방법.
  44. 제 39 항에 있어서,
    상기 반도체 기판에 인가되는 이온 빔으로부터의 가열은 파괴 응력을 제공하는 것을 특징으로 하는 태양전지 제조 방법.
  45. 제 44 항에 있어서,
    상기 이온 빔은 그 주입이 상기 게터링 사이트나 결함 영역을 형성하는 수소를 포함하는 것을 특징으로 하는 태양전지 제조 방법.
  46. 제 39 항에 있어서,
    상기 반도체 기판에 상기 파괴 응력을 제공하기 위하여 열 에너지의 빔이 인가되는 것을 특징으로 하는 태양전지 제조 방법.
  47. 제 46 항에 있어서,
    상기 열 에너지의 빔은 레어지 빔을 포함하는 것을 특징으로 하는 태양전지 제조 방법.
  48. 제 46 항에 있어서,
    상기 열 에너지의 빔으로부터의 가열은 상기 파괴 응력을 제공하는 상기 클리브 플레인의 방향으로 열적 경사(Thermal Gradient)를 형성하는 것을 특징으로 하는 태양전지 제조 방법.
  49. 제 48 항에 있어서,
    상기 열적 빔은 상기 클리브 플레인을 따르는 방향으로 스캔되는 것을 특징으로 하는 태양전지 제조 방법.
  50. 제 48 항에 있어서,
    상기 클리브 플레인에 수직인 방향의 열적 경사에 있어서 비대칭성을 최소화하기 위해 냉각 플레이트를 적용하는 단계를 더 포함하는 것을 특징으로 하는 태양전지 제조 방법.
  51. 제 46 항에 있어서,
    상기 열 에너지의 빔으로부터의 가열은 상기 클리브 플레인에 수직인 방향으로 대응하는 전단력(Shear Force)과 열적 경사를 형성하며,
    상기 전단력은 상기 파괴 응력을 제공하는 것을 특징으로 하는 태양전지 제조 방법.
  52. 제 46 항에 있어서,
    상기 열적 빔은 액체층을 함유한 상기 반도체 기판의 표면에 인가되어, 열적 빔의 충격에 의한 플라즈마의 발생으로부터 도출되는 충격파가 물에 의해 한정되고, 상기 신장 스트레인을 제공하도록 상기 클리브 플레인으로 방향이 잡히는 것을 특징으로 하는 태양전지 제조 방법.
  53. 제 52 항에 있어서,
    상기 액체층은 물을 포함하는 것을 특징으로 하는 태양전지 제조 방법.
  54. 제 52 항에 있어서,
    상기 표면은 상기 제 2 기판의 표면을 포함하는 것을 특징으로 하는 태양전지 제조 방법.
  55. 태양전지를 제조하는 방법에 있어서,
    표면 아래 클리브 플레인에서 형성된 복수의 게터링 사이트나 결함 영역을 갖는 반도체 기판을 제공하는 단계; 및
    상기 클리브 플레인을 따른 방향으로 파괴 응력을 제공하고 프리스탠딩 막을 릴리스하기 위하여 제어된 클리빙 공정을 수행하도록 열적 빔으로부터 상기 반도체 기판에 에너지를 인가하는 단계를 포함하는 것을 특징으로 하는 태양전지 제조 방법.
  56. 제 55 항에 있어서,
    상기 클리브 플레인을 따라서 클리브 프론트를 연장하는 방향으로 상기 열적 빔을 스캐닝하는 단계를 더 포함하는 것을 특징으로 하는 태양전지 제조 방법.
  57. 태양전지를 제조하는 방법에 있어서,
    표면 아래 클리브 플레인에 형성된 복수의 게터링 사이트나 결함 영역을 갖는 반도체 기판을 제공하는 단계; 및
    상기 클리브 플레인에 수직인 방향으로 열적 경사와 전단력을 제공하고 프리스탠딩 막을 릴리스하기 위하여 제어된 클리빙 공정을 수행하도록 열적 빔으로부터 상기 반도체 기판에 에너지를 인가하는 단계를 포함하는 것을 특징으로 하는 태양전지 제조 방법.
  58. 태양전지를 제조하는 방법에 있어서,
    프리스탠딩 막을 릴리스하기 위하여 제어된 클리빙 공정이 수행되도록, 복수의 게터링 사이트나 결함 영역을 갖는 표면 아래 클리브 플레인을 형성하도록 반도체 기판에 이온 빔을 인가하는 단계를 포함하는 것을 특징으로 하는 방법.
  59. 태양전지를 제조하는 방법에 있어서,
    물의 표면층과 표면 아래 클리브 플레인에 형성된 복수의 게터링 사이트나 결함 영역을 갖는 반도체 기판을 제공하는 단계; 및
    플라즈마로부터의 충격파(Shock Wave)가 프리스탠딩 막을 릴리스하기 위해 제어된 클리빙 공정을 수행하도록 상기 클리브 플레인에서 파괴 응력을 제공하기 위하여, 상기 물 층에 의해 한정된 플라즈마를 형성하기 위하도록 상기 반도체 기판에 레이저 에너지를 인가하는 단계를 포함하는 것을 특징으로 하는 방법.
  60. 반도체 물질의 불레나 벌크 잉곳의 형태를 갖는 재이용 가능한 도너 기판을 구비하는 어셈블리에 있어서,
    상기 재이용 가능한 도너 기판은 표면 및 복수의 표면 아래 게터링 사이트나 결함 영역을 가지고,
    상기 재이용 가능한 도너 기판의 상기 표면은 최종 기판에 결합되어 있는 것을 특징으로 하는 어셈블리.
  61. 제 60 항에 있어서,
    공통의 최종 기판에 결합된 복수의 재이용 가능한 도너 기판을 구비하는 것을 특징으로 하는 어셈블리.
  62. 제 60 항에 있어서,
    상기 최종 기판은 입사광에 투명한 것을 특징으로 하는 어셈블리.
  63. 제 60 항에 있어서,
    상기 최종 기판은 입사광에 투명한 것을 특징으로 하는 어셈블리.
  64. 제 60 항에 있어서,
    상기 표면에 수직인 상기 반도체 물질의 격자의 방향은 <100>인 것을 특징으로 하는 어셈블리.
  65. 제 60 항에 있어서,
    상기 표면에 수직인 상기 반도체 물질의 격자의 방향은 <110>인 것을 특징으로 하는 어셈블리.
  66. 반도체 물질의 불레나 벌크 잉곳의 형태를 갖는 재이용 가능한 도너 기판의 표면과 진공으로 통하는 이온 소스를 구비한 시스템에 있어서,
    상기 이온 소스는 복수의 표면 아래 게터링 사이트나 결함 영역을 형성하기 위해 상기 표면으로 수소 이온을 주입하도록 구성되어 있는 것을 특징으로 하는 시스템.
  67. 제 66 항에 있어서,
    상기 표면에 수직인 상기 벌크 반도체 물질의 격자의 방향은 <100>인 것을 특징으로 하는 시스템.
  68. 제 66 항에 있어서,
    상기 표면에 수직인 상기 벌크 반도체 물질의 격자의 방향은 <110>인 것을 특징으로 하는 시스템.
  69. 제 66 항에 있어서,
    상기 표면 위에 놓여있고, 상기 이온 소스로부터의 어떠한 오염물도 차단하도록 구성되어 있는 차단층을 더 구비하는 것을 특징으로 하는 시스템.
  70. 제 66 항에 있어서,
    상기 표면과 접촉하고, 상기 주입에 의해 상기 벌크 반도체 물질로부터 릴리스된 프리스탠딩 층을 한정하도록 구성되어 있는 단단한 플레이트를 더 구비하는 것을 특징으로 하는 시스템.
KR1020087031257A 2006-09-08 2007-09-10 후층 트랜스퍼 공정을 이용하여 태양전지를 제조하는 방법 및 구조 KR20090025257A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US82509506P 2006-09-08 2006-09-08
US60/825,095 2006-09-08
US11/852,088 2007-09-07
US11/852,088 US7811900B2 (en) 2006-09-08 2007-09-07 Method and structure for fabricating solar cells using a thick layer transfer process

Publications (1)

Publication Number Publication Date
KR20090025257A true KR20090025257A (ko) 2009-03-10

Family

ID=39589156

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087031257A KR20090025257A (ko) 2006-09-08 2007-09-10 후층 트랜스퍼 공정을 이용하여 태양전지를 제조하는 방법 및 구조

Country Status (6)

Country Link
US (3) US7811900B2 (ko)
EP (1) EP2082434A2 (ko)
JP (1) JP2010503239A (ko)
KR (1) KR20090025257A (ko)
CN (1) CN101473446B (ko)
WO (1) WO2008082723A2 (ko)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100967903B1 (ko) * 2008-12-29 2010-07-06 한국과학기술원 적층형 태양전지 및 상기 태양전지의 제조방법
WO2011106204A2 (en) * 2010-02-26 2011-09-01 International Business Machines Corporation Single-junction photovoltaic cell
WO2011143449A2 (en) * 2010-05-12 2011-11-17 Applied Materials, Inc. Method of manufacturing crystalline silicon solar cells using epitaxial deposition
WO2012149514A3 (en) * 2011-04-29 2013-01-17 Amberwave, Inc. Thin film solder bond
US8703521B2 (en) 2009-06-09 2014-04-22 International Business Machines Corporation Multijunction photovoltaic cell fabrication
US8802477B2 (en) 2009-06-09 2014-08-12 International Business Machines Corporation Heterojunction III-V photovoltaic cell fabrication
WO2014171649A1 (ko) * 2013-04-18 2014-10-23 삼성테크윈 주식회사 웨이퍼의 시닝 방법 및 장치

Families Citing this family (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8835282B2 (en) * 1997-05-12 2014-09-16 Silicon Genesis Corporation Controlled process and resulting device
US8159825B1 (en) 2006-08-25 2012-04-17 Hypres Inc. Method for fabrication of electrical contacts to superconducting circuits
US8993410B2 (en) 2006-09-08 2015-03-31 Silicon Genesis Corporation Substrate cleaving under controlled stress conditions
US7811900B2 (en) * 2006-09-08 2010-10-12 Silicon Genesis Corporation Method and structure for fabricating solar cells using a thick layer transfer process
US8293619B2 (en) * 2008-08-28 2012-10-23 Silicon Genesis Corporation Layer transfer of films utilizing controlled propagation
US9362439B2 (en) * 2008-05-07 2016-06-07 Silicon Genesis Corporation Layer transfer of films utilizing controlled shear region
US7598506B2 (en) * 2006-12-22 2009-10-06 The Boeing Company Low-temperature adjustable blackbody apparatus
JP5463017B2 (ja) * 2007-09-21 2014-04-09 株式会社半導体エネルギー研究所 基板の作製方法
US8455331B2 (en) 2007-10-10 2013-06-04 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
JP5511173B2 (ja) * 2007-10-10 2014-06-04 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP4348454B2 (ja) * 2007-11-08 2009-10-21 三菱重工業株式会社 デバイスおよびデバイス製造方法
DE102007056115A1 (de) 2007-11-15 2009-05-20 Freiberger Compound Materials Gmbh Verfahren zum Trennen von Einkristallen
US8563352B2 (en) * 2008-02-05 2013-10-22 Gtat Corporation Creation and translation of low-relief texture for a photovoltaic cell
US8129613B2 (en) * 2008-02-05 2012-03-06 Twin Creeks Technologies, Inc. Photovoltaic cell comprising a thin lamina having low base resistivity and method of making
US8481845B2 (en) * 2008-02-05 2013-07-09 Gtat Corporation Method to form a photovoltaic cell comprising a thin lamina
WO2009114108A2 (en) 2008-03-08 2009-09-17 Crystal Solar, Inc. Integrated method and system for manufacturing monolithic panels of crystalline solar cells
US8481357B2 (en) * 2008-03-08 2013-07-09 Crystal Solar Incorporated Thin film solar cell with ceramic handling layer
EP2105972A3 (en) * 2008-03-28 2015-06-10 Semiconductor Energy Laboratory Co, Ltd. Photoelectric conversion device and method for manufacturing the same
US8623137B1 (en) 2008-05-07 2014-01-07 Silicon Genesis Corporation Method and device for slicing a shaped silicon ingot using layer transfer
CN102099923B (zh) 2008-06-11 2016-04-27 因特瓦克公司 使用注入的太阳能电池制作
JP2010021398A (ja) * 2008-07-11 2010-01-28 Disco Abrasive Syst Ltd ウェーハの処理方法
US7981778B2 (en) * 2009-07-22 2011-07-19 Applied Materials, Inc. Directional solid phase crystallization of thin amorphous silicon for solar cell applications
JP2010050356A (ja) * 2008-08-22 2010-03-04 Shin-Etsu Chemical Co Ltd ヘテロ接合太陽電池の製造方法及びヘテロ接合太陽電池
US8088675B2 (en) 2008-09-19 2012-01-03 Applied Materials, Inc. Methods of making an emitter having a desired dopant profile
SG160302A1 (en) * 2008-09-29 2010-04-29 Semiconductor Energy Lab Method for manufacturing semiconductor substrate
MY154569A (en) * 2008-10-31 2015-06-30 Ulvac Inc Ion implanting appartus
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
US20110041910A1 (en) * 2009-08-18 2011-02-24 Semiconductor Energy Laboratory Co., Ltd. Photoelectric conversion device and manufacturing method thereof
US20110056532A1 (en) * 2009-09-09 2011-03-10 Crystal Solar, Inc. Method for manufacturing thin crystalline solar cells pre-assembled on a panel
WO2011056433A2 (en) * 2009-11-03 2011-05-12 Applied Materials, Inc. Temperature control of a substrate during a plasma ion implantation process for patterned disc media applications
US8349626B2 (en) * 2010-03-23 2013-01-08 Gtat Corporation Creation of low-relief texture for a photovoltaic cell
EP2372755B1 (de) * 2010-03-31 2013-03-20 EV Group E. Thallner GmbH Verfahren zum permanenten Verbinden zweier Metalloberflächen
EP2617066B1 (en) * 2010-09-13 2014-06-18 Imec Method for fabricating thin photovoltaic cells
US8609453B2 (en) 2010-11-22 2013-12-17 International Business Machines Corporation Low cost solar cell manufacture method employing a reusable substrate
US8358476B2 (en) * 2010-11-23 2013-01-22 Institute Of Nuclear Energy Research, Atomic Energy Council, Executive Yuan Condensing lens for high concentration photovoltaic module and manufacturing method thereof
US8723185B2 (en) * 2010-11-30 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing wafer distortion through a high CTE layer
CN102104087B (zh) * 2010-12-15 2012-11-07 上海理工大学 一种柔性薄膜太阳能电池制备方法
US8173452B1 (en) 2010-12-29 2012-05-08 Twin Creeks Technologies, Inc. Method to form a device by constructing a support element on a thin semiconductor lamina
US8101451B1 (en) 2010-12-29 2012-01-24 Twin Creeks Technologies, Inc. Method to form a device including an annealed lamina and having amorphous silicon on opposing faces
US8435804B2 (en) 2010-12-29 2013-05-07 Gtat Corporation Method and apparatus for forming a thin lamina
US8268645B2 (en) 2010-12-29 2012-09-18 Twin Creeks Technologies, Inc. Method and apparatus for forming a thin lamina
US8536448B2 (en) 2010-12-29 2013-09-17 Gtat Corporation Zener diode within a diode structure providing shunt protection
US20120258561A1 (en) * 2011-04-11 2012-10-11 Twin Creeks Technologies, Inc. Low-Temperature Method for Forming Amorphous Semiconductor Layers
US20120285517A1 (en) * 2011-05-09 2012-11-15 International Business Machines Corporation Schottky barrier solar cells with high and low work function metal contacts
DE102011103481B4 (de) * 2011-06-03 2017-08-17 Leibniz-Institut für Oberflächenmodifizierung e.V. Selektives Abtragen dünner Schichten mittels gepulster Laserstrahlung zur Dünnschichtstrukturierung
US9224904B1 (en) * 2011-07-24 2015-12-29 Ananda Kumar Composite substrates of silicon and ceramic
US8524572B2 (en) 2011-10-06 2013-09-03 Micron Technology, Inc. Methods of processing units comprising crystalline materials, and methods of forming semiconductor-on-insulator constructions
CN106847736B (zh) 2011-11-08 2020-08-11 因特瓦克公司 基板处理系统和方法
US9177688B2 (en) 2011-11-22 2015-11-03 International Business Machines Corporation Carbon nanotube-graphene hybrid transparent conductor and field effect transistor
FR2985371A1 (fr) * 2011-12-29 2013-07-05 Commissariat Energie Atomique Procede de fabrication d'une structure multicouche sur un support
US8841161B2 (en) * 2012-02-05 2014-09-23 GTAT.Corporation Method for forming flexible solar cells
US8916954B2 (en) 2012-02-05 2014-12-23 Gtat Corporation Multi-layer metal support
US9336989B2 (en) 2012-02-13 2016-05-10 Silicon Genesis Corporation Method of cleaving a thin sapphire layer from a bulk material by implanting a plurality of particles and performing a controlled cleaving process
ITUD20120073A1 (it) * 2012-04-24 2013-10-25 Applied Materials Italia Srl Dispositivo e procedimento per tenere posizionato uno strato di materiale semiconduttore, per la produzione di celle fotovoltaiche, rispetto ad un supporto
US9257339B2 (en) 2012-05-04 2016-02-09 Silicon Genesis Corporation Techniques for forming optoelectronic devices
US8796054B2 (en) * 2012-05-31 2014-08-05 Corning Incorporated Gallium nitride to silicon direct wafer bonding
US8785294B2 (en) 2012-07-26 2014-07-22 Gtat Corporation Silicon carbide lamina
US9499921B2 (en) * 2012-07-30 2016-11-22 Rayton Solar Inc. Float zone silicon wafer manufacturing system and related process
KR102081393B1 (ko) * 2012-08-22 2020-02-25 뉴사우스 이노베이션즈 피티와이 리미티드 광 전지용 콘택 형성 방법
US8852695B2 (en) * 2012-09-10 2014-10-07 The Research Foundation For The State University Of New York Optical barriers, waveguides, and methods for fabricating barriers and waveguides for use in harsh environments
CN102969250B (zh) * 2012-11-22 2015-08-19 京东方科技集团股份有限公司 Ltps薄膜及薄膜晶体管的制备方法,阵列基板及显示装置
MY178951A (en) 2012-12-19 2020-10-23 Intevac Inc Grid for plasma ion implant
US9812592B2 (en) * 2012-12-21 2017-11-07 Sunpower Corporation Metal-foil-assisted fabrication of thin-silicon solar cell
EP2946410A4 (en) 2013-01-16 2016-08-03 Qmat Inc TECHNIQUES FOR FORMING OPTOELECTRONIC DEVICES
JP2014138189A (ja) * 2013-01-16 2014-07-28 Silicon Genesis Corp 制御されたプロセス及び結果として生じるデバイス
US8735204B1 (en) 2013-01-17 2014-05-27 Alliance For Sustainable Energy, Llc Contact formation and gettering of precipitated impurities by multiple firing during semiconductor device fabrication
US8895416B2 (en) 2013-03-11 2014-11-25 Alliance For Sustainable Energy, Llc Semiconductor device PN junction fabrication using optical processing of amorphous semiconductor material
US10421208B2 (en) * 2013-03-12 2019-09-24 Sunpower Corporation Method and device for cleaving wafers
US11721547B2 (en) * 2013-03-14 2023-08-08 Infineon Technologies Ag Method for manufacturing a silicon carbide substrate for an electrical silicon carbide device, a silicon carbide substrate and an electrical silicon carbide device
US8901010B2 (en) * 2013-03-15 2014-12-02 Sunpower Corporation Methods for improving solar cell lifetime and efficiency
CN103341692A (zh) 2013-06-26 2013-10-09 京东方科技集团股份有限公司 切割不规则图形基板的方法和显示装置
US10553738B2 (en) * 2013-08-21 2020-02-04 Sunpower Corporation Interconnection of solar cells in a solar cell module
DE102013016669A1 (de) 2013-10-08 2015-04-09 Siltectra Gmbh Kombiniertes Herstellungsverfahren zum Abtrennen mehrerer dünner Festkörperschichten von einem dicken Festkörper
DE102013016682A1 (de) * 2013-10-08 2015-04-09 Siltectra Gmbh Erzeugung einer Rissauslösestelle oder einer Rissführung zum verbesserten Abspalten einer Festkörperschicht von einem Festkörper
US20150155407A1 (en) * 2013-12-02 2015-06-04 Applied Materials, Inc. Methods for substrate processing
US9523158B2 (en) * 2014-02-07 2016-12-20 Applied Materials, Inc. Methods and apparatus for forming semiconductor
WO2015134904A1 (en) * 2014-03-06 2015-09-11 The Regents Of The University Of Michigan Field effect transistor memory device
WO2015195314A1 (en) * 2014-06-19 2015-12-23 Gtat Corporation Enhancing the emissivity of a donor substrate for ion implantation
US9859458B2 (en) 2015-06-19 2018-01-02 QMAT, Inc. Bond and release layer transfer process
US10828800B2 (en) * 2016-03-08 2020-11-10 Arizona Board Of Regents On Behalf Of Arizona State University Sound-assisted crack propagation for semiconductor wafering
CN107735868B (zh) * 2016-05-06 2020-02-14 应用材料意大利有限公司 用于制造至少两个太阳能电池布置的设备、用于制造至少两个瓦式太阳能电池的系统、和用于制造至少两个太阳能电池布置的方法
US20180019169A1 (en) * 2016-07-12 2018-01-18 QMAT, Inc. Backing substrate stabilizing donor substrate for implant or reclamation
US10186630B2 (en) 2016-08-02 2019-01-22 QMAT, Inc. Seed wafer for GaN thickening using gas- or liquid-phase epitaxy
EP3539153A2 (en) 2016-11-11 2019-09-18 Qmat, Inc. Micro-light emitting diode (led) fabrication by layer transfer
CN106784151B (zh) * 2016-12-28 2018-08-14 中国电子科技集团公司第十八研究所 一种柔性铜铟镓硒薄膜太阳电池制备方法
CN110078017B (zh) * 2018-01-26 2021-11-05 沈阳硅基科技有限公司 一种贯穿空腔结构硅片的加工方法
JP2021525961A (ja) * 2018-05-29 2021-09-27 アイキューイー ピーエルシーIQE plc 緩衝材にわたって形成される光電子デバイス
JP7187215B2 (ja) * 2018-08-28 2022-12-12 株式会社ディスコ SiC基板の加工方法
CN109256442B (zh) * 2018-11-15 2020-05-22 安徽省华腾农业科技有限公司 薄膜电池的制备方法及薄膜电池
CN114566461A (zh) * 2022-03-02 2022-05-31 成都海威华芯科技有限公司 基于正反面通孔的半导体器件深背孔制作方法及器件
CN117133632B (zh) * 2023-10-26 2024-02-20 西北电子装备技术研究所(中国电子科技集团公司第二研究所) 双频超声裂纹扩展及剥离单晶SiC装置

Family Cites Families (259)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2614055A (en) 1947-05-12 1952-10-14 Samica Corp Method of treating mica
DE834363C (de) 1951-02-27 1952-03-20 Zentral Werkstatt Goettingen Verfahren und Vorrichtung zur Herstellung duenner Glimmerfolien
US3117002A (en) 1960-02-16 1964-01-07 Ampco Metal Inc Aluminum bronze alloy having improved wear resistance by the addition of cobalt, chromium, and manganese
US3225820A (en) 1962-11-01 1965-12-28 Gen Precision Inc Device for controlling temperature by heat conduction
DE1238105B (de) 1963-07-17 1967-04-06 Siemens Ag Verfahren zum Herstellen von pn-UEbergaengen in Silizium
US3390033A (en) 1964-08-13 1968-06-25 Rca Corp Method of separating frit sealed parts of an electron tube
US5082793A (en) 1965-09-28 1992-01-21 Li Chou H Method for making solid state device utilizing ion implantation techniques
US3516855A (en) 1967-05-29 1970-06-23 Ibm Method of depositing conductive ions by utilizing electron beam
US3551213A (en) 1968-09-04 1970-12-29 Bell Telephone Labor Inc Geometrically selective ion bombardment by means of the photoelectric effect
US3786359A (en) 1969-03-28 1974-01-15 Alpha Ind Inc Ion accelerator and ion species selector
US3900636A (en) 1971-01-21 1975-08-19 Gillette Co Method of treating cutting edges
US3806380A (en) 1971-03-05 1974-04-23 Hitachi Ltd Method for hardening treatment of aluminum or aluminum-base alloy
GB1392811A (en) 1971-04-07 1975-04-30 Atomic Energy Authority Uk Methods for treating steel to modify the structure thereof
US3770499A (en) 1972-02-28 1973-11-06 Motorola Inc Liquid phase deposition of thin insulating and refractory film on a substrate
US3915757A (en) 1972-08-09 1975-10-28 Niels N Engel Ion plating method and product therefrom
US3913520A (en) 1972-08-14 1975-10-21 Precision Thin Film Corp High vacuum deposition apparatus
US3993909A (en) 1973-03-16 1976-11-23 U.S. Philips Corporation Substrate holder for etching thin films
US3914655A (en) 1973-06-28 1975-10-21 Ibm High brightness ion source
FR2245779B1 (ko) 1973-09-28 1978-02-10 Cit Alcatel
US3946334A (en) 1973-11-14 1976-03-23 Nippon Electric Company, Limited Injection semiconductor laser device
US3901423A (en) 1973-11-26 1975-08-26 Purdue Research Foundation Method for fracturing crystalline materials
US3964957A (en) 1973-12-19 1976-06-22 Monsanto Company Apparatus for processing semiconductor wafers
FR2261802B1 (ko) 1974-02-21 1978-01-06 Devienne Fernand
NL7404364A (nl) 1974-04-01 1975-10-03 Philips Nv Werkwijze en inrichting voor het bewerken van vlakke voorwerpen.
US4170662A (en) 1974-11-05 1979-10-09 Eastman Kodak Company Plasma plating
US4121334A (en) 1974-12-17 1978-10-24 P. R. Mallory & Co. Inc. Application of field-assisted bonding to the mass production of silicon type pressure transducers
FR2298880A1 (fr) 1975-01-22 1976-08-20 Commissariat Energie Atomique Procede et dispositif d'implantation ionique
US3957107A (en) 1975-02-27 1976-05-18 The United States Of America As Represented By The Secretary Of The Air Force Thermal switch
US4039416A (en) 1975-04-21 1977-08-02 White Gerald W Gasless ion plating
US4116751A (en) 1975-10-08 1978-09-26 Solomon Zaromb Methods and apparatus for producing unsupported monocrystalline films of silicon and of other materials
GB1542299A (en) 1976-03-23 1979-03-14 Warner Lambert Co Blade shields
US4053335A (en) 1976-04-02 1977-10-11 International Business Machines Corporation Method of gettering using backside polycrystalline silicon
US4216906A (en) 1976-06-21 1980-08-12 Flow Research, Inc. Method of making high velocity liquid jet
US4074139A (en) 1976-12-27 1978-02-14 Rca Corporation Apparatus and method for maskless ion implantation
US4108751A (en) 1977-06-06 1978-08-22 King William J Ion beam implantation-sputtering
US4237601A (en) 1978-10-13 1980-12-09 Exxon Research & Engineering Co. Method of cleaving semiconductor diode laser wafers
JPS55104057A (en) 1979-02-02 1980-08-09 Hitachi Ltd Ion implantation device
US4255208A (en) 1979-05-25 1981-03-10 Ramot University Authority For Applied Research And Industrial Development Ltd. Method of producing monocrystalline semiconductor films utilizing an intermediate water dissolvable salt layer
CH640886A5 (de) 1979-08-02 1984-01-31 Balzers Hochvakuum Verfahren zum aufbringen harter verschleissfester ueberzuege auf unterlagen.
US4244348A (en) 1979-09-10 1981-01-13 Atlantic Richfield Company Process for cleaving crystalline materials
FR2475068B1 (fr) 1980-02-01 1986-05-16 Commissariat Energie Atomique Procede de dopage de semi-conducteurs
FR2506344B2 (fr) 1980-02-01 1986-07-11 Commissariat Energie Atomique Procede de dopage de semi-conducteurs
GB2071411B (en) 1980-03-07 1983-12-21 Philips Electronic Associated Passivating p-n junction devices
EP0194495B1 (en) 1980-04-10 1998-07-15 Massachusetts Institute Of Technology Method of producing sheets of crystalline material
US4342631A (en) 1980-06-16 1982-08-03 Illinois Tool Works Inc. Gasless ion plating process and apparatus
US4471003A (en) 1980-11-25 1984-09-11 Cann Gordon L Magnetoplasmadynamic apparatus and process for the separation and deposition of materials
FR2501727A1 (fr) 1981-03-13 1982-09-17 Vide Traitement Procede de traitements thermochimiques de metaux par bombardement ionique
JPS5861763A (ja) 1981-10-09 1983-04-12 武笠 均 触感知器消化装置
US4361600A (en) 1981-11-12 1982-11-30 General Electric Company Method of making integrated circuits
US4412868A (en) 1981-12-23 1983-11-01 General Electric Company Method of making integrated circuits utilizing ion implantation and selective epitaxial growth
FR2519437A1 (fr) 1982-01-04 1983-07-08 Commissariat Energie Atomique Dispositif de limitation et de controle de la temperature d'une cible reposant sur un support dans une enceinte sous vide et recevant un faisceau d'energie et son application a des mesures thermiques
US4486247A (en) 1982-06-21 1984-12-04 Westinghouse Electric Corp. Wear resistant steel articles with carbon, oxygen and nitrogen implanted in the surface thereof
FR2529383A1 (fr) 1982-06-24 1983-12-30 Commissariat Energie Atomique Porte-cible a balayage mecanique utilisable notamment pour l'implantation d'ioris
US4554570A (en) 1982-06-24 1985-11-19 Rca Corporation Vertically integrated IGFET device
FR2537768A1 (fr) 1982-12-08 1984-06-15 Commissariat Energie Atomique Procede et dispositif d'obtention de faisceaux de particules de densite spatialement modulee, application a la gravure et a l'implantation ioniques
FR2537777A1 (fr) 1982-12-10 1984-06-15 Commissariat Energie Atomique Procede et dispositif d'implantation de particules dans un solide
DE3246480A1 (de) 1982-12-15 1984-06-20 Wacker-Chemitronic Gesellschaft für Elektronik-Grundstoffe mbH, 8263 Burghausen Verfahren zur herstellung von halbleiterscheiben mit getternder scheibenrueckseite
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4468309A (en) 1983-04-22 1984-08-28 White Engineering Corporation Method for resisting galling
GB2144343A (en) 1983-08-02 1985-03-06 Standard Telephones Cables Ltd Optical fibre manufacture
US4567505A (en) 1983-10-27 1986-01-28 The Board Of Trustees Of The Leland Stanford Junior University Heat sink and method of attaching heat sink to a semiconductor integrated circuit and the like
FR2560426A1 (fr) 1984-02-28 1985-08-30 Commissariat Energie Atomique Dispositif de production d'ions d'une espece determinee, utilisant pour les separer d'autres ions, une selection en energie, application a l'implantation ionique
GB2155024A (en) 1984-03-03 1985-09-18 Standard Telephones Cables Ltd Surface treatment of plastics materials
FR2563377B1 (fr) 1984-04-19 1987-01-23 Commissariat Energie Atomique Procede de fabrication d'une couche isolante enterree dans un substrat semi-conducteur, par implantation ionique
JPS6124240A (ja) 1984-07-13 1986-02-01 Toshiba Corp 半導体基板
FR2575601B1 (fr) 1984-12-27 1987-01-30 Commissariat Energie Atomique Procede et dispositif de determination de parametres electriques d'une couche semi-conductrice en fonction de la profondeur
US4566403A (en) 1985-01-30 1986-01-28 Sovonics Solar Systems Apparatus for microwave glow discharge deposition
NL8501773A (nl) 1985-06-20 1987-01-16 Philips Nv Werkwijze voor het vervaardigen van halfgeleiderinrichtingen.
US4706377A (en) 1986-01-30 1987-11-17 United Technologies Corporation Passivation of gallium arsenide by nitrogen implantation
JPS62208638A (ja) 1986-03-07 1987-09-12 Toshiba Corp 半導体装置の製造方法
JPS62219636A (ja) 1986-03-20 1987-09-26 Hitachi Ltd 半導体装置
US4837172A (en) 1986-07-18 1989-06-06 Matsushita Electric Industrial Co., Ltd. Method for removing impurities existing in semiconductor substrate
US4717683A (en) 1986-09-23 1988-01-05 Motorola Inc. CMOS process
US4764394A (en) 1987-01-20 1988-08-16 Wisconsin Alumni Research Foundation Method and apparatus for plasma source ion implantation
US4847792A (en) 1987-05-04 1989-07-11 Texas Instruments Incorporated Process and apparatus for detecting aberrations in production process operations
US4906594A (en) 1987-06-12 1990-03-06 Agency Of Industrial Science And Technology Surface smoothing method and method of forming SOI substrate using the surface smoothing method
DE3888736T2 (de) 1987-06-24 1994-11-17 Advanced Semiconductor Mat Verfahren zur Epitaxieabscheidung von Silizium.
US4846928A (en) 1987-08-04 1989-07-11 Texas Instruments, Incorporated Process and apparatus for detecting aberrations in production process operations
US4887005A (en) 1987-09-15 1989-12-12 Rough J Kirkwood H Multiple electrode plasma reactor power distribution system
US5015353A (en) 1987-09-30 1991-05-14 The United States Of America As Represented By The Secretary Of The Navy Method for producing substoichiometric silicon nitride of preselected proportions
GB8725497D0 (en) 1987-10-30 1987-12-02 Atomic Energy Authority Uk Isolation of silicon
DE3803424C2 (de) 1988-02-05 1995-05-18 Gsf Forschungszentrum Umwelt Verfahren zur quantitativen, tiefendifferentiellen Analyse fester Proben
JP2666945B2 (ja) 1988-02-08 1997-10-22 株式会社東芝 半導体装置の製造方法
US4894709A (en) 1988-03-09 1990-01-16 Massachusetts Institute Of Technology Forced-convection, liquid-cooled, microchannel heat sinks
US4883561A (en) 1988-03-29 1989-11-28 Bell Communications Research, Inc. Lift-off and subsequent bonding of epitaxial films
US4853250A (en) 1988-05-11 1989-08-01 Universite De Sherbrooke Process of depositing particulate material on a substrate
JP2670623B2 (ja) 1988-09-19 1997-10-29 アネルバ株式会社 マイクロ波プラズマ処理装置
US4952273A (en) 1988-09-21 1990-08-28 Microscience, Inc. Plasma generation in electron cyclotron resonance
US4996077A (en) 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
US4891329A (en) 1988-11-29 1990-01-02 University Of North Carolina Method of forming a nonsilicon semiconductor on insulator structure
US5202095A (en) 1988-12-27 1993-04-13 Matsushita Electric Industrial Co., Ltd. Microwave plasma processor
DE19538634C2 (de) 1995-10-17 1997-09-04 Itt Ind Gmbh Deutsche Verfahren zum Vereinzeln von elektronischen Elementen aus einem Halbleiterwafer
GB8905075D0 (en) 1989-03-06 1989-04-19 Nordiko Ltd Electrode assembly and apparatus
US5133826A (en) 1989-03-09 1992-07-28 Applied Microwave Plasma Concepts, Inc. Electron cyclotron resonance plasma source
US5203960A (en) 1989-03-09 1993-04-20 Applied Microwave Plasma Concepts, Inc. Method of operation of electron cyclotron resonance plasma source
US5370765A (en) 1989-03-09 1994-12-06 Applied Microwave Plasma Concepts, Inc. Electron cyclotron resonance plasma source and method of operation
US5196355A (en) 1989-04-24 1993-03-23 Ibis Technology Corporation Simox materials through energy variation
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5387555A (en) 1992-09-03 1995-02-07 Harris Corporation Bonded wafer processing with metal silicidation
US5034343A (en) 1990-03-08 1991-07-23 Harris Corporation Manufacturing ultra-thin wafer using a handle wafer
US5070040A (en) 1990-03-09 1991-12-03 University Of Colorado Foundation, Inc. Method and apparatus for semiconductor circuit chip cooling
US5141878A (en) 1990-04-02 1992-08-25 At&T Bell Laboratories Silicon photodiode for monolithic integrated circuits and method for making same
DE4114108C1 (ko) 1991-04-30 1991-12-19 Schott Glaswerke, 6500 Mainz, De
JP2575545B2 (ja) 1990-07-05 1997-01-29 株式会社東芝 半導体装置の製造方法
US5198371A (en) 1990-09-24 1993-03-30 Biota Corp. Method of making silicon material with enhanced surface mobility by hydrogen ion implantation
US5102821A (en) 1990-12-20 1992-04-07 Texas Instruments Incorporated SOI/semiconductor heterostructure fabrication by wafer bonding of polysilicon to titanium
US5206749A (en) 1990-12-31 1993-04-27 Kopin Corporation Liquid crystal display having essentially single crystal transistors pixels and driving circuits
US5528397A (en) 1991-12-03 1996-06-18 Kopin Corporation Single crystal silicon transistors for display panels
US5256562A (en) 1990-12-31 1993-10-26 Kopin Corporation Method for manufacturing a semiconductor device using a circuit transfer film
US5444557A (en) 1990-12-31 1995-08-22 Kopin Corporation Single crystal silicon arrayed devices for projection displays
US5362671A (en) 1990-12-31 1994-11-08 Kopin Corporation Method of fabricating single crystal silicon arrayed devices for display panels
US5258320A (en) 1990-12-31 1993-11-02 Kopin Corporation Single crystal silicon arrayed devices for display panels
US5475514A (en) 1990-12-31 1995-12-12 Kopin Corporation Transferred single crystal arrayed devices including a light shield for projection displays
US5258325A (en) 1990-12-31 1993-11-02 Kopin Corporation Method for manufacturing a semiconductor device using a circuit transfer film
US5317436A (en) 1990-12-31 1994-05-31 Kopin Corporation A slide assembly for projector with active matrix moveably mounted to housing
DE4100526A1 (de) 1991-01-10 1992-07-16 Wacker Chemitronic Vorrichtung und verfahren zum automatischen vereinzeln von gestapelten scheiben
IL100910A (en) 1991-02-12 1994-10-07 Hughes Aircraft Co Assessment of the degree of wear of objects
JPH04266047A (ja) 1991-02-20 1992-09-22 Fujitsu Ltd 埋め込み層形成に相当するsoi型半導体装置の製造方法及び半導体装置
US5110748A (en) 1991-03-28 1992-05-05 Honeywell Inc. Method for fabricating high mobility thin film transistors as integrated drivers for active matrix display
JPH0817166B2 (ja) 1991-04-27 1996-02-21 信越半導体株式会社 超薄膜soi基板の製造方法及び製造装置
JPH04359518A (ja) 1991-06-06 1992-12-11 Nec Corp 半導体装置の製造方法
JPH04365377A (ja) 1991-06-13 1992-12-17 Agency Of Ind Science & Technol 半導体装置
JPH05308107A (ja) 1991-07-01 1993-11-19 Sumitomo Electric Ind Ltd 半導体装置及びその製作方法
US5342472A (en) 1991-08-12 1994-08-30 Tokyo Electron Limited Plasma processing apparatus
FR2681472B1 (fr) 1991-09-18 1993-10-29 Commissariat Energie Atomique Procede de fabrication de films minces de materiau semiconducteur.
US5234529A (en) 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
JP2726583B2 (ja) 1991-11-18 1998-03-11 三菱マテリアルシリコン株式会社 半導体基板
US5198071A (en) 1991-11-25 1993-03-30 Applied Materials, Inc. Process for inhibiting slip and microcracking while forming epitaxial layer on semiconductor wafer
US5827751A (en) 1991-12-06 1998-10-27 Picogiga Societe Anonyme Method of making semiconductor components, in particular on GaAs of InP, with the substrate being recovered chemically
EP0553852B1 (en) 1992-01-30 2003-08-20 Canon Kabushiki Kaisha Process for producing semiconductor substrate
JP2901031B2 (ja) 1992-01-30 1999-06-02 キヤノン株式会社 半導体基材及びその作製方法
JP2910001B2 (ja) 1992-01-30 1999-06-23 キヤノン株式会社 半導体基材及びその作製方法
JP3261685B2 (ja) 1992-01-31 2002-03-04 キヤノン株式会社 半導体素子基体及びその作製方法
US5269880A (en) 1992-04-03 1993-12-14 Northern Telecom Limited Tapering sidewalls of via holes
US5372952A (en) 1992-04-03 1994-12-13 National Semiconductor Corporation Method for forming isolated semiconductor structures
US5213986A (en) 1992-04-10 1993-05-25 North American Philips Corporation Process for making thin film silicon-on-insulator wafers employing wafer bonding and wafer thinning
US5226967A (en) 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5363603A (en) 1992-06-22 1994-11-15 Alliant Techsystems, Inc. Abrasive fluid jet cutting compositon and method
US5273610A (en) 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP2560178B2 (ja) 1992-06-29 1996-12-04 九州電子金属株式会社 半導体ウェーハの製造方法
US5404079A (en) 1992-08-13 1995-04-04 Matsushita Electric Industrial Co., Ltd. Plasma generating apparatus
US5304509A (en) 1992-08-24 1994-04-19 Midwest Research Institute Back-side hydrogenation technique for defect passivation in silicon solar cells
JP3192000B2 (ja) 1992-08-25 2001-07-23 キヤノン株式会社 半導体基板及びその作製方法
JP3266163B2 (ja) 1992-10-14 2002-03-18 東京応化工業株式会社 プラズマ処理装置
US5346578A (en) 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
JPH06232141A (ja) 1992-12-07 1994-08-19 Sony Corp 半導体基板の作成方法及び固体撮像装置の製造方法
US5234535A (en) 1992-12-10 1993-08-10 International Business Machines Corporation Method of producing a thin silicon-on-insulator layer
US5409563A (en) 1993-02-26 1995-04-25 Micron Technology, Inc. Method for etching high aspect ratio features
US5487785A (en) 1993-03-26 1996-01-30 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5354381A (en) 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
JP2856030B2 (ja) 1993-06-29 1999-02-10 信越半導体株式会社 結合ウエーハの製造方法
US5413679A (en) 1993-06-30 1995-05-09 The United States Of America As Represented By The Secretary Of The Navy Method of producing a silicon membrane using a silicon alloy etch stop layer
US5344524A (en) 1993-06-30 1994-09-06 Honeywell Inc. SOI substrate fabrication
FR2707401B1 (fr) 1993-07-09 1995-08-11 Menigaux Louis Procédé de fabrication d'une structure intégrant un guide optique clivé à un support de fibre optique pour un couplage optique guide-fibre et structure obtenue.
JP2786081B2 (ja) 1993-07-27 1998-08-13 日本電気株式会社 Soi基板
US5443431A (en) 1993-10-13 1995-08-22 Zexel-Gleason Usa, Inc. Differential with friction-enhancing wedge
US5840590A (en) 1993-12-01 1998-11-24 Sandia Corporation Impurity gettering in silicon using cavities formed by helium implantation and annealing
US5459016A (en) 1993-12-16 1995-10-17 Minnesota Mining And Manufacturing Company Nanostructured thermal transfer donor element
FR2714524B1 (fr) 1993-12-23 1996-01-26 Commissariat Energie Atomique Procede de realisation d'une structure en relief sur un support en materiau semiconducteur
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5476691A (en) 1994-01-21 1995-12-19 International Business Machines, Inc. Surface treatment of magnetic recording heads
FR2715503B1 (fr) 1994-01-26 1996-04-05 Commissariat Energie Atomique Substrat pour composants intégrés comportant une couche mince et son procédé de réalisation.
FR2715502B1 (fr) 1994-01-26 1996-04-05 Commissariat Energie Atomique Structure présentant des cavités et procédé de réalisation d'une telle structure.
FR2715501B1 (fr) 1994-01-26 1996-04-05 Commissariat Energie Atomique Procédé de dépôt de lames semiconductrices sur un support.
JP3293736B2 (ja) 1996-02-28 2002-06-17 キヤノン株式会社 半導体基板の作製方法および貼り合わせ基体
US5558718A (en) 1994-04-08 1996-09-24 The Regents, University Of California Pulsed source ion implantation apparatus and method
US5480842A (en) 1994-04-11 1996-01-02 At&T Corp. Method for fabricating thin, strong, and flexible die for smart cards
FR2720189B1 (fr) 1994-05-18 1996-08-30 Commissariat Energie Atomique Procédé de réalisation d'une structure à faible taux de dislocations comprenant une couche d'oxyde enterrée dans un substrat semi-conducteur.
US5411592A (en) 1994-06-06 1995-05-02 Ovonic Battery Company, Inc. Apparatus for deposition of thin-film, solid state batteries
FR2725074B1 (fr) 1994-09-22 1996-12-20 Commissariat Energie Atomique Procede de fabrication d'une structure comportant une couche mince semi-conductrice sur un substrat
JP3265493B2 (ja) 1994-11-24 2002-03-11 ソニー株式会社 Soi基板の製造方法
AUPM982294A0 (en) 1994-12-02 1995-01-05 Pacific Solar Pty Limited Method of manufacturing a multilayer solar cell
US5504328A (en) 1994-12-09 1996-04-02 Sematech, Inc. Endpoint detection utilizing ultraviolet mass spectrometry
US5611855A (en) 1995-01-31 1997-03-18 Seh America, Inc. Method for manufacturing a calibration wafer having a microdefect-free layer of a precisely predetermined depth
US6107213A (en) 1996-02-01 2000-08-22 Sony Corporation Method for making thin film semiconductor
JP3381443B2 (ja) 1995-02-02 2003-02-24 ソニー株式会社 基体から半導体層を分離する方法、半導体素子の製造方法およびsoi基板の製造方法
KR100200973B1 (ko) 1995-03-20 1999-06-15 후지이 아키히로 경사표면 실리콘 웨이퍼, 그 형성방법 및 반도체소자
TW448584B (en) 1995-03-27 2001-08-01 Semiconductor Energy Lab Semiconductor device and a method of manufacturing the same
JPH08271880A (ja) 1995-04-03 1996-10-18 Toshiba Corp 遮光膜,液晶表示装置および遮光膜形成用材料
US5603779A (en) 1995-05-17 1997-02-18 Harris Corporation Bonded wafer and method of fabrication thereof
US5653811A (en) 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US5863831A (en) 1995-08-14 1999-01-26 Advanced Materials Engineering Research, Inc. Process for fabricating semiconductor device with shallow p-type regions using dopant compounds containing elements of high solid solubility
JP2701803B2 (ja) 1995-08-28 1998-01-21 日本電気株式会社 半導体装置の製造方法
FR2738671B1 (fr) 1995-09-13 1997-10-10 Commissariat Energie Atomique Procede de fabrication de films minces a materiau semiconducteur
CN1132223C (zh) 1995-10-06 2003-12-24 佳能株式会社 半导体衬底及其制造方法
KR0165467B1 (ko) 1995-10-31 1999-02-01 김광호 웨이퍼 디본더 및 이를 이용한 웨이퍼 디본딩법
US5869405A (en) 1996-01-03 1999-02-09 Micron Technology, Inc. In situ rapid thermal etch and rapid thermal oxidation
US6004868A (en) 1996-01-17 1999-12-21 Micron Technology, Inc. Method for CMOS well drive in a non-inert ambient
JPH09263500A (ja) 1996-01-22 1997-10-07 Komatsu Electron Metals Co Ltd 貼り合わせsoiウェーハの剥がし治具
FR2744285B1 (fr) 1996-01-25 1998-03-06 Commissariat Energie Atomique Procede de transfert d'une couche mince d'un substrat initial sur un substrat final
FR2748851B1 (fr) 1996-05-15 1998-08-07 Commissariat Energie Atomique Procede de realisation d'une couche mince de materiau semiconducteur
FR2748850B1 (fr) 1996-05-15 1998-07-24 Commissariat Energie Atomique Procede de realisation d'un film mince de materiau solide et applications de ce procede
US5793913A (en) 1996-07-10 1998-08-11 Northern Telecom Limited Method for the hybrid integration of discrete elements on a semiconductor substrate
US5710057A (en) 1996-07-12 1998-01-20 Kenney; Donald M. SOI fabrication method
FR2752332B1 (fr) 1996-08-12 1998-09-11 Commissariat Energie Atomique Dispositif de decollement de plaquettes et procede de mise en oeuvre de ce dispositif
DE69739368D1 (de) 1996-08-27 2009-05-28 Seiko Epson Corp Trennverfahren und Verfahren zur Übertragung eines Dünnfilmbauelements
US5753560A (en) 1996-10-31 1998-05-19 Motorola, Inc. Method for fabricating a semiconductor device using lateral gettering
SG65697A1 (en) 1996-11-15 1999-06-22 Canon Kk Process for producing semiconductor article
KR100232886B1 (ko) 1996-11-23 1999-12-01 김영환 Soi 웨이퍼 제조방법
US5841931A (en) 1996-11-26 1998-11-24 Massachusetts Institute Of Technology Methods of forming polycrystalline semiconductor waveguides for optoelectronic integrated circuits, and devices formed thereby
CA2233115C (en) 1997-03-27 2002-03-12 Canon Kabushiki Kaisha Semiconductor substrate and method of manufacturing the same
JPH10275905A (ja) 1997-03-31 1998-10-13 Mitsubishi Electric Corp シリコンウェーハの製造方法およびシリコンウェーハ
US6191007B1 (en) 1997-04-28 2001-02-20 Denso Corporation Method for manufacturing a semiconductor substrate
US5906951A (en) 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
US6251754B1 (en) 1997-05-09 2001-06-26 Denso Corporation Semiconductor substrate manufacturing method
US5985742A (en) 1997-05-12 1999-11-16 Silicon Genesis Corporation Controlled cleavage process and device for patterned films
US6033974A (en) 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
US6027988A (en) * 1997-05-28 2000-02-22 The Regents Of The University Of California Method of separating films from bulk substrates by plasma immersion ion implantation
US5877070A (en) 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
US6150239A (en) 1997-05-31 2000-11-21 Max Planck Society Method for the transfer of thin layers monocrystalline material onto a desirable substrate
US5968279A (en) 1997-06-13 1999-10-19 Mattson Technology, Inc. Method of cleaning wafer substrates
CA2295069A1 (en) 1997-06-24 1998-12-30 Eugene A. Fitzgerald Controlling threading dislocation densities in ge on si using graded gesi layers and planarization
JP3292101B2 (ja) 1997-07-18 2002-06-17 信越半導体株式会社 珪素単結晶基板表面の平滑化方法
US6103599A (en) 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
US5882987A (en) 1997-08-26 1999-03-16 International Business Machines Corporation Smart-cut process for the production of thin semiconductor material films
JP3324469B2 (ja) 1997-09-26 2002-09-17 信越半導体株式会社 Soiウエーハの製造方法ならびにこの方法で製造されるsoiウエーハ
US5920764A (en) 1997-09-30 1999-07-06 International Business Machines Corporation Process for restoring rejected wafers in line for reuse as new
FR2773261B1 (fr) 1997-12-30 2000-01-28 Commissariat Energie Atomique Procede pour le transfert d'un film mince comportant une etape de creation d'inclusions
JPH11195775A (ja) 1997-12-26 1999-07-21 Sony Corp 半導体基板および薄膜半導体素子およびそれらの製造方法ならびに陽極化成装置
US6171982B1 (en) 1997-12-26 2001-01-09 Canon Kabushiki Kaisha Method and apparatus for heat-treating an SOI substrate and method of preparing an SOI substrate by using the same
JPH11204771A (ja) 1998-01-07 1999-07-30 Sony Corp 半導体基板の製造方法及び固体撮像装置の製造方法
US6274464B2 (en) 1998-02-06 2001-08-14 Texas Instruments Incorporated Epitaxial cleaning process using HCL and N-type dopant gas to reduce defect density and auto doping effects
US6120597A (en) 1998-02-17 2000-09-19 The Trustees Of Columbia University In The City Of New York Crystal ion-slicing of single-crystal films
US6083324A (en) 1998-02-19 2000-07-04 Silicon Genesis Corporation Gettering technique for silicon-on-insulator wafers
US5909627A (en) 1998-05-18 1999-06-01 Philips Electronics North America Corporation Process for production of thin layers of semiconductor material
US6291326B1 (en) 1998-06-23 2001-09-18 Silicon Genesis Corporation Pre-semiconductor process implant and post-process film separation
JP3358550B2 (ja) 1998-07-07 2002-12-24 信越半導体株式会社 Soiウエーハの製造方法ならびにこの方法で製造されるsoiウエーハ
CN1127120C (zh) 1998-09-04 2003-11-05 佳能株式会社 半导体衬底及其制造方法
US6534381B2 (en) 1999-01-08 2003-03-18 Silicon Genesis Corporation Method for fabricating multi-layered substrates
US6204151B1 (en) 1999-04-21 2001-03-20 Silicon Genesis Corporation Smoothing method for cleaved films made using thermal treatment
US6171965B1 (en) 1999-04-21 2001-01-09 Silicon Genesis Corporation Treatment method of cleaved film for the manufacture of substrates
US6287941B1 (en) 1999-04-21 2001-09-11 Silicon Genesis Corporation Surface finishing of SOI substrates using an EPI process
US6452091B1 (en) 1999-07-14 2002-09-17 Canon Kabushiki Kaisha Method of producing thin-film single-crystal device, solar cell module and method of producing the same
US6263941B1 (en) 1999-08-10 2001-07-24 Silicon Genesis Corporation Nozzle for cleaving substrates
US6489241B1 (en) 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
US6455397B1 (en) 1999-11-16 2002-09-24 Rona E. Belford Method of producing strained microelectronic and/or optical integrated and discrete devices
JP2003520444A (ja) 2000-01-20 2003-07-02 アンバーウェーブ システムズ コーポレイション 高温成長を不要とする低貫通転位密度格子不整合エピ層
FR2804247B1 (fr) 2000-01-21 2002-04-12 St Microelectronics Sa Procede de realisation d'un transistor bipolaire a emetteur et base extrinseque auto-alignes
FR2807074B1 (fr) 2000-04-03 2002-12-06 Soitec Silicon On Insulator Procede et dispositif de fabrication de substrats
US6376806B2 (en) 2000-05-09 2002-04-23 Woo Sik Yoo Flash anneal
FR2809867B1 (fr) 2000-05-30 2003-10-24 Commissariat Energie Atomique Substrat fragilise et procede de fabrication d'un tel substrat
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US6723661B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6743495B2 (en) 2001-03-30 2004-06-01 Memc Electronic Materials, Inc. Thermal annealing process for producing silicon wafers with improved surface characteristics
US7019339B2 (en) 2001-04-17 2006-03-28 California Institute Of Technology Method of using a germanium layer transfer to Si for photovoltaic applications and heterostructure made thereby
US6956268B2 (en) 2001-05-18 2005-10-18 Reveo, Inc. MEMS and method of manufacturing MEMS
US6514836B2 (en) 2001-06-04 2003-02-04 Rona Elizabeth Belford Methods of producing strained microelectronic and/or optical integrated and discrete devices
US6723421B2 (en) 2001-10-05 2004-04-20 Energy Conversion Devices, Inc. Semiconductor with coordinatively irregular structures
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US7226504B2 (en) 2002-01-31 2007-06-05 Sharp Laboratories Of America, Inc. Method to form thick relaxed SiGe layer with trench structure
US7335545B2 (en) 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
FR2842650B1 (fr) 2002-07-17 2005-09-02 Soitec Silicon On Insulator Procede de fabrication de substrats notamment pour l'optique, l'electronique ou l'opto-electronique
US6911376B2 (en) 2003-10-01 2005-06-28 Wafermasters Selective heating using flash anneal
US7354815B2 (en) 2003-11-18 2008-04-08 Silicon Genesis Corporation Method for fabricating semiconductor devices using strained silicon bearing material
US20050189013A1 (en) 2003-12-23 2005-09-01 Oliver Hartley Process for manufacturing photovoltaic cells
KR101429098B1 (ko) 2004-06-04 2014-09-22 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 인쇄가능한 반도체소자들의 제조 및 조립방법과 장치
US7273998B2 (en) * 2004-09-15 2007-09-25 General Electric Company System and method for monitoring laser shock processing
US7811900B2 (en) * 2006-09-08 2010-10-12 Silicon Genesis Corporation Method and structure for fabricating solar cells using a thick layer transfer process

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100967903B1 (ko) * 2008-12-29 2010-07-06 한국과학기술원 적층형 태양전지 및 상기 태양전지의 제조방법
US8633097B2 (en) 2009-06-09 2014-01-21 International Business Machines Corporation Single-junction photovoltaic cell
US8823127B2 (en) 2009-06-09 2014-09-02 International Business Machines Corporation Multijunction photovoltaic cell fabrication
US8802477B2 (en) 2009-06-09 2014-08-12 International Business Machines Corporation Heterojunction III-V photovoltaic cell fabrication
US8703521B2 (en) 2009-06-09 2014-04-22 International Business Machines Corporation Multijunction photovoltaic cell fabrication
WO2011106204A3 (en) * 2010-02-26 2011-12-29 International Business Machines Corporation Single-junction photovoltaic cell
GB2495166A (en) * 2010-02-26 2013-04-03 Ibm Single-junction photovoltaic cell
US8659110B2 (en) 2010-02-26 2014-02-25 International Business Machines Corporation Single-junction photovoltaic cell
WO2011106204A2 (en) * 2010-02-26 2011-09-01 International Business Machines Corporation Single-junction photovoltaic cell
GB2495166B (en) * 2010-02-26 2015-05-06 Ibm Single-junction photovoltaic cell
WO2011143449A3 (en) * 2010-05-12 2012-04-05 Applied Materials, Inc. Method of manufacturing crystalline silicon solar cells using epitaxial deposition
WO2011143449A2 (en) * 2010-05-12 2011-11-17 Applied Materials, Inc. Method of manufacturing crystalline silicon solar cells using epitaxial deposition
WO2012149514A3 (en) * 2011-04-29 2013-01-17 Amberwave, Inc. Thin film solder bond
WO2014171649A1 (ko) * 2013-04-18 2014-10-23 삼성테크윈 주식회사 웨이퍼의 시닝 방법 및 장치
US10319598B2 (en) 2013-04-18 2019-06-11 Hanwha Precision Machinery Co., Ltd. Method and apparatus for thinning wafer

Also Published As

Publication number Publication date
US8563402B2 (en) 2013-10-22
US20080179547A1 (en) 2008-07-31
US7811900B2 (en) 2010-10-12
EP2082434A2 (en) 2009-07-29
WO2008082723A2 (en) 2008-07-10
US8110480B2 (en) 2012-02-07
CN101473446A (zh) 2009-07-01
WO2008082723A3 (en) 2008-10-30
CN101473446B (zh) 2012-06-27
JP2010503239A (ja) 2010-01-28
US20100178723A1 (en) 2010-07-15
US20120058624A1 (en) 2012-03-08

Similar Documents

Publication Publication Date Title
US8563402B2 (en) Method and structure for fabricating solar cells using a thick layer transfer process
US7759220B2 (en) Method and structure for fabricating solar cells using a layer transfer process
US9929054B2 (en) Systems and methods for laser splitting and device layer transfer
US9640711B2 (en) Substrate cleaving under controlled stress conditions
US20170358704A1 (en) Techniques for forming optoelectronic devices
US7910458B2 (en) Method and structure using selected implant angles using a linear accelerator process for manufacture of free standing films of materials
US8071463B2 (en) Method and structure for fabricating multiple tiled regions onto a plate using a controlled cleaving process
KR20090029224A (ko) 연속적인 대면적 스캐닝 주입 공정을 위한 방법 및 시스템
JP2008244435A (ja) 選択された注入角度を用いて線形加速器工程を使用した材料の自立膜の製造方法および構造

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application