CN101944479A - 基座、成膜装置及成膜方法 - Google Patents

基座、成膜装置及成膜方法 Download PDF

Info

Publication number
CN101944479A
CN101944479A CN2010102208379A CN201010220837A CN101944479A CN 101944479 A CN101944479 A CN 101944479A CN 2010102208379 A CN2010102208379 A CN 2010102208379A CN 201010220837 A CN201010220837 A CN 201010220837A CN 101944479 A CN101944479 A CN 101944479A
Authority
CN
China
Prior art keywords
base portion
pedestal
gap
silicon wafer
peripheral part
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010102208379A
Other languages
English (en)
Other versions
CN101944479B (zh
Inventor
东真也
平田博信
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nuflare Technology Inc
Original Assignee
NIUFURAI TECHNOLOGY Co Ltd
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NIUFURAI TECHNOLOGY Co Ltd, Toshiba Corp filed Critical NIUFURAI TECHNOLOGY Co Ltd
Publication of CN101944479A publication Critical patent/CN101944479A/zh
Application granted granted Critical
Publication of CN101944479B publication Critical patent/CN101944479B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile

Abstract

本发明提供一种基座、成膜装置及成膜方法。根据本实施方式,基座具有:环状的第1基座部,支承硅晶片的外周部;和第2基座部,与第1基座部的外周部相接设置,并遮挡第1基座部的开口部分。第2基座部被配置成为在硅晶片被支承在第1基座部上的状态下,使第2基座部与硅晶片之间形成预定间隔H的间隙,并且被配置成为在第2基座部与第1基座部之间也形成与上述间隙相连续的、而且间隔与预定间隔实质上相同的间隙。

Description

基座、成膜装置及成膜方法
技术领域
本发明涉及基座(susceptor)和使用该基座的成膜装置及成膜方法。
背景技术
在像IGBT(Insulated Gate Bipolar Transistor:绝缘栅双极型晶体管)等功率器件那样、需要膜厚比较厚的结晶膜的半导体元件的制造工序中,采用外延生长(epitaxial growth)技术。
为了能够以较高的成品率制作膜厚较厚的外延晶片,需要使新的原料气体不断与被均匀加热的晶片的表面接触来提高成膜速度。因此,在使晶片高速旋转的同时进行外延生长(例如,参照日本特开平5-152207号公报)。
在日本特开平5-152207号公报中,支承晶片的环状基座嵌装在基座支架上,与基座支架连接的旋转轴旋转,从而晶片旋转。这里,基座形成为在设于其内周侧的锪孔内收纳晶片的外周部的构造。即,晶片的背面只有外周部的极其狭小的部分与基座接触,其他部分朝向从背面对晶片加热的均热板的表面露出。在这种构造的情况下,由于在加热部或旋转部产生的金属原子等污染物质,使得晶片被污染,外延膜的电特性有可能下降。
并且,在日本特开平5-152207号公报中,被导入到反应室内的原料气体与载体气体(carrier gas)的混合气体,由于伴随晶片旋转的离心力,从晶片的上表面中心部呈放射状流动,在转出到外周部后,通过排气孔被排出到反应室的外部。但是,由于基座呈环状,所以被转出去的气体的一部分通过晶片的外周部与基座的间隙流向基座的开口部分,具有在晶片与基座之间形成外延膜的问题。如果形成这种膜,将导致晶片被粘贴在基座上,不仅成为晶片输送时的障碍,而且也成为产生被称为滑移(slip)的结晶缺陷的原因。滑移将导致晶片产生翘曲、使IC器件产生泄露,并使得IC器件的成品率明显下降。
因此,提出了由支承晶片外周部的环状第1基座部、和紧密嵌装在第1基座部的开口部分上的圆盘状第2基座部构成的基座。根据这种基座,第1基座部的开口部分被第2基座部堵塞,所以能够防止晶片由于在加热部或旋转部产生的污染物质而被污染。并且,也能够切断在晶片的外周部与基座的间隙中通过的混合气体的流动。
可是,在将晶片承载在基座上时,混合气体被夹在晶片与第2基座之间。于是,通过晶片的自重而被夹持的混合气体的压力上升。该混合气体然后从晶片与第2基座之间漏出,但由于此前上升的压力的原因,导致晶片偏离预定的位置。并且,晶片被从背面进行加热,在表面形成外延膜。因此,在晶片的背面整体与第2基座部接触时,由于因加热而形成的热变形,导致晶片朝向表面侧呈凹状翘曲,有可能无法在使晶片旋转的同时进行成膜。
针对这种问题提出了下述结构,利用第1基座部支承晶片的外周部,在晶片与第2基座部之间设置间隙。根据这种结构,在承载晶片时晶片不会偏离预定位置,并且消除了晶片因加热而呈凹状翘曲的问题。但是,在这种情况下产生下述的新问题。
图8是示意表示上述结构的晶片外周部附近的局部剖面图。如该图所示,基座302由支承硅晶片301的外周部的第1基座部302a、和紧密嵌装在第1基座部302a的开口部分上的圆盘状第2基座部302b构成。并且,在硅晶片301与第2基座部302b之间设有间隙303。
基座302被未图示的加热器从图的下方加热,硅晶片301通过基座302被加热。此时,硅晶片301的外周部与第1基座部302a接触,所以通过第1基座部302a被加热。另一方面,硅晶片301的除外周部之外的部分,从第2基座部302b进而通过存在于间隙303中的气氛气体被加热。其中,第1基座部302a利用SiC构成,其热阻抗比气氛气体低,所以硅晶片301的外周部与除此之外的部分相比,温度上升。结果,导致硅晶片301的温度分布不均匀,所形成的外延膜的膜厚也不均匀。并且,热应力集中在硅晶片301与第1基座部302a之间的接触部分,也存在导致产生基座302的破损或滑移的问题。
发明内容
本发明就是鉴于这些问题而提出的。即,本发明的目的在于,提供一种基座,能够有效解决下述至少一种情况:即减少晶片的粘贴、降低金属污染、减少位置偏离、实现晶片的均匀的温度分布。
并且,本发明的另一个目的在于,提供能够形成膜厚均匀的膜的成膜装置及成膜方法。
本发明的其他目的及优点根据以下记载更加明确。
本发明的第一方式涉及一种基座,用于在对基板进行预定的处理时承载基板。
该基座具有:环状的第1基座部,支承基板的外周部;和第2基座部,与第1基座部的外周部相接设置,并遮挡第1基座部的开口部分。
第2基座部被配置成为在基板被支承在第1基座部上的状态下,使第2基座部与基板之间形成预定间隔的间隙,并且被配置成为在第2基座部与第1基座部之间也形成与上述间隙相连续的、而且间隔与预定间隔实质上相同的间隙。
本发明的第二方式涉及以具有本发明的第一方式的基座为特征的成膜装置。
具体地讲,本发明的成膜装置的特征在于,具有:成膜室,基板被搬入该成膜室;基座,用于在成膜室内承载基板;和加热部,用于通过基座对基板加热,基座具有:环状的第1基座部,支承基板的外周部;和第2基座部,与第1基座部的外周部相接设置,并遮挡第1基座部的开口部分,第2基座部在与第1基座部相对置的部分具有凹部,在基板被支承在第1基座部上的状态下,在基板与第2基座部之间形成预定间隔的间隙,并且在第1基座部与第2基座部之间也形成与上述间隙相连续的、而且间隔与预定间隔实质上相同的间隙。
本发明的第三方式是一种成膜方法,在成膜室内对基板加热的同时在基板上形成预定的膜,其特征在于,该方法包括:用环状的第1基座部支承基板的外周部;与第1基座部的外周部相接地设置用于遮挡第1基座部的开口部分的第2基座部;并且在基板被支承在第1基座部上的状态下,在基板与第2基座部之间形成预定间隔的间隙进行配置,并且配置成为在第1基座部与第2基座部之间也形成与上述间隙相连续的、而且间隔与预定间隔实质上相同的间隙,以使得基板被均匀加热。
附图说明
图1是本实施方式的成膜装置的示意剖面图。
图2是表示在本实施方式的基座上承载晶片的状态的剖面图。
图3是图2的局部放大剖面图。
图4是在本实施方式的结构和现有结构中对比晶片的温度分布的一例。
图5是本实施方式的基座的一例。
图6是本实施方式的基座的一例。
图7是本实施方式的基座的一例。
图8是示意表示在现有基座上承载晶片的状态的局部剖面图。
具体实施方式
图1是本实施方式的单张片材式的成膜装置100的示意剖面图。
在本实施方式中,作为基板采用硅晶片101。但是,不限于此,根据情况也可以采用由其他材料构成的晶片。
成膜装置100具有作为成膜室的腔室103。
在腔室103的上部设有气体供给部123,用于供给在被加热的硅晶片101的表面形成结晶膜用的原料气体。并且,在气体供给部123上连接着形成有多个原料气体的喷出孔的淋浴板124。通过将淋浴板124与硅晶片101的表面相对置配置,能够向硅晶片101的表面供给原料气体。
在腔室103的下部设有多个气体排气部125,用于将反应后的原料气体排气。气体排气部125与由调整阀126和真空泵127构成的排气机构128连接。排气机构128由未图示的控制机构控制,将腔室103内部调整为预定的压力。
在腔室103的内部,在旋转部104上设有本实施方式的基座102。基座102被暴露于高温下,所以例如使用高纯度的SiC构成。
旋转部104具有圆筒部104a和旋转轴104b。旋转轴104b通过未图示的马达而进行旋转,基座102通过圆筒部104a而旋转。
在图1中,圆筒部104a是上部开放的构造,但通过设置基座102,其上部被覆盖并形成中空区域(以下称为P2区域)。这里,在把腔室103内部设为P1区域时,P2区域实质上成为通过基座102而与P1区域隔开的区域。
在P2区域中设有作为通过基座102从背面对硅晶片101加热的加热部的内加热器120和外加热器121。这些加热器通过布线109被给电,布线109在设于旋转轴104b内部的大致圆筒状的石英制的轴108内部通过。
利用设于腔室103的上部的放射温度计122,计测通过加热而变化的硅晶片101的表面温度。另外,通过把淋浴板124设为透明石英制品,能够使淋浴板124不妨碍放射温度计122的温度测定。所计测到的温度数据被发送给未图示的控制机构,然后反馈到内加热器120和外加热器121的输出控制中。由此,能够将硅晶片101加热成为所希望的温度。
旋转部104的旋转轴104b延伸设置到腔室103的外部,并与未图示的旋转机构连接。通过使圆筒部104a以预定的转速旋转,能够使基座102旋转,进而能够使支承在基座102上的硅晶片101旋转。优选圆筒部104a以通过硅晶片101的中心、而且与硅晶片101正交的线为轴来进行旋转。
图2是表示在基座102上承载硅晶片101的状态的剖面图。并且,图3是图2的局部放大剖面图。
如图2和图3所示,基座102具有:环状第1基座部102a,支承硅晶片101的外周部;和第2基座部102b,与第1基座部102a的外周部相接设置,并遮挡第1基座部102a的开口部分。如图1所示,如果将基座102设置在腔室103内,则第1基座部102a的开口部分被第2基座部102b堵塞,所以能够防止硅晶片101被在P2区域产生的污染物质污染。并且,也能够防止原料气体通过硅晶片101的外周部与基座102的间隙进入P2区域。因此,能够防止在硅晶片101与基座102之间形成外延膜,进而导致硅晶片101粘贴在基座102上,致使产生滑移。
如图3所示,在硅晶片101与第2基座部102b之间设有间隙201。换言之,第2基座部102b在与第1基座部102a相对置的部分具有凹部,所以在硅晶片101被支承在第1基座部102a上的状态下,在硅晶片101与第2基座部102b之间形成有预定间隔的间隙201。由此,在承载晶片时,能够防止硅晶片101产生位置偏移。并且,在利用内加热器120和外加热器121通过基座102从背面对硅晶片101加热时,能够防止硅晶片101朝向表面侧呈凹状翘曲。
在硅晶片101被承载在预定位置的状态下,不仅在硅晶片101的表面形成预定膜厚的均匀的外延膜,而且也能够防止硅晶片101变形。即,在硅晶片101偏离预定的位置时,由于因旋转运动而形成的离心力的偏倚的原因,晶片有可能飞散。另一方面,如图3所示,如果在硅晶片101与第2基座部102b之间具有间隙201,则在承载时硅晶片101不会偏离,所以能够对硅晶片101在预定的位置进行成膜处理。即,能够防止硅晶片101飞散。
基座102在第1基座部102a与第2基座部102b之间具有间隙202。间隙202是与间隙201连续的空间。即,在间隙201与间隙202之间没有设置将这些空间隔开的遮蔽物。
如图1所示,在将硅晶片101承载在基座102上后,硅晶片101的外周部与第1基座部102a接触。在这种状态下,利用内加热器120和外加热器121通过基座102从背面对硅晶片101加热。其中,基座102具有:环状第1基座部102a,支承硅晶片101的外周部;和第2基座部102b,与第1基座部102a的外周部相接设置,并遮挡第1基座部102a的开口部分,所以最先被内加热器120和外加热器121加热的是第2基座部102b。然后,从第2基座部102b通过位于间隙201和间隙202中的气氛气体及第1基座部102a,将硅晶片101加热。下面具体说明硅晶片101被加热的情况。
硅晶片101的除外周部之外的部分,从第2基座部102b通过存在于间隙201中的气氛气体被加热。另一方面,硅晶片101的外周部与第1基座部102a接触,所以通过第1基座部102a被加热。此时,在第1基座部102a与第2基座部102b之间设有间隙202,由此硅晶片101的外周部通过下面两个路径被加热。
一个路径是从第2基座部102b通过存在于间隙202中的气氛气体,再通过第1基座部102a将硅晶片101加热的路径。另一个路径是通过与第2基座部102b之间的接触部,第1基座部102a被加热,然后是硅晶片101被加热的路径。无论哪个路径,都是硅晶片101的外周部与第1基座部102a接触并被加热,这一点与上述图8的示例相同。
但是,在图8的示例中,第1基座部302a先被加热器加热,与此相对,根据本实施方式的结构,首先是第2基座部102b被加热器加热,然后该热量传递给第1基座部102a,这一点是不同的。另外,在从第2基座部102b向第1基座部102a传递热时,通过存在于间隙202中的气氛气体,将热传递给与硅晶片101的外周部接近的第1基座部102a。另一方面,热从第2基座部102b直接传递给第1基座部102a的部分是这些基座部接触的部分,即第1基座部102a的外周部,亦即与硅晶片101的外周部分离的部分。即,第1基座部102a与硅晶片101的外周部接触,该接触部分被加热,这一点与图8相同,但与外周部接触的第1基座部102a的温度比图8所示情况时低。并且,根据本实施方式的结构,在间隙201与间隙202之间没有设置将这些空间隔开的遮蔽物,所以不存在通过遮蔽物从第2基座部102b向硅晶片101或第1基座部102a传递热,使得硅晶片101的特定部分的温度上升的情况。
在图3中,优选间隙201的高度H和间隙202的高度H’实质上相同。存在于这些间隙中的气氛气体的热阻抗比SiC高,所以通过调整间隙的高度,能够调整硅晶片101的温度分布。即,通过使高度H与高度H’相同,能够使硅晶片101的温度分布均匀。高度H和高度H’例如能够设为0.6mm~0.8mm范围内的相同的值,但是优选根据腔室内的压力适当设定。并且,硅晶片101的温度分布也能够根据间隙202的横向长度L进行调整。在L变长时,通过与第2基座部102b之间的接触部从第1基座部102a传递给硅晶片101的热量减少,硅晶片101的外周部的温度变低。
另外,在基座102中,第1基座部102a和第2基座部102b能够是在分别独立形成后再组合的构造,但也可以是从最初就形成为一体的构造。
图4是实施热传导模拟,对使用本实施方式的基座(基座102)情况和使用图8所示的现有基座(基座301)的情况,将硅晶片的温度分布进行对比的一例。另外,横轴表示距硅晶片的中心的距离,纵轴表示硅晶片的温度。根据该图可知,在使用现有基座的情况下,在硅晶片的外周部温度明显升高,但根据本实施方式的基座,外周部的温度上升被抑制,能够形成大致均匀的温度分布。
这样,在基座102中,第2基座部102b被配置成为在硅晶片101被支承在第1基座部102a上的状态下,使第2基座部102b与硅晶片101之间形成预定间隔H的间隙201,并且被配置成为在其与第1基座部102a之间也形成与间隙201相连续的间隙202。这里,优选间隙202的间隔H’实质上与间隔201的间隔H相同。根据具有这种构造的基座102,硅晶片101的外周部的温度相比除外周部之外的部分不会急剧上升,所以不会妨碍硅晶片101的均匀的温度分布。并且,硅晶片101的外周部的热应力降低,所以也能够防止在硅晶片101产生滑移。
图5是本实施方式的基座的另一个示例,是将硅晶片承载在基座上的状态的局部剖面图。另外,在图5中没有示出的基座的另一端与图5所示的构造相同。
图5所示的基座1021具有:环状第1基座部102a1,支承硅晶片101的外周部;和第2基座部102b1,与第1基座部102a1的外周部相接设置,并遮挡第1基座部102a1的开口部分,在硅晶片101与第2基座部102b1之间设有间隙2011,并且在第1基座部102a1与第2基座部102b1之间也设有间隙2021,这一点与图3所示的基座102相同。
但是,在基座102中,位于间隙201和间隙202的边界处的第1基座部102a和第2基座部102b的断面,分别具有与垂直方向平行的端部102a’、102b’,与此相对,在基座1021中,位于间隙2011和间隙2021的边界处的第1基座部102a1和第2基座部102b1的剖面端部102a1’、102b1’,分别具有锥形形状,这一点不同。
如上所述,在基座102中,在间隙201与间隙202之间没有设置将这些空间隔开的遮蔽物。因此,不存在通过遮蔽物从第2基座部102b向硅晶片101或第1基座部102a传递热,使得硅晶片101的特定部分的温度上升的情况。但是,在第1基座部102a的位置和第2基座部102b的位置相对偏离,它们之间的距离非常接近或者它们接触时,可认为是处于和在间隙201与间隙202之间设有遮蔽物时相同的状态。
因此,如图5所示,使位于间隙2011和间隙2021的边界处的第1基座部102a1和第2基座部102b1的剖面端部102a1’、102b1’分别形成为锥形形状。由此,在第1基座部102a1的位置和第2基座部102b1的位置相对偏离时,也能够使它们之间的距离不会大幅变化。
另外,在基座1021中,第1基座部102a1和第2基座部102b1能够是在分别独立形成后再组合的构造,但也可以是从最初就形成为一体的构造。
图6是本实施方式的基座的另一个示例,是将硅晶片承载在基座上的状态的局部剖面图。另外,在图6中没有示出的基座的另一端与图6所示的构造相同。
图6所示的基座1022具有:环状第1基座部102a2,支承硅晶片101的外周部;第2基座部102b2,遮挡第1基座部102a2的开口部分;和第3基座部102c2,其形成为开口部分比第1基座部102a2大的环状,与第1基座部102a2的外周部相接设置,并支承第2基座部102b2的外周部。即,基座1022与将图3或图5中的第2基座部在支承第1基座部的部分分割为两部分的构造相对应。
换言之,基座1022能够表述成为下述构造,即:图3或图5中的第2基座部被分割成为第1部分和第2部分,该第1部分遮挡第1基座部的开口部分,该第2部分形成为开口部分比第1基座部大的环状,与第1基座部的外周部相接设置,并支承第1部分的外周部。第1部分与图6中的第2基座部102b2对应,第2部分与图6中的第3基座部102c2对应。
图6所示的基座1022在硅晶片101与第2基座部102b2之间设有间隙2012,并且在第1基座部102a2与第2基座部102b2之间也设有间隙2022,这一点与图3或图5所示的基座相同。另外,在图6中,位于间隙2012和间隙2022的边界处的第1基座部102a2和第2基座部102b2的剖面端部102a2’、102b2’,分别具有锥形形状,但也可以是与图3所示的基座相同的与垂直方向平行的端部。
在图3或图5所示的第2基座部中,存在热应力集中在支承第1基座部的部分和第1基座部与第2基座部的间隙之间的边界附近的情况。并且,在第2基座部由于热变形而挠曲,使得硅晶片与第2基座部的距离变化时,认为很难使硅晶片的温度分布均匀。
因此,在支承第1基座部的部分将第2基座部分割成为两部分。即,如图6所示,利用环状第1基座部102a2、第2基座部102b2和第3基座部102c2构成基座1022,其中第1基座部102a2支承硅晶片101的外周部,第2基座部102b2遮挡第1基座部102a2的开口部分,第3基座部102c2形成为开口部分比第1基座部102a2大的环状,与第1基座部102a2的外周部相接设置,并支承第2基座部102b2的外周部。根据这种结构,应力容易集中的部分被预先分割,所以能够避免应力集中于该部分使得基座1022破损。并且,第2基座部102b2因挠曲而出现的变形也得到减轻,所以能够使硅晶片101的温度分布更加均匀。
图7是本实施方式的基座的另一个示例,是将硅晶片承载在基座上的状态的局部剖面图。另外,在图7中没有示出的基座的另一端与图7所示的构造相同。
图7所示的基座1023与将图6所示的基座1022的第2基座部102b2在间隙2012和间隙2022的边界附近进行分割的构造相对应。这种构造能够表述如下。即:将图6所示的基座1022表述成为将图3或图5中的第2基座部分割成为第1部分和第2部分的构造,其中第1部分遮挡第1基座部的开口部分,第2部分形成为开口部分比第1基座部大的环状,与第1基座部的外周部相接设置,并支承第1部分的外周部,在这种表述的情况下,能够将图7所示的基座1023表述成为第1部分被分割成为第3部分和第4部分的构造,该第3部分遮挡第1基座部的开口部分,该第4部分形成为支承第3部分的环状,并被支承在第2部分上。第3部分与图7中的第2基座部102b3对应,第4部分与图7中的第4基座部102d3对应。
在图7中,基座1023具有:环状第1基座部102a3,支承硅晶片101的外周部;第2基座部102b3,遮挡第1基座部102a3的开口部分;第3基座部102c3,其形成为开口部分比第1基座部102a3大的环状,与第1基座部102a3的外周部相接设置;和第4基座部102d3,其形成为支承第2基座部102b3的环状,并被支承第3基座部102c3上。
在基座1023中,在硅晶片101与第2基座部102b3之间设有间隙2013,并且在第1基座部102a3与第4基座部102d3之间也设有间隙2023。另外,在图7中,位于间隙2013和间隙2023的边界处的第1基座部102a3和第4基座部102d3的剖面端部102a3’、102d3’,分别具有锥形形状,但也可以是与图3所示的基座相同的与垂直方向平行的端部。
已经说明了通过使基座形成为图6所示的构造,能够减轻产生于第2基座部的应力。并且,根据图7所示的构造,能够进一步减轻产生于第2基座部的应力。即,通过形成为预先将图6中第2基座部102b2的间隙2012和间隙2022的边界附近进行分割的、图7所示的构造,第2基座部在热变形时的约束被释放,所以能够降低产生于第2基座部整体的应力。并且,根据图7所示的构造,能够在简便且稳定的状态下输送晶片。例如,在图1中,在旋转部104的内部设置升降销,使该升降销上升并支承第2基座部102b3,然后使该升降销继续上升,使第2基座部102b3从第4基座部102d3离开。再使第2基座部102b3上升,利用第2基座部102b3支承被搬入到腔室103内部的硅晶片101的下表面。然后,使第2基座部102b3在由升降销支承着的状态下下降,并返回到初始位置。这样,根据图7所示的构造,能够利用第2基座部102b3支承硅晶片101。因此,能够将硅晶片101在简便且稳定状态下承载在基座1023上的能够进行成膜处理的位置。
如以上说明的那样,根据本实施方式的基座,在第1基座部与第2基座部(在图7的示例中是第4基座部)之间具有间隙,所以即使是通过使第1基座部与晶片的外周部接触来将该部分加热的结构,与外周部接触的第1基座部的温度也比现有结构低。因此,晶片的外周部的温度相比除外周部之外的部分的温度不会急剧上升,所以不会妨碍晶片的均匀的温度分布。并且,在晶片与第1基座部之间的接触部分的热应力集中也得到减轻,所以能够减少基座破损、在晶片产生滑移的情况。
总之,根据本实施方式,能够提供减少晶片的粘贴、金属污染及位置偏离,并且有效实现晶片的均匀的温度分布的成膜装置。
并且,根据本实施方式的成膜装置,由于使用上述基座,所以能够减少滑移的产生,在晶片上成膜膜厚均匀的膜。
关于本实施方式的成膜方法的一例,参照图1进行说明。根据该成膜方法,能够减少滑移的产生,在晶片上成膜膜厚均匀的膜。另外,也可以使用基座1021、1022、1023取代基座102。
首先,如图2所示,将硅晶片101承载在基座102上。具体地讲,将硅晶片101的外周部支承在环状第1基座部102a上,与第1基座部102a的外周部相接地设置用于遮挡第1基座部102a的开口部分的第2基座部102b,并且,为了使硅晶片101被均匀加热,在硅晶片101被支承在第1基座部102a上的状态下,在硅晶片101与第2基座部102b之间隔开预定间隔H的间隙进行配置,并且配置成为在第1基座部102a与第2基座部102b之间也形成与间隙201相连续、而且实质上与预定间隔H相同的间隔H’的间隙202。然后,例如使氢气在几十torr的减压状态下流过的同时,跟随旋转部104使硅晶片101以约50rpm旋转。
然后,利用内加热器120和外加热器121将硅晶片101加热到1100℃~1200℃。例如,缓慢加热到作为成膜温度的1150℃。
在通过放射温度计122的测定,确认硅晶片101的温度达到1150℃后,使硅晶片101的转速缓慢上升。并且,从气体供给部123通过淋浴板124向腔室103的内部供给原料气体。在本实施方式中,作为原料气体能够使用三氯硅烷(トリクロロシラン),在与作为载体气体的氢气混合的状态下,从气体供给部123导入到腔室103的内部。
被导入到腔室103内部的原料气体流向硅晶片101。并且,使硅晶片101的温度保持在1150℃,使基座102以900rpm以上的高速度旋转,同时从气体供给部123通过淋浴板124不断向硅晶片101供给新的原料气体。由此,能够以高的成膜速度、高效率地形成外延膜。
通过这样导入原料气体并使基座102旋转,能够在硅晶片101上生长厚度均匀的硅外延层。例如,在功率半导体的用途中,在300mm的硅晶片上形成10μm以上、多数情况时约为10μm~100μm的厚膜。为了形成厚膜,可以提高成膜时的基板的转速,例如设为上述的约900rpm的转速。
另外,关于硅晶片101向腔室103内的搬入或者向腔室103外部的搬出,能够采用公知的方法。
例如,在采用图3所示的基座102、即第1基座部102a和第2基座部102b构成为一体的基座时,例如能够利用伯努利(ベルヌ一イ)效应来输送硅晶片101。例如,使保持气体从硅晶片的背面的中央部附近朝向周缘部方向呈放射状喷出。于是,产生伯努利效应,能够使硅晶片浮起并进行保持。另外,在采用图5所示的基座1021、即第1基座部102a1和第2基座部102b1构成为一体的基座时也相同。
并且,在采用图7所示的基座时能够按照下面所述进行操作。
例如,在图1中,使用未图示的搬运机器人,将硅晶片101搬入腔室103。这里,假设在旋转部104的内部设有贯穿旋转轴104b内部的未图示的升降销。使升降销上升并支承第2基座部102b3,然后使升降销继续上升,使第2基座部102b3从第4基座部102d3抬起并离开。再使第2基座部102b3上升,利用第2基座部102b3支承被支承在搬运机器人上的硅晶片101的下表面。如果在第2基座部102b3的与硅晶片101相对置的面上设置未图示的多个凸部,则能够利用凸部支承硅晶片101。然后,使硅晶片101从搬运机器人离开,只利用第2基座部102b3支承硅晶片101基板。将硅晶片101转交给第2基座部102b3后的搬运机器人,从腔室103内退出。然后,使接收了硅晶片101的第2基座部102b3以由升降销支承着的状态下降。并且,使第2基座部102b3返回到初始位置。这样,能够将硅晶片101承载在基座1023上的能够进行成膜处理的位置。在结束成膜处理后,通过与上述相反的操作,把硅晶片101从第2基座部102b3转交给搬运机器人,搬出到腔室103外部。
在采用图3或图5所示的基座、即第1基座部和第2基座部没有构成为一体的基座时,或采用图6所示的基座时,利用升降销使第1基座部升降,由此能够在基座与搬运机器人之间转交硅晶片101。
本发明的实施方式的特征和优点可以总结如下。
在本发明的实施方式的基座中,能够提供减少晶片的粘贴、金属污染及位置偏离,并且有效实现晶片的均匀的温度分布的基座。
在本发明的实施方式的成膜装置中,能够提供减少滑移的产生、形成膜厚均匀的膜的成膜装置。
在本发明的实施方式的成膜方法中,与成膜装置相同,能够提供减少滑移的产生、形成膜厚均匀的膜的成膜方法。
另外,本发明不限于上述实施方式,当然可以在不脱离本发明宗旨的范围内进行各种变形。
例如,在上述实施方式中构成为在使硅晶片旋转的同时进行成膜,但是也可以在进行成膜时不使硅晶片旋转。
并且,在上述实施方式中,作为成膜装置的一例列举了外延生长装置,但本发明不限于此。只要是向成膜室内供给反应气体,对放置于成膜室内的晶片进行加热,在晶片的表面形成膜的成膜装置,则也可以是CVD装置等其他的成膜装置。

Claims (18)

1.一种基座,用于在对基板进行预定的处理时承载所述基板,其特征在于,
所述基座具有:
环状的第1基座部,支承所述基板的外周部;和
第2基座部,与所述第1基座部的外周部相接设置,并遮挡所述第1基座部的开口部分,
所述第2基座部被配置成为在所述基板被支承在所述第1基座部上的状态下,使所述第2基座部与所述基板之间形成预定间隔的间隙,并且被配置成为在所述第2基座部与所述第1基座部之间也形成与所述间隙相连续的、而且间隔与所述预定间隔实质上相同的间隙。
2.根据权利要求1所述的基座,其特征在于,
所述第2基座部被分割为第1部分和第2部分,所述第1部分遮挡所述第1基座部的开口部分,所述第2部分形成为开口部分比所述第1基座部大的环状,并与所述第1基座部的外周部相接设置,并且支承所述第1部分的外周部。
3.根据权利要求2所述的基座,其特征在于,
所述第1部分被分割为第3部分和第4部分,所述第3部分遮挡所述第1基座部的开口部分,所述第4部分形成为支承所述第3部分的环状,并被支承在所述第2部分上。
4.根据权利要求1所述的基座,其特征在于,
位于两个间隙的边界处的所述第1基座部和所述第2基座部的剖面端部,分别具有锥形形状。
5.根据权利要求4所述的基座,其特征在于,
所述第2基座部被分割为第1部分和第2部分,所述第1部分遮挡所述第1基座部的开口部分,所述第2部分形成为开口部分比所述第1基座部大的环状,并与所述第1基座部的外周部相接设置,并且支承所述第1部分的外周部。
6.根据权利要求5所述的基座,其特征在于,
所述第1部分被分割为第3部分和第4部分,所述第3部分遮挡所述第1基座部的开口部分,所述第4部分形成为支承所述第3部分的环状,并被支承在所述第2部分上。
7.一种成膜装置,其特征在于,具有:
成膜室,基板被搬入该成膜室;
基座,用于在所述成膜室内承载所述基板;和
加热部,用于通过所述基座对所述基板加热,
所述基座具有:
环状的第1基座部,支承所述基板的外周部;和
第2基座部,与所述第1基座部的外周部相接设置,并遮挡所述第1基座部的开口部分,
所述第2基座部在与所述第1基座部相对置的部分具有凹部,在所述基板被支承在所述第1基座部上的状态下,在所述基板与所述第2基座部之间形成预定间隔的间隙,并且在所述第1基座部与所述第2基座部之间也形成与所述间隙相连续的、而且间隔与所述预定间隔实质上相同的间隙。
8.根据权利要求7所述的成膜装置,其特征在于,
所述第2基座部被分割为第1部分和第2部分,所述第1部分遮挡所述第1基座部的开口部分,所述第2部分形成为开口部分比所述第1基座部大的环状,并与所述第1基座部的外周部相接设置,并且支承所述第1部分的外周部。
9.根据权利要求8所述的成膜装置,其特征在于,
所述第1部分被分割为第3部分和第4部分,所述第3部分遮挡所述第1基座部的开口部分,所述第4部分形成为支承所述第3部分的环状,并被支承在所述第2部分上。
10.根据权利要求7所述的成膜装置,其特征在于,
位于两个间隙的边界处的所述第1基座部和所述第2基座部的剖面端部,分别具有锥形形状。
11.根据权利要求10所述的成膜装置,其特征在于,
所述第2基座部被分割为第1部分和第2部分,所述第1部分遮挡所述第1基座部的开口部分,所述第2部分形成为开口部分比所述第1基座部大的环状,并与所述第1基座部的外周部相接设置,并且支承所述第1部分的外周部。
12.根据权利要求11所述的成膜装置,其特征在于,
所述第1部分被分割为第3部分和第4部分,所述第3部分遮挡所述第1基座部的开口部分,所述第4部分形成为支承所述第3部分的环状,并被支承在所述第2部分上。
13.一种成膜方法,在成膜室内对基板加热的同时在所述基板上形成预定的膜,其特征在于,该方法包括:
用环状的第1基座部支承所述基板的外周部;
与所述第1基座部的外周部相接地设置用于遮挡所述第1基座部的开口部分的第2基座部;并且
在所述基板被支承在所述第1基座部上的状态下,在所述基板与所述第2基座部之间形成预定间隔的间隙进行配置,并且配置成为在所述第1基座部与所述第2基座部之间也形成与所述间隙相连续的、而且间隔与所述预定间隔实质上相同的间隙,以使得所述基板被均匀加热。
14.根据权利要求13所述的成膜方法,其特征在于,
所述第2基座部被分割为第1部分和第2部分,所述第1部分遮挡所述第1基座部的开口部分,所述第2部分形成为开口部分比所述第1基座部大的环状,并与所述第1基座部的外周部相接设置,并且支承所述第1部分的外周部。
15.根据权利要求14所述的成膜方法,其特征在于,
所述第1部分被分割为第3部分和第4部分,所述第3部分遮挡所述第1基座部的开口部分,所述第4部分形成为支承所述第3部分的环状,并被支承在所述第2部分上。
16.根据权利要求14所述的成膜方法,其特征在于,
位于两个间隙的边界处的所述第1基座部和所述第2基座部的剖面端部,分别具有锥形形状。
17.根据权利要求16所述的成膜方法,其特征在于,
所述第2基座部被分割为第1部分和第2部分,所述第1部分遮挡所述第1基座部的开口部分,所述第2部分形成为开口部分比所述第1基座部大的环状,并与所述第1基座部的外周部相接设置,并且支承所述第1部分的外周部。
18.根据权利要求17所述的成膜方法,其特征在于,
所述第1部分被分割为第3部分和第4部分,所述第3部分遮挡所述第1基座部的开口部分,所述第4部分形成为支承所述第3部分的环状,并被支承在所述第2部分上。
CN201010220837.9A 2009-07-01 2010-07-01 基座、成膜装置及成膜方法 Active CN101944479B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP156809/2009 2009-07-01
JP2009156809A JP5038365B2 (ja) 2009-07-01 2009-07-01 サセプタおよび成膜装置

Publications (2)

Publication Number Publication Date
CN101944479A true CN101944479A (zh) 2011-01-12
CN101944479B CN101944479B (zh) 2014-05-07

Family

ID=43436405

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201010220837.9A Active CN101944479B (zh) 2009-07-01 2010-07-01 基座、成膜装置及成膜方法

Country Status (5)

Country Link
US (1) US8795435B2 (zh)
JP (1) JP5038365B2 (zh)
KR (1) KR101160413B1 (zh)
CN (1) CN101944479B (zh)
TW (1) TWI412100B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106948002A (zh) * 2017-03-15 2017-07-14 南京国盛电子有限公司 电磁感应加热外延炉的双面基座结构
CN109423626A (zh) * 2017-08-30 2019-03-05 胜高股份有限公司 成膜装置、成膜用托盘、成膜方法、成膜用托盘的制造方法

Families Citing this family (200)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8104951B2 (en) * 2006-07-31 2012-01-31 Applied Materials, Inc. Temperature uniformity measurements during rapid thermal processing
JP5038365B2 (ja) * 2009-07-01 2012-10-03 株式会社東芝 サセプタおよび成膜装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI505400B (zh) * 2011-08-26 2015-10-21 Lg Siltron Inc 基座
JP6026124B2 (ja) * 2012-03-28 2016-11-16 株式会社Screenホールディングス 熱処理装置
US9330949B2 (en) 2012-03-27 2016-05-03 SCREEN Holdings Co., Ltd. Heat treatment apparatus for heating substrate by irradiating substrate with flash of light
JP2013253286A (ja) * 2012-06-06 2013-12-19 Kaneka Corp 薄膜の製造方法
DE102012106796A1 (de) * 2012-07-26 2014-01-30 Aixtron Se Thermische Behandlungsvorrichtung mit einem auf einem Substratträgersockel aufsetzbaren Substratträgerring
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10727092B2 (en) * 2012-10-17 2020-07-28 Applied Materials, Inc. Heated substrate support ring
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
DE102013012082A1 (de) 2013-07-22 2015-01-22 Aixtron Se Vorrichtung zum thermischen Behandeln eines Halbleitersubstrates, insbesondere zum Aufbringen einer Beschichtung
JP6444641B2 (ja) 2014-07-24 2018-12-26 株式会社ニューフレアテクノロジー 成膜装置、サセプタ、及び成膜方法
US9517539B2 (en) * 2014-08-28 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer susceptor with improved thermal characteristics
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6424726B2 (ja) * 2015-04-27 2018-11-21 株式会社Sumco サセプタ及びエピタキシャル成長装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6539929B2 (ja) 2015-12-21 2019-07-10 昭和電工株式会社 ウェハ支持機構、化学気相成長装置およびエピタキシャルウェハの製造方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
DE102016210203B3 (de) * 2016-06-09 2017-08-31 Siltronic Ag Suszeptor zum Halten einer Halbleiterscheibe, Verfahren zum Abscheiden einer epitaktischen Schicht auf einer Vorderseite einer Halbleiterscheibe und Halbleiterscheibe mit epitaktischer Schicht
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
CN111052308A (zh) 2017-09-01 2020-04-21 纽富来科技股份有限公司 气相生长装置及气相生长方法
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US20200270736A1 (en) * 2019-02-26 2020-08-27 Rolls-Royce High Temperature Composites, Inc. Thermal spray deposited environmental barrier coating
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11702728B2 (en) 2019-05-28 2023-07-18 Rolls-Royce Corporation Post deposition heat treatment of coating on ceramic or ceramic matrix composite substrate
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TWI772005B (zh) * 2021-04-28 2022-07-21 錼創顯示科技股份有限公司 半導體晶圓承載結構及有機金屬化學氣相沉積裝置
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04211117A (ja) * 1990-03-19 1992-08-03 Toshiba Corp 気相成長装置および方法
JPH05152207A (ja) * 1991-11-28 1993-06-18 Toshiba Mach Co Ltd 気相成長方法
US5584936A (en) * 1995-12-14 1996-12-17 Cvd, Incorporated Susceptor for semiconductor wafer processing
JP2006237516A (ja) * 2005-02-28 2006-09-07 Hitachi Kokusai Electric Inc 基板処理装置
CN101118854A (zh) * 2006-08-02 2008-02-06 美商慧程系统科技股份有限公司 等离子体刻蚀系统

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69126724T2 (de) 1990-03-19 1998-01-15 Toshiba Kawasaki Kk Vorrichtung zur Dampfphasenabscheidung
JP4211117B2 (ja) 1999-02-16 2009-01-21 東亞合成株式会社 接着剤組成物
JP3908112B2 (ja) 2002-07-29 2007-04-25 Sumco Techxiv株式会社 サセプタ、エピタキシャルウェーハ製造装置及びエピタキシャルウェーハ製造方法
TWI354320B (en) 2006-02-21 2011-12-11 Nuflare Technology Inc Vopor phase deposition apparatus and support table
JP5038073B2 (ja) * 2007-09-11 2012-10-03 株式会社ニューフレアテクノロジー 半導体製造装置および半導体製造方法
KR101405299B1 (ko) * 2007-10-10 2014-06-11 주성엔지니어링(주) 기판 지지대 및 이를 구비하는 박막 증착 장치
JP5283370B2 (ja) * 2007-11-29 2013-09-04 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
JP5197030B2 (ja) * 2008-01-16 2013-05-15 株式会社東芝 エピタキシャルウェーハの製造装置及び製造方法
JP5038365B2 (ja) * 2009-07-01 2012-10-03 株式会社東芝 サセプタおよび成膜装置
JP5038381B2 (ja) * 2009-11-20 2012-10-03 株式会社東芝 サセプタおよび成膜装置
JP5152207B2 (ja) 2010-01-11 2013-02-27 株式会社デンソー 多相回転機の制御装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04211117A (ja) * 1990-03-19 1992-08-03 Toshiba Corp 気相成長装置および方法
JPH05152207A (ja) * 1991-11-28 1993-06-18 Toshiba Mach Co Ltd 気相成長方法
US5584936A (en) * 1995-12-14 1996-12-17 Cvd, Incorporated Susceptor for semiconductor wafer processing
JP2006237516A (ja) * 2005-02-28 2006-09-07 Hitachi Kokusai Electric Inc 基板処理装置
CN101118854A (zh) * 2006-08-02 2008-02-06 美商慧程系统科技股份有限公司 等离子体刻蚀系统

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106948002A (zh) * 2017-03-15 2017-07-14 南京国盛电子有限公司 电磁感应加热外延炉的双面基座结构
CN106948002B (zh) * 2017-03-15 2019-07-09 南京国盛电子有限公司 电磁感应加热外延炉的双面基座结构
CN109423626A (zh) * 2017-08-30 2019-03-05 胜高股份有限公司 成膜装置、成膜用托盘、成膜方法、成膜用托盘的制造方法
CN109423626B (zh) * 2017-08-30 2021-07-09 胜高股份有限公司 成膜装置、成膜用托盘、成膜方法、成膜用托盘的制造方法

Also Published As

Publication number Publication date
JP2011014682A (ja) 2011-01-20
KR20110002427A (ko) 2011-01-07
US8795435B2 (en) 2014-08-05
CN101944479B (zh) 2014-05-07
KR101160413B1 (ko) 2012-06-26
TW201118978A (en) 2011-06-01
JP5038365B2 (ja) 2012-10-03
TWI412100B (zh) 2013-10-11
US20110171380A1 (en) 2011-07-14

Similar Documents

Publication Publication Date Title
CN101944479B (zh) 基座、成膜装置及成膜方法
KR100778218B1 (ko) 기상 성장 장치와 기상 성장 방법
JP5038381B2 (ja) サセプタおよび成膜装置
US9150981B2 (en) Manufacturing apparatus and method for semiconductor device
KR101432157B1 (ko) 기판 지지대 및 이를 구비하는 기판 처리 장치
CN102144280A (zh) 具有变化热阻的晶片载体
CN103168353A (zh) 增强的晶圆载体
KR20070083410A (ko) 기상성장장치와 지지대
CN102498558A (zh) 双温度加热器
KR101432916B1 (ko) 웨이퍼 리프트 장치
JP5204721B2 (ja) 成膜装置および成膜方法
CN214313127U (zh) 一种薄膜生长系统以及基片托盘和载环组件
US8968475B2 (en) Substrate processing apparatus
JP5432608B2 (ja) 半導体製造方法および半導体製造装置
KR20130024816A (ko) 기상 성장 장치 및 기상 성장 방법
JP2001127143A (ja) 基板支持装置
KR100919661B1 (ko) 반도체 제조 장치
JP2013048262A (ja) サセプタ、半導体製造装置及び半導体製造方法
JP4115331B2 (ja) 基板処理装置
TW202037752A (zh) 晶圓傳送裝置、氣相成長裝置、晶圓傳送方法及磊晶矽晶圓的製造方法
CN114686858B (zh) 一种薄膜生长系统以及基片托盘和载环组件
CN104143501A (zh) 半导体装置的制造方法以及制造装置
JP5006821B2 (ja) 基板処理装置および半導体装置の製造方法
JP7387129B2 (ja) 成膜用冶具及び常圧気相成長装置
KR101909191B1 (ko) 기판 지지 유닛 및 이를 가지는 기판 처리 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20230112

Address after: Kanagawa

Patentee after: NUFLARE TECHNOLOGY, Inc.

Address before: Tokyo, Japan

Patentee before: Toshiba Corp.

Patentee before: NUFLARE TECHNOLOGY, Inc.

TR01 Transfer of patent right