CN101606226B - 衬底混合模式加工方法、衬底加工系统、集成加工系统、集成加工工具 - Google Patents

衬底混合模式加工方法、衬底加工系统、集成加工系统、集成加工工具 Download PDF

Info

Publication number
CN101606226B
CN101606226B CN2007800486003A CN200780048600A CN101606226B CN 101606226 B CN101606226 B CN 101606226B CN 2007800486003 A CN2007800486003 A CN 2007800486003A CN 200780048600 A CN200780048600 A CN 200780048600A CN 101606226 B CN101606226 B CN 101606226B
Authority
CN
China
Prior art keywords
manifold
substrate
reactor
chemicals
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2007800486003A
Other languages
English (en)
Other versions
CN101606226A (zh
Inventor
库尔特·H.·魏纳
托尼·P.·江
阿龙·弗朗西斯
约翰·施密特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intermolecular Inc
Original Assignee
Intermolecular Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intermolecular Inc filed Critical Intermolecular Inc
Publication of CN101606226A publication Critical patent/CN101606226A/zh
Application granted granted Critical
Publication of CN101606226B publication Critical patent/CN101606226B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/907Continuous processing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/907Continuous processing
    • Y10S438/908Utilizing cluster apparatus
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/913Diverse treatments performed in unitary chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/4673Plural tanks or compartments with parallel flow
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/4673Plural tanks or compartments with parallel flow
    • Y10T137/469Sequentially filled and emptied [e.g., holding type]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87249Multiple inlet with multiple outlet
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87265Dividing into parallel flow paths with recombining
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87265Dividing into parallel flow paths with recombining
    • Y10T137/87281System having plural inlets

Abstract

本发明描述一种集成加工工具,其包括整片加工模块和组合加工模块。用在组合加工模块中的化学制品从包括一组第一歧管的传送系统馈送。每个第一歧管的输出连接到至少一个混合导管。每个混合导管的输出馈送一组第二歧管中的多于一个。每组第二歧管的输出馈送组合加工模块的多个位隔离反应器中的一个。

Description

衬底混合模式加工方法、衬底加工系统、集成加工系统、集成加工工具
技术领域
本公开内容在此主要涉及衬底加工,更具体地,涉及使用集成位隔离加工和整片加工的衬底加工。 
背景技术
为实现针对硅集成电路(IC)的每次连续产生的所期望的性能提高,半导体制造已经日益增加地依赖于新材料以及它们向先进工艺序列的集成。不幸的是,通常的半导体制造设备并不良好适合于材料开发和集成。影响通常的半导体制造设备的使用的问题包括,快速改变工艺材料和化学制品的困难、在单个反应器或工艺室内对多种材料或化学制品进行集成和定序的能力受限、高设备成本、大样品尺寸(300mm晶片)、和不够灵活的工艺/反应器配置。为了弥补传统制造工具的不足,对于工艺设备已经出现如下需求,工艺设备面对多种多样的工艺状况应该便于快速测试新材料和材料加工序列。 
附图说明
图1是在一个实施例下的衬底加工系统(SPS)。 
图2是在一个实施例下的用于组合工艺序列集成的流程图。 
图3是在一个实施例下的包括位隔离加工和/或传统加工的组合工艺序列集成工艺流程。 
图4是在一个实施例下的集成加工工具的框图,该集成加工工具在此称为多通道位隔离反应器(MCSIR)。 
图5是在一个实施例下的MCSIR的位隔离加工模块(SIPM)。 
图6示出在一个实施例下的在SIPM的一个子集的构件之间的连接。 
图7是在一个实施例下的包括独立过程和废料路径的流动池的框图。 
图8示出在一个实施例下的包括与卡盘配合的流动池组件的MCSIR。 
图9示出了一个实施例的液力密封系统。 
具体实施方式
下文描述的是一种集成加工工具,在此称为多通道位隔离反应器(MCSIR)。所述MCSIR由整片加工模块和组合的位隔离(site-isolated)加工模块构成。集成加工工具的主要用途在于,实现在全衬底和全衬底的多个位隔离区域之间的混合模式加工。同样地,从公共传送系统馈送(feed)用于加工模块中的化学制品,该公共传送系统包括一组第一歧管,所述第一歧管能够产生多种溶液,采用组合方式可改变所述溶液的成分并且所述溶液的组分可被指定为用于工艺步骤的配方的一部分。为了允许彻底的溶液混合以及精确的温度和PH值控制,每个第一歧管的输出连接到至少一个混合导管。每个混合导管的输出接着分配到一组第二歧管中的一个或多个。每组第二歧管的输出馈送加工模块的一个或多个反应器。除了提供在混合导管中静态混合的溶液之外,第二组歧管还能够同时分配多种化学制品,以易于对溶液进行动态协调混合。 
对于位隔离加工,MCSIR集成多个独立受控的工艺室,它们共同处于在全衬底上的多个独立位置处。MCSIR提供如下能力,即,以一种或多种串行和/或并行方式将多种化学溶液或成分混合并分配到衬底上,并提供如下能力,即,针对任意数量的反应器或反应器的一个或多个子集独立改变流量和/或溶液成分。MCSIR提供如下能力,即,同步加工步骤,并且当用于工艺步骤的全局参数要求针对非位隔离控制参数(例如,晶片衬底的温度、反应器高度/体积等等)进行这种同步时,控制遍历所有位隔离反应器的严格定时。 
通过遍历单一的200或300毫米硅衬底提供多个单独受控并且垂直的反应器或工艺室,在此所述的MCSIR解决如下问题,即,致使传统的半导体制造设备并不良好地适于材料开发和集成。每个位隔离反应器的构造和流体动力性质典型地与生产堆成比例,从而易于对整片进行成比例增大,而又使工艺集成步骤变化甚微。另外,MCSIR的材料传送系统被 配置为,使被提供给所述室的材料的数量以及用于实现材料集成的工艺序列的各步骤均具有较大的灵活性。相比于生产工具,反应器小型化以及用于材料研究和集成的宽松的设备要求也降低了设备的成本。 
下文描述的是用于加工衬底的系统和方法(例如,在衬底上形成材料)。用于加工衬底的系统和方法,统称为“衬底加工系统”(SPS),包括组合加工、与传统衬底加工集成的组合工艺序列、和/或位隔离加工,如下文详述。举例而言,一个实施例的SPS能够以非常低的成本在衬底上产生非常小的结构和特征部(例如,纳米尺寸量级),这些结构和特征部可以用于诸如电子构件和平板显示器的多种产品的商业制造。下文所述的各种不同的系统和方法仅仅作为实例展现,而并不意在将在此所述和要求保护的系统和方法限制于组合加工、与传统衬底加工集成的组合工艺序列、和/或位隔离加工的特定组合。进一步,下文所述的系统和方法并不限于特定工艺(例如,湿法加工、干法加工等等)。 
在接下来的描述中,引入多个具体细节来提供对SPS的各实施例的透彻理解并能够描述SPS的各实施例。然而,本领域技术人员将认识到,没有所述具体细节中的一个或多个,或者采用其它构件、系统等等,也可以实施这些实施例。在其它情况中,为避免使所公开的实施例的各方面变得不清晰,并不显示或详细描述众所周知的结构或操作。 
一个实施例的SPS主要包括设计用于承接至少一个衬底的至少一个接口。所述SPS还包括多个连接到上述接口的模块。上述模块,在此也称为构件,包括预加工模块、加工模块、后加工模块,但可以包括任意数量和/或类型的其它模块,其中任意模块可包括所述预加工、加工、和/或后加工模块的功能。所述SPS不要求包括任意特定模块类型中的每一种的至少一个。另外,所有预加工、加工、后加工模块的功能可嵌入单一模块中。所述多个模块中的每个模块可根据在至少一个其它模块中所含工艺的需要包含多种加工中的至少一种。所述SPS还包括至少一个手柄,其连接到所述接口并被配置为使衬底在接口和一个或多个模块之间移动。 
图1是在一个实施例下的衬底加工系统(SPS)100。衬底加工系统 100包括预加工模块101、加工模块102、和后加工模块103。SPS 100不要求包括加工模块类型中的每一种的至少一个;例如,特定工艺流程可仅仅包括加工模块102和用于将衬底移入和移出系统100的装置。另外,所有预加工、加工和后加工模块的功能可嵌入在单一模块中。模块101、102、103每一个均可根据模块101、102、103将要用于的衬底加工的类型的需要使用适当的装置(特别地,传统的商业衬底加工装置)来执行。模块101、102、103可以根据衬底和/或工艺的具体特性而改造和/或添加地执行。 
衬底通过系统接口104-也称为工厂接口104-进入和离开系统100。可以在系统100中一次加工单个衬底,或者可以成批地一次加工多个衬底。系统接口104包括衬底手柄104a(其可例如使用自动装置执行),其将衬底移入和移出系统100。为了便于将衬底移入和移出系统100,系统接口104包括衬底装载站104b和衬底卸载站104c(也各自称为前开式晶圆盒(FOUP)装载站104b和FOUP卸载站104c)。 
在已经得到加工的衬底通过衬底手柄104a从系统100移出并放置在衬底卸载站104c上(以便最终移动到另一位置)之后,已经预先放置在衬底装载站104b上的新衬底通过衬底手柄104a从衬底装载站104b取出,并移动到系统100中以便进行加工。可以使用在加工衬底领域的技术人员公知的传统装置和方法来实现系统接口104(包括衬底手柄104a、衬底装载站104b、衬底卸载站104c)。一个或多个替换性实施例的系统100可包括多个系统接口,每个系统接口可如上所述进行构造和操作。 
一旦处于系统100中,衬底操作系统105就可用于将由系统100所加工的衬底在系统100的不同模块101-103之间移动。类似于系统接口104的衬底手柄104a,衬底操作系统105可以例如使用一个或多个自动装置来执行。如果模块101、102、103既包括湿法加工模块也包括干法加工模块,则衬底操作系统105包括至少两种装置:干法衬底手柄,其用于将衬底移入和移出干法加工模块和系统接口104以及移出干化模块;和湿法衬底手柄,其用于将衬底移入和移出湿法加工模块以及移入干化模块。衬底操作系统105可使用在加工衬底的领域中的技术人员公知的 装置和方法来执行。 
在衬底正在通过系统接口104移入或移出系统100之外的其它时刻,系统100与外界环境密封隔离。根据由系统100将要执行的加工,在系统100内处于预加工模块101、加工模块102、后加工模块103之外的环境(为方便起见,有时在下文中称为“系统环境”)可保持处于大气压、保持处于真空压力、和/或被加压(即,保持处于比大气压更高的压力下)。类似地,系统环境可保持处于系统100之外的环境的外界温度,或者处于比该外界温度更高或更低的温度下。 
进一步,根据期望可以控制系统环境的气体成分。例如,系统环境可为外界空气(典型地,被控制以降低来自外部环境的污染)。系统环境也可被控制而整体地或局部地包括一种或多种指定气体,例如,在用于加工半导体晶片的系统中,系统环境可被控制为氮气或惰性气体。系统环境也可以被控制以排除一种或多种指定气体,例如,氧气可以从系统环境中排除,以降低在系统中所加工的衬底(或其上形成的材料)的氧化的发生率。 
替换性实施例的SPS可包括用于加工单一晶片或单组晶片的每种类型的模块中的多个;因此,多种形式的SPS可以作为单一系统并行操作。这就能够改进由SPS所加工的衬底的产量。这还能够增加冗余,这样,即使在系统的一个或多个模块呈现为一个时段内不可操作(例如,为了进行预防性维护、修理等等)时,也可以保持系统可行性。 
上文所述的SPS以示例性展示,并且可以使用包含其它数量的加工模块的系统。进一步,可以使用除上文所述模块之外类型的加工模块。在一些加工系统中,可以替代用于将衬底移入和移出系统的衬底手柄,而采取对衬底进行手动装载和卸载。 
上文所述的SPS 100可包括用于对单一衬底上的各区域进行组合加工的一个或多个模块(也称为构件)和/或方法。通常,通过将加工材料传送到衬底上的一个或多个区域和/或对区域进行改造,来组合加工区域阵列。一个实施例的衬底上的区域包括但不限于预定的区域以及在衬底加工期间和/或作为衬底加工的结果而确定的区域。 
图2是在一个实施例下的用于组合工艺序列集成的流程图。该实施例可采用将执行所期望的分析过程的加工工具(其可以是也可以不是由集体执行有效单元加工的分立单元模块构成的集成工具)。在一个实施例中,加工工具可在单一的整体式衬底中所含的单独区域内以离散方式执行上述过程,比如IC加工中所使用的直径300mm的晶片。衬底被设置于系统200,并且以离散且优选分离的方式(以串行、并行或者串行-并行模式)被加工,从而使衬底的至少两个区域得到彼此不同的加工210。以组合方式加工的衬底可以可选地提前220和/或随后230采用至少一个过程或过程步骤以传统方式得到加工,从而使整个或大致接近整个衬底承受相同的加工条件。这就允许,在构建终端设备、集成电路等等所需要的工艺流程的期望节段中使用所述组合加工/组合工艺序列集成途径。 
可以使用传统的分析方法针对感兴趣的属性来测试240被加工区域,诸如所产生的器件或器件的各部分,所述测试240例如为针对诸如产量的属性通过电阻、线路电阻、电容等进行的参数测试,和/或针对诸如应力迁移、电迁移、偏置热应力、时变介质击穿的属性所进行的可靠性测试,以及本领域技术人员所公知的相关测试。被加工区域可被同时测试、顺次测试、或者以并行-串行模式测试,在并行-串行模式中,第一多个区域被同时测试,接着第二多个区域被同时测试。测试240可选地在用于组合工艺序列集成的方法的一个或多个替换性实施例中被执行。 
一个实施例的组合工艺序列集成使用执行一个或多个过程的加工工具,在此称为位隔离加工工具(也称为位隔离反应器(SIR))。在一个实施例中,位隔离加工工具以离散隔离方式(以串行、并行或者串行-并行模式)在衬底的单独区域内加工衬底(例如,衬底的至少两个区域被彼此不同地加工)。在加工区域阵列的过程中,如在此所述,加工材料可被传送到衬底上的各区域(包括预定区域),和/或各区域(包括预定区域)可使用任意数量的位隔离加工过程或序列组合以任意数量的传统加工过程或序列而被改造。 
例如,在此处描述的组合工艺序列集成的方法接收来自从包含沉积、图案化、蚀刻、清洗、平面化、植入和处理的组所选出的至少一个第一 过程的衬底。上述方法通过与衬底的至少一个其它区域不同地加工衬底的至少一个区域,产生经加工的衬底。所述加工包括改造至少一个区域,其中,改造包括物理改造、化学改造、电改造、热改造、磁改造、光子改造和光解改造中的至少一种。所述加工形成在衬底上的被不同加工的区域的至少一个阵列。在一个实施例中,上述加工包括使用材料、加工条件、工艺序列、工艺序列集成、工艺序列条件中的至少一种进行改造。在一个其它实施例中,上述经加工的衬底承受选自包括沉积、图案化、蚀刻、清洗、平面化、植入和处理的组的至少一个额外的过程。 
作为另一示例,在此处所述的组合工艺序列集成的方法通过不同于衬底的至少一个其它区域而对衬底的至少一个区域进行加工,产生经加工的衬底。所述加工包括改造至少一个区域,其中改造包括物理改造、化学改造、电改造、热改造、磁改造、光子改造和光解改造中的至少一种。所述加工形成在衬底上被不同加工的区域的至少一个阵列。所述方法通过将被加工衬底提供给选自包括沉积、图案化、蚀刻、清洗、平面化、植入和处理的组的至少一个额外过程而得以继续。在一个实施例中,上述加工包括使用材料、加工条件、工艺序列、工艺序列集成、工艺序列条件中的至少一种进行改造。 
图3是在一个实施例下的组合工艺序列集成工艺流程300,其包括位隔离加工和/或传统加工。在此处的各实施例下的加工序列的一个示例如下:使用传统过程N加工衬底,然后使用位隔离过程N+1加工衬底,然后使用位隔离过程N+2加工衬底,然后使用传统过程N+3加工衬底,然后执行E测试(例如电测试)。在此处的实施例下的加工序列的另一示例如下:使用位隔离过程N加工衬底,然后使用位隔离过程N+1加工衬底,然后使用传统过程N+2加工衬底,然后使用位隔离过程N+3加工衬底,然后执行E测试。在此处的实施例下的加工序列的又一示例如下:使用位隔离过程N加工衬底,然后使用传统过程N+1加工衬底,然后使用位隔离过程N+2加工衬底,然后使用传统过程N+3加工衬底,然后执行E测试。根据工艺流程300可以实现各种其它加工序列。 
组合工艺序列集成因此例如产生包含模具阵列的半导体晶片302,模 具阵列包括能够作为包含预期集成电路的测试模具和/或实体产品模具的多个模具304。使用上述组合工艺序列集成方法,可以加工和/或产生无图形晶片、有图形晶片、器件、功能芯片、功能器件、测试结构、半导体、集成电路、平板显示器、光电器件、数据存储器件、电磁器件、磁光器件、分子电子器件、太阳能电池、光子器件、成套器件。组合工艺序列集成可用于整个工艺流程的任意期望的节段和/或部分。可以在工艺流程之内的每个加工步骤和/或加工步骤系列之后根据需要和/或期望执行包括电测试的特征评定。 
SPS的实施例将加工材料传送到在衬底上的一个或多个预定区域,并使用多种不同技术对所传送的材料进行反应。例如,可以使用基于溶液的合成技术、光化学技术、聚合技术、模板法合成技术、外延生长技术,通过溶胶-凝胶转变(Sol-gel)过程、通过热学、红外或微波加热,通过煅烧、烧结或退火,通过热液方法,通过熔剂方法,通过经由溶剂蒸发进行结晶等等,对加工材料进行反应。可用于对感兴趣的加工材料进行反应的其它有用的反应技术对于本领域技术人员显而易见。 
由于衬底的各区域彼此独立地被加工,所以在不同区域处的加工条件可以独立受控。例如,工艺材料量、反应溶剂、加工温度、加工时刻、加工压力、反应终止(quench)的速率、工艺材料的沉积次序、工艺序列步骤等等,可以随衬底上区域变化而不同。因此,例如,当探究材料时,被传送到第一和第二区域的加工材料可以相同也可以不同。如果被传送到第一区域的加工材料与被传送到第二区域的加工材料相同,则这种加工材料可以以或者相同或者不同的浓度提供给衬底上的第一和第二区域。对于将额外的加工材料传送到第一和第二区域等等,这也是可行的。正如传送到第一和第二区域的加工材料,传送到第一和第二区域的额外加工材料可以相同也可以不同,如果相同,则可以以或者相同或者不同的浓度提供给衬底上的第一和第二区域。 
而且,在衬底上的预定区域内,加工材料可以以均匀或渐变的方式传送。如果相同的加工材料以完全相同的浓度传送到衬底的第一和第二区域,则区域加工所处于的条件(例如反应温度、反应时间等等)可以 随区域的不同而改变。可以被改变的参数包括,例如,材料量、溶剂、工艺温度、工艺时间、执行过程所处于的压力、过程进行所处于的气体环境、淬冷过程所处于的速率、沉积材料的次序等等。其它可以改变的过程参数对于本领域技术人员可以显而易见。 
而且,一实施例通过将基本相同的加工材料以基本一致的浓度传送到在具有不同表面(例如介电材料表面和导电表面)的第一和第二衬底上的对应区域,以便在IC芯片上显现不同的区域部分,并随后使衬底上的工艺材料承受第一组工艺条件,而形成至少两个不同的材料阵列。使用这种方法,可以研究并接着优化过程参数或材料对不同衬底表面的影响。 
在单独区域加工中采用的加工材料必须经常被防止移动到相邻区域。更简单地,这可以通过如下过程来确保,即,在衬底上的区域之间留下足量的空间,从而使不同的加工材料不能在区域间互相扩散。而且,这可以通过如下过程确保,即,在加工期间在衬底上的不同区域之间提供合适的屏障。在一种途径中,机械器件或物理结构限定衬底上的不同区域。例如,可以使用壁或其它物理屏障来防止在单独区域中的材料移动到相邻区域。在完成合成之后,可以去除这种壁或物理屏障。本领域技术人员将认知,有时在掩蔽材料阵列之前去除壁或物理屏障可能是有益的。 
在其它实施例中,在不需要物理接触衬底的屏障的情况下,可以实现上述加工。例如,当改造面积在尺寸上略小于和/或等于衬底上的感兴趣的离散区域时,可以使用激光器、辐射灯、UV辐射源、其它“点”源来以位置可寻址的方式加工区域。在又一实施例中,物理屏障可用于将所述加工根本上掩蔽和/或限制于其中物理屏障并不物理接触衬底的期望区域和/或区域部分。例如,物理屏障可用于将加工根本上阻挡和/或抑制于特定区域和/或区域部分。例如,诸如掩膜或遮板的屏幕可用于阻挡诸如来自PVD(即,溅射)或蒸气源的蒸汽通量。不透明与透明相间的掩膜可用于让特定的辐射通过透明区域,以实现在衬底上指定区域中的加工。在又一实施例中,优选诸如氩气(Ar)的惰性气体的气流,可用 于筛出气态反应剂,和/或限制这种反应剂的浓度,从而将这种反应剂的效果从特定区域有效地筛出。采用这种方式,衬底上的指定区域可以得到不同加工,而不必将物理屏障与衬底相关联。这种途径尤其适于基于顺次气相真空的表面动力过程,例如原子层沉积及其变化形式(例如,引入/增强了离子、原子团、和等离子体)。 
一个实施例的SPS包括如下加工工具,其被配置为实现遍历整个衬底的均匀加工以及单独的对衬底的一个或多个离散区域的独立加工。在此描述的加工工具,包括在上文参照图2和3所述的组合工艺序列集成工艺流程下的操作,可以是类似上文所述的SPS 100的衬底加工系统的构件和/或上文参照图1所述的SPS 100的一个或多个模块。例如,图3的组合工艺序列集成工艺流程300可以在SPS 100(图1)的加工模块102中实施。类似地,作为另一示例,组合工艺序列集成工艺流程300可以遍历SPS 100(图1)的一个或多个加工模块101、102、103得到实施。 
一个实施例的SPS包括支持整片加工和组合加工的集成加工工具。图4是在一个实施例下的集成加工工具400的框图,集成加工工具400在此称为多通道位隔离反应器(MCSIR)400。MCSIR 400包括整片加工模块405和位隔离加工模块(SIPM)409,如下文所详述。MCSIR 400结合一种大容量化学制品分配系统,以提供实现工艺序列所必要的化工原料401,还结合形式为混合导管和分配歧管的化学混合和定序硬件,以提供动态混合任意成分的化学溶液的能力,并且对通过反应器的化学制品以任意次序进行定序达任意持续时间。MCSIR 400使用计算机化硬件控制器402进行控制,并且一个实施例的同一控制器实现在整片反应器和位隔离反应器中的加工。晶片使用工厂接口404定序通过MCSIR 400。整片和位隔离反应器在所有控制方面可比。MCSIR 400的每个反应器或通道被配置为,允许植入复杂的湿法/蒸气工艺序列,如在此所述。 
通常,整片加工模块405包括连接到整片反应器的工艺歧管。工艺歧管连接到化学制品401,并被配置为将化学制品401馈送或传送到整片反应器。整片反应器被配置为,使用所传送的化学制品实现遍历整个晶片或衬底表面(例如,8英寸晶片、12英寸晶片等等)的均匀加工。 
形成对照的是,SIPM 409是位隔离加工器,其能够使用多通道或工艺路径来单独加工遍历晶片的多个离散区域(例如,28个区域)。为了使该示例清晰起见,SIMP 409的这一示例显示正在被两个工艺路径或通道中的任一个馈送的单一位隔离反应器,但是MCSIR可包括任意数量的位隔离反应器以及连接到每个反应器的任意数量的工艺路径。 
SIPM 409使用通常包括一套或一组第一歧管(例如,混合导管(MV)1歧管)的传送系统馈送或分配化学制品401。每个第一歧管的输出连接到混合导管(例如,混合导管1等等)。混合导管歧管允许以任意速率针对每个混合导管对大块化学制品进行混合,然后混合导管充当混合的化学制品溶液的临时存储装置。 
每个混合导管的输出馈送一组第二歧管中的一个或多个(例如,工艺通道1位歧管、工艺通道2位歧管)。每组第二歧管的输出馈送位隔离反应器。所述一组第二歧管通常允许通过在一组流动池中的两个工艺路径中的任一个(例如,通道1、通道2)对混合导管溶液和/或大块化学制品进行定序。流动池包括位隔离反应器的顶表面,并且反应器套管包括反应器的侧壁。被加工的衬底包括反应器的底部。每个位隔离反应器实现衬底的专门区域的单独加工,如在此所述。 
MCSIR 400的示例并不包括在用于整片反应器的工艺路径中的混合导管。然而,可能并且有时期望的是,在整片反应器工艺路径中包含混合导管,以便以类似于SIPM的方式构建整片加工模块。 
图5是在一个实施例下的MCSIR的SIPM 500。SIPM 500通过同时控制在多个并行反应器中的反应,来管理或控制衬底的不同区域的同时加工。每个反应器就位而靠近衬底的特定区域(例如,晶片)。举例而言,反应器控制包括控制反应剂流动、反应剂混合、反应剂传送、反应剂和/或反应器温度、和/或反应剂pH值等等。 
SIPM 500包括连接到第一混合组件514的第一分配组件512。第一分配组件512包括数量为N的混合导管歧管5121-512N,其中混合导管歧管的数目N可为任意数量。一个实施例的第一分配组件512包括二十八(28)个混合导管歧管,但是SPS并不限于这种数量的混合导管歧管, 并且可以包括任意数量的混合导管歧管。每个混合导管歧管的输入连接到一个或多个化学制品501。作为示例,一个实施例的混合导管歧管包括八(8)个输入,并且每个输入连接到一个不同的化学制品501。然而,混合导管歧管并不限于八(8)个输入,并且每个输入不限于连接与任意其它歧管输入不同的组分。另外,分配组件512的所有混合导管歧管并不限于呈现相同配置。进一步,其它构件(例如,阀、调节器、泵等等)可协调连接在组分和混合导管歧管之间。 
第一混合组件514包括数量为N的混合导管5141-514N,其中混合导管的数目N可为任意数量。一个实施例的第一混合组件514包括二十八(28)个混合导管,但SPS并不限于这一数量的混合导管,并且可以包括任意数量的混合导管。每个混合导管的输入连接到第一分配组件512的混合导管歧管的输出。作为示例,一个实施例的混合导管包括一(1)个输入,其连接到第一分配组件512的混合导管歧管的输出。作为更为具体的示例,第一混合导管5141的输入连接到第一混合导管歧管5121的输出。然而,混合导管并不限于一(1)个输入,并且每个输入并不限于向第一分配组件512的一个混合导管歧管的连接。 
SIPM 500进一步包括连接到第二混合组件524的第二分配组件522。第二分配组件522包括数量为N的混合导管歧管5221-522N,其中混合导管歧管的数目N可为任意数量。一个实施例的第二分配组件522包括二十八(28)个混合导管歧管,但SPS并不限于这一数量的混合导管歧管。每个混合导管歧管的输入连接到一个或多个化学制品501。作为示例,并且如上所述,一个实施例的混合导管歧管包括八(8)个输入,并且每个输入连接到一个不同的化学制品501。然而,混合导管歧管并不限于八(8)个输入,并且每个输入并不限于连接与任意其它歧管输入不同的组分。另外,其它构件(例如,阀、调节器、混合器等等)可以协调连接在组分和混合导管歧管之间。 
第二混合组件524包括数量为N的混合导管5241-524N,其中混合导管的数目N可为任意数量。一个实施例的第二混合组件524包括二十八(28)个混合导管,但SPS并不限于这一数量的混合导管。每个混合 导管的输入连接到第一分配组件522的混合导管歧管的输出。作为示例,一个实施例的混合导管包括一(1)个与第一分配组件522的混合导管歧管的输出相连的输入。作为更具体的示例,第一混合导管5241的输入连接到第一混合导管歧管5221的输出。然而,混合导管并不限于一(1)个输入,并且每个输入并不限于向第一分配组件522的一个混合导管歧管的连接。 
SPS被模块化,因此SPS的替换性实施例可包括数量不同的分配组件和/或混合组件。例如,替换性实施例的SPS可包括两个额外的分配组件,而每个额外的分配组件连接到额外的混合组件。作为另一示例,替换性实施例的SPS仅仅包括如上所述的第一分配组件512和第一混合组件514,并且不包括第二分配组件522和第二混合组件524。进一步,替换性实施例的SPS可包括比上文所述数量更小或更大的混合导管歧管和/或混合导管。另外,替换性实施例包括混合导管歧管和/或混合导管的不同配置;例如,两个混合导管歧管可连接到单个混合导管。 
SIPM 500包括第三分配组件532。第三分配组件532包括数量为N的位歧管5321-532N,其中位歧管的数目N可为任意数量。一个实施例的第三分配组件532包括二十八(28)个位歧管,但是SPS并不限于这一数量的位歧管。一个实施例的每个位歧管包括八(8)个输入,但并不限于此。每个位歧管的第一输入连接到第一混合组件514的混合导管的输出,并且每个位歧管的第二输入连接到第二混合组件524的混合导管的输出。因此,使用第三分配组件532的第一歧管5321作为更具体的示例,第一位歧管5321的第一输入连接到第一混合组件514的第一混合导管5141的输出,第一位歧管5321的第二输入连接到第二混合组件524的第一混合导管5241的输出。第三分配组件532的每个位歧管的其余输入中的一个或多个根据SIPM 500的即时加工操作的需要连接到一个或多个化学制品501。然而,在替换性实施例中,每个位歧管的其余输入可连接到其它组分源。其它构件(例如,阀、调节器、混合器、泵等等)可协调连接在组分和第三分配组件532之间。 
第三分配组件532的输出连接到流动池组件542。靠近上述衬底的流 动池组件542包括数量为N的流动池5421-542N,其中流动池的数目N可为任意数量。作为示例,一个实施例的流动池组件542包括28个流动池,但SPS并不限于这一数量的流动池。一个实施例的每个流动池包括一(1)个输入,但并不限于此。每个流动池的输入连接到第三分配组件532的位歧管的输出。例如,一个实施例的流动池包括一(1)个与第三分配组件532的位歧管的输出相连的输入。作为更具体的示例,第一流动池5421的输入连接到第三分配组件532的第一位歧管5321的输出。流动池的内部可配置或再配置以调整流体流动;例如,内腔可呈任意形状,和/或内部的表面轮廓可改变,从而控制流体的速度。其它构件(例如,阀、调节器、混合器、泵等等)可协调连接在第三分配组件532和流动池组件542之间。 
因此,流动池组件542包括一系列形成位隔离反应器的并行单元,其被配置为在衬底的邻近区域上实现位隔离加工。位隔离加工包括如下加工,其包括如上所述的被传送到流动池组件542的每个单元或反应器的组分或构件。 
上文所述的SIPM 500的实施例包括第一分配组件512的混合导管歧管、第二分配组件522的混合导管歧管、第三分配组件532的位歧管、第一混合组件514和第二混合组件524的混合导管、流动池组件542的流动池,上述每一项数目相等,均为N。然而,如上所述,替换性实施例可包括第一分配组件512的混合导管歧管、第二分配组件522的混合导管歧管、第三分配组件532的位歧管、第一混合组件514和第二混合组件524的混合导管、流动池组件542的流动池,上述每一项中的一项或多项根据加工操作的需要可具有不同的数目。 
控制器502连接到如上文所述的SIPM 500的不同构件,并且控制加工操作。SIPM 500提供如下加工操作,其包括将多个组分(例如化学制品、成分等等)进行全局混合,以在第一混合组件514和第二混合组件524中的每一个处形成多种不同的成分组合。处于该混合度的成分被传送到第三分配组件532,在该点处,额外的组分可以与所述成分一起定序;作为结果产生的成分通过流动池传送到衬底上数量为N的并行位置。SIPM 500,支撑液体、气体和/或等离子体反应剂,在受控状况下提供作为结果产生的成分,举例而言,所述受控状况包括控制化学制品成分、化学制品定序、温度、pH值、协调混合、局部环境控制。SIPM 500因此能够对各种不同的反应剂(具有各种不同状态)进行流动控制,从而实现反应剂向多个衬底位置或区域并行地持续流动。SIPM 500因此允许操作员,在使用最小集合的流动控制来管理多个流动、流动动力性质和多个通道的同时,实现在衬底的不同区域处的并行加工。 
上文描述的SIPM 500被模块化,并且可包括任意数量的上文所述的任意构件。根据支持加工操作的需要,构件(例如,分配组件、混合导管歧管、位歧管、混合组件、混合导管、流动池组件、流动池)可以添加到SIPM 500,或者从SIPM 500去除。进一步,构件的配置包括任意数量的配置,并且并不限于上文所述的配置。例如,改变流动池形状因子(例如,用正方形取代圆形)涉及仅仅改变流动池的顶板。因此,SPS在配置能力和处理不同类型加工的能力方面较为灵活。 
图6示出在一个实施例下的在SIPM 500的一个子集的构件(统称为SIPM 600)之间的连接。SIPM 600包括含八(8)个输入A-H的第一混合导管歧管6121。每个所述输入连接到一组分,以便在加工操作期间选择性地接收所述组分。作为在组分和第一混合导管歧管6121之间地连接的一个示例,歧管6121的输入A经由泵604连接到化学制品A。泵604是用于装填导管的计量泵,但不限于此;替换性实施例可以不包括所述泵,而可以包括多个管线泵,和/或可包括不同类型的泵。一个实施例的泵604包括允许用于对每种材料的容积比进行精确控制的计量泵,但不限于此。其它构件(例如,阀、调节器、混合器、泵等等)可以协调连接在容纳成分(例如,化学制品A)的容器和泵604之间和/或在泵604和歧管输入A之间。其它MCSIR构件和/或成分或化学制品(未示出)可以类似方式连接到第一混合导管歧管6121的输入A-H。第一混合导管歧管6121可为如上所述的分配组件的构件,但并不限于此。 
SIPM 600包括混合导管6141,混合导管具有与第一混合导管歧管6121的输出相连的输入。因此,混合导管6141接收从第一混合导管歧管 6121流出的组分。一个实施例的混合导管6141允许用于控制在导管6141中产生成分所涉及的参数,举例而言,所述参数包括压力、温度、pH值。混合导管6141可包括用于搅拌或搅动所接收成分的装置。混合导管6141包括或联结到或连接到流动机构606,其用于使来自混合导管6141的成分流动。作为示例,流动机构606包括用于将成分引导到加工部分608或者离开加工部分而引导到废料部分610的连接;其它布线(未示出)也是可能的。混合导管6141可为如上所述的混合组件的构件,但并不限于此。 
SIPM 600包括含八(8)个输入1-8的位歧管6321。其中一个输入1被连接以接收混合导管6141的成分输出MIX1。位歧管6321的其它输入可被连接以接收其它组分和/或成分。例如,如上所述,位歧管6321的另一输入2可被连接以接收另一歧管和/或混合导管的成分输出MIX2。进一步,位歧管6321的其它或其余输入3-8可连接到一个或多个其它组分(未示出)。 
位歧管6321的输出连接到流动池6421,该流动池靠近于衬底650的区域。SIPM 600包括可选的在位歧管6321和流动池6421之间的用于提供管路混合的管路混合器660。流动池6421接收来自歧管6321的成分,并在加工操作期间使用该成分来加工衬底区域。流动池6421连接到废料线670,其将废液(废料)引导离开流动池6421。废料线670可包括真空歧管或泵(未示出),用于去除来自流动池6421的过程废液。流动池6421可为如上所述的流动池组件的构件,但不限于此。控制器602连接到SIPM 600的各构件,并控制加工操作,如下文所述。 
SIPM 600的实施例包括在废料线中的流量计FM,以便表征通过废料线的流动,而非通过所述单元的流动。这就消除了对于多个流动控制器的需要,而是仅仅要求一个用于单一溶剂系统的流动控制器;多个流动控制器将与多个溶剂系统一起使用(例如,在带有酸、碱、有机溶剂的系统中使用的三个流动控制器)。 
SIPM的各构件,包括分配组件、混合导管歧管、混合组件、混合导管、流动池组件、和流动池,在数量和配置方面不同,如上文所述。这 些构件使用多种其它构件和/或材料进行联结或连接,举例而言,所述其它构件和/或材料包括阀、管道或导管、分配泵、流量调节器、压力调节器以及控制器。这些其它构件和/或材料包括本领域公知的适合于所述配置和加工操作的构件和/或材料。 
上文所述的SIPM的配置允许大块化学制品通过混合导管歧管引导到混合导管,和/或通过位歧管引导到位隔离反应器。如果被引导到混合导管,则控制系统能够将任意成分的溶液混合。所述溶液的成分对于每个混合导管可独立改变。以允许对作为结果产生的溶液进行搅拌、加热和pH值控制的方式实现混合导管。另外,作为结果产生的溶液的pH值和温度可以逐个流动池地进行监控。进一步,每种溶液穿过位歧管的流速可以独立改变。 
如上文所述,每个歧管(例如混合导管歧管、位歧管)包括多个输入或阀(例如,X个输入,其中X是任意数1、2、...),其中每个阀联结或连接到不同的化学制品源。举例而言,化学制品源可为液体、气体或真空。所述歧管被配置而使得在歧管输入处接收的化学制品通过公共通道离开歧管。因此,所述歧管被称为X:1歧管。化学制品可以通过歧管单独地或者组合地进行定序。当组合定序时,管路混合器可用于确保均质化学制品溶液。也可在X个化学制品中的每一个的入口处结合止回阀,以确保不发生回流,并因此不发生不希望的化学制品的混合。 
流动池控制SIPM的过程的流动动态属性。为了降低在定序期间的化学制品改变之间的死体积,一个实施例的流动池包括直接结合于池体内的独立过程和废料路径。图7是在一个实施例下的包括独立过程P1/P2和废料路径W的流动池700的框图。每个流动池路径结合阀V1-V4,以控制所述过程和废料流动。这些阀V1-V4的使用,例如允许,在第二路径P2正在被用于传送用于加工衬底的化学制品的同时,清洗流动池的第一过程路径P1。这种过程路径控制提供优良的定时精确性,并能够对通向流动池的化学制品进行精确定序。 
作为阀使用的示例,流动池的当前过程步骤的阀配置具有关闭的阀V1和打开的阀V2,从而导致第一化学制品从第一路径被清除到废料输出, 并具有打开的阀V4和关闭的阀V3,从而导致第二化学制品经由过程出口提供给流动池。一旦完成当前的过程步骤并开始下一过程步骤,所述阀可以被切换或重新配置,从而使第一路径P1的化学制品立即通过过程输入引导至衬底,而同时第二路径的化学制品被清洗,并且第二路径接着使用在过程序列中的下一化学制品来准备。 
一个实施例的流动池包括真空歧管,其收集和排放来自反应器的过程化学制品。一个实施例的真空歧管通向大气,以使歧管内保持恒定压力,从而提供优良的流速可预测性,但并不限于此,并且该真空歧管能够根据系统配置的需要另行连接到真空源或压力源。 
SIPM的各流动池均连接到一固定装置,其使各流动池能够作为一个单元被整体地提升和下降。这种流动池相对于衬底的竖直位置的控制允许动态改变反应器容积。这种功能的使用的一个示例是,提升流动池以便于静态块模式加工,然后下降流动池以便于径向流动模式。 
如上文参照图4所述,流动池包括位隔离反应器的顶表面,而反应器套管包括反应器的侧壁,并且被加工的衬底包括反应器的底部。反应器套管是用于提供简易可维护性的无活动力套管。例如,如果受到污染或者为了提供包含化学兼容性所必要的套管材料的套管,反应器套管就可被容易地替换。所述套管被可包含多种材料中地一种或多种的反应器块所紧固。反应器块也可在控制器的控制下被自动加热和/或冷却,以提供不同于室温且根据不同过程所必要的加工温度。 
MCSIR还包括卡盘或工作台,其固定将承受加工的衬底。卡盘可包括多种不同机构中的一种或多种,以固定衬底,固定方式包括但不限于真空夹持、静电夹持、和/或机械夹持。类似于反应器块,卡盘也可以在控制器的控制下自动加热和/或冷却。卡盘可以被机械致动,以能够有效使用机器人技术将衬底引入反应器组件或取回。 
一个实施例的通过MCSIR的所有构件的成分的流动控制,通过改变跨越MCSIR的各连接的压力来实现。所述连接,均可以包括至少一个管线连接和/或一个或多个精确孔或阀,针对期望工艺序列的成分和构成参数跨越MCSIR进行匹配。在任何实际使用之前对MCSIR的连接进行校定, 并且校定曲线存储在针对每个连接的数据库中。控制器在加工操作期间在控制成分流动时使用校定信息。 
如上文所述,MCSIR的衬底加工包括在衬底的局部区域上的组合加工和传统的整片加工的并行集成。MCSIR的各实施例支持如上文所述的在控制器的控制下的加工操作(例如,MCSIR 400的控制器402(图4)、MCSIR500的控制器502(图5)、MCSIR 600的控制器602(图6))。所述控制器包括运行一个或多个程序或算法的处理器,所述程序或算法使用多种数据库或运算表的信息来控制主MCSIR的各种不同构件的操作;数据库或运算表(未示出)被连接到控制器的处理器并且可以是控制器的构件,和/或被分配于MCSIR和/或主加工系统的其它构件之间。 
一个实施例的控制器提供工艺序列的全计算机控制/自动操作。每个所述反应器可对于多少过程参数进行独立控制,然而,一些诸如温度和反应器体积的过程参数对于所有位置是全局通用的。在不同序列用于不同反应器中并且全局参数被改变的情况下,控制器能够对过程步骤进行同步,从而使工艺序列遍历所有反应器得到正确执行。除了加工同步,控制器还能够操作序列触发器,其使过程步骤能够在系统上启动而满足诸如温度的参数的具体目标值。这两种能力进一步改进使工艺序列能够执行所具有的准确性和精确性。 
作为控制衬底加工操作的普遍示例,图8是衬底的混合模式加工的流程图。溶液从多种化工原料产生802。成分和参数以组合方式改变,并且在多种溶液的不同种类之间得到独立控制。化学制品和溶液被分配804到衬底上,并且所述分配包括将化学制品向衬底的整个表面上的分配以及多种溶液的分配进行集成。多种溶液的分配包括独立改变被分配的一种或多种溶液以及在衬底的一组或多组区域之间的流动。 
接下来描述的是使用MCSIR控制器来控制加工操作的更为具体的示例。操作通常始于操作员选择和/或设置序列并且选择和/或设置适合序列的程序库之时。衬底(例如晶片)被装载,并且程序库被预存。所选择的加工序列然后被执行。在执行所选择序列之后,接着卸载晶片,并且系统被冲洗。 
序列的设置包括定义成分或化学制品定序以及相关参数。化学制品定序的定义包括,例如,定义化学制品类型、流动时间、流速、填充(charge)、浸泡、冲洗时间、工艺温度中的一种或多种。尽管一个实施例的穿过MCSIR的每个流动池的流速为基本相同的值,但是流速可以以串行方式改变。流动时间、浸泡时间和冲洗时间可以遍历MCSIR而改变。所述定序可以包括经由一个或多个管线混合器的化学制品混合,例如,如上文所述,或者包括在此所述的其它混合技术或构件。 
一个实施例的对用于加工序列的程序库的设置包括,设置每种化学制品在混合物中的浓度、每种溶液的温度和pH值、每种混合物的总体积(缺省值从序列信息中提供)中的一种或多种。可选地对程序库进行设置。 
晶片装载包括定义晶片尺寸。程序库的预存包括通过MCSIR的混合导管进行定序以及添加或分配指定成分的每个构成的合适量。在一个实施例中,十(10)毫升(ml)在+/-1%精度下的传送花费大致五(5)秒钟。MCSIR以在第一成分开始和最后一个成分之间的预定时间间隔(例如,一(1)分钟)在触发开始时将单独的成分分配到混合导管。达成程序库的预存,每存储一次花费一段时段(例如,十五(15)分钟);对于随后的晶片,程序库预存可以与工艺序列并行执行。MCSIR预存在精确的温度和pH值控制下完成,并且包括根据工艺序列的需要对成分进行的集成混合。 
所选工艺序列的执行包括所述序列的启动,以及所选序列的所有定义的过程的完成。工艺序列执行的数据根据操作员或其它用户的指定而记录。一旦完成工艺序列,衬底(例如晶片)就被卸载。在去除衬底之后,MCSIR被冲洗,以从MCSIR的各构件清洗过程废液和/或未用过的成分。MCSIR然后采用气体(例如氮气)加压,并保持处于加压状态,直至启动随后的过程序列。 
作为过程定序的一个示例,MCSIR支持包括如上文所述的使用SIPM在衬底区域内的位隔离沉积在内的加工。特定材料的沉积要求,两种化学制品在提升的温度下混合并分配到晶片上。沉积必须在静态或块模式反应器中完成。另外,化学制品不能暴露于湿气。最后,化学制品在混 合时在提升温度下不稳定,但在不混合时稳定。MCSIR能够对在该序列中的每个步骤的定时进行精确控制,这种控制在实现这种沉积向现有过程的有效集成过程中是很重要的。在以下示例中提供的参数仅仅提供作为示例,而并不意在将MCSIR限制于仅仅在这些参数下的加工。 
为了使用上文所述的MCSIR并参照上述图4-6来执行沉积,操作始于将化学制品A通过混合导管歧管分配到混合导管中。化学制品A的温度被提升到所期望的工艺温度,并且当化学制品A达到该工艺温度时,控制器触发了化学制品B向混合导管内的分配。另外,两种化学制品的机械混合在混合导管中启动。 
化学制品A和B的溶液的温度然后被提升到预定的工艺温度。在加热周期期间,衬底被接口移动到机械手上,并且衬底卡盘被预热至所述工艺温度。衬底保持在机械手上,直至溶液达到所述工艺温度,在该点处衬底被装载到热晶片卡盘上。晶片被致动就位,并且溶液被分配到衬底上,而反应器处于大约10mm的高度处。 
在沉积结束时,衬底卡盘被主动冷却,并且反应器高度被降低到0.25mm,而同时反应溶液被排空。一旦排空溶液并且冷却衬底,第二溶液以流动模式被引入到反应器中,以冲洗掉表面残留的化学制品。晶片然后从反应器取回,并通过机器人接口返回。 
一个实施例的MCSIR包括在池组件的反应器和目标衬底的一个或多个区域之间使用密封部件。一个实施例的密封系统和方法可以包括两类密封。第一类密封包括一个或多个接触密封,而第二类密封包括使用由密封流体形成的流体动力屏障。这些密封系统中的每一种被详细描述于在2006年6月6日提交的美国专利申请No.11/448,369中。 
图9示出在一个实施例下的MCSIR 900,其包括与能够固定衬底的工作台或卡盘904相配合的流动池组件906。MCSIR 900包括浮动反应器套管或壁910。浮动反应器套管910被配置为,在池组件反应器块906的每个流动池908中浮动或可动态定位。包括浮动套管910的流动池908的组合因此形成了一种流动池908,其使每个反应器边缘表面912(由浮动套管910形成)单独依从于衬底的局部表面。 
每个反应器套管910在反应器块906的流动池908内的依从(compliance),可以被外部机构所控制或提供,所述外部机构在一个实施例中为o形环,但并不限于此。每个反应器套管910在流动池908内的依从,也可以通过直接集成到套管壁中的弯曲型机构所提供。每个所述反应器套管依从机构在下文中得到详细描述。浮动套管910在每个流动池908中的使用,允许替换可能受到污染或不适合在反应器中继续使用的单独的反应器壁。进一步,由浮动套管910所提供的每个流动池908在反应器块906中的浮动,允许反应器构件的较大制造公差,而仍然提供对于每个反应器实现密封的高度可能性。 
如上文所述,一个实施例的系统使用真空来提供第三级密封。通过一系列位于反应器块906中或者通过反应器块906的真空通道900V提供真空。所述真空结合面密封900FS工作,面密封900FS被配置为接触被加工的衬底,以确保通过第三级密封进行的有效密封。因此,这种面密封900FS使用真空或者替换性地使用气动力构建针对衬底的周边密封。 
一个实施例的在隔离反应器室908外部的高压区域可以被加压。所述加压例如用于防止材料泄漏出每个隔离反应器室908。另外,对加压区域进行加压然后随时间推移测量压降,就允许对浮动套管910的密封性能进行监控。进一步,对加压区域的加压,防止或最小化潜在有毒的化合物从隔离反应器室908释放或不受控制地排出的几率。 
作为上文所述的接触密封系统的替换方案,第二类密封,在此称为流体动力密封系统,使用密封流体,通过在流动池组件的各反应器之间形成流体动力屏障,来包含反应器容纳物。流体动力屏障替换了一种或多种传统的接触密封。 
图10示出在一个实施例下的流体动力密封系统1000。流体动力密封系统1000使用密封流体1010来形成流体动力屏障,该流体动力屏障被配置作为初级包容物,其将流动池组件的每个反应器1008与多个相邻反应器1008AA和1008AB隔离。一个实施例的流体动力密封系统1000还包括在衬底周边区域内的面密封1000FS。面密封1000FS封装衬底1002的大致整个区域,并提供反应物的次级包容物。密封流体1010对于反应器 1008、1008AA、1008Ab中的一个或多个的反应而言是惰性的,从而使密封流体1010并不将污染物引向任意反应器1008、1008AA、1008AB的任意反应。 
通过将反应器定位在衬底1002的表面上方,而不与衬底接触,就提供了流体动力密封。反应器靠近衬底1002的定位导致在反应器的底部部分和衬底1002之间形成受控间隙1020。因此,反应器并不与衬底物理接触。受控间隙1020的跨度可以通过密封流体1010的特性(例如,流体成分、疏水性、亲水性、反应性、粘性等等)和/或反应器1008、1008AA、1008AB的反应物来调节。 
流体动力承载机构通过控制密封流体1010和废液通道的相应压力,来控制反应器1008在衬底上方的浮动高度,并因此控制受控间隙1020,但并不限于此。密封流体1010通过在反应器1008的周边空间1004或壁内的第一组通道1012被引入流体动力密封系统1000。一个实施例的第一组通道1012包括一个通道,但是替换性实施例可包括任意数量或类型的通道或通路。反应流体1018也被引入反应器1008中,并被容纳在反应器1008中持续达涉及反应流体1018的静态反应的持续时间。密封流体1010用于形成流体动力屏障,其将反应流体1018容纳于其被引入的反应器1008中。在一个实施例中,这可以通过如下过程实现,即,选择合适的(例如,较高的)密封流体1010的流量和/或(例如,短)过程持续时间,以限制反应流体1018从其被引入的反应器1008的向外扩散。流体动力密封因此通过将反应流体1018的边缘对边缘流动限制于由密封流体1010所构建的大致边界,将衬底1002的特定面积或区域封装在反应器1008中。一旦完成反应,反应流体1018从反应器1008去除(例如,通过抽吸),但并不限于此。 
密封流体1010通过在反应器1008的周边空间1004内的第二组通道1014与反应废液1019一起被收集。反应器周边空间1004的第二组通道1014位于第一组通道和通道1014所对应的反应器之间,处于限定为密封通道的区域内。一个实施例的第二组通道1014包括一个通道,但替换性实施例可包括任意数量或类型的通道或通路。一个实施例的流体动力密 封系统包括真空源,其用于通过第二组通道1014收集密封流体1010和/或反应废液1019。 
上文所述的流体动力密封系统提供反应器对反应器的隔离,而不具有与衬底直接物理接触的反应器构件,从而减小或降低由于与反应器物理接触而导致的反应污染的可能性。流体动力密封系统还提供两级包容物,以确保反应物不向大气泄漏。 
一个实施例的衬底加工被用在一个或多个衬底加工系统和过程中,以在衬底上形成材料(例如,产生层或结构)。如在此所用的材料在衬底上的形成,既涵盖材料直接在衬底材料上形成,也涵盖材料在衬底上先期形成的另一材料之上形成,但可以不限于此。所述衬底加工能够以非常低的成本产生衬底上非常小的结构和特征(例如,纳米尺寸量级),这能够有助于多种不同产品的制造。另外,衬底加工可以利用由商用衬底加工装置和方法(例如,商用半导体加工设备和方法)所提供的一种或多种能力,以便利和/或增强衬底加工的性能,从而在衬底上形成材料。 
衬底加工可包括任意尺寸的衬底。例如,所述衬底加工可用于加工具有小于一平方英寸面积的小半导体衬底,也可用于加工高达十二(12)英寸(300毫米(mm))或更大的半导体衬底,此类衬底用于生产大量电子器件。通常,对于能够进行加工的衬底的尺寸并无限制。例如,所述衬底加工可用于加工用于产生电子器件的半导体衬底的每次连续的较大规模的产生。所述衬底加工也可用于加工用于生产平板显示器的相对大的衬底。此类衬底包括大约一平方米量级的矩形衬底,但也可使用更大的衬底。所述衬底加工也可针对宽度固定但长度不限(理论上)的柔性衬底(一种能够专用于生产平板显示器的衬底加工方式)进行调整而用于连续卷式(roll-to-roll)应用;例如,此类衬底卷可达数百英尺长。 
所述衬底加工可用于加工单个衬底或多个衬底(例如,批量加工)。例如,在湿法半导体加工中,单独一次,可以加工单个衬底,或者可以加工成批衬底,例如13、25或50个衬底。在干法半导体加工和平板显示器生产中,典型地,可以一次加工单个衬底。 
在此所述的衬底加工可包括湿法加工和/或干法加工。在湿法加工 中,衬底使用流体进行加工。例如,衬底可以整体地或局部地浸入具有指定特性(例如,指定化学成分)的流体中。另外,例如,流体可以以指定方式喷洒到衬底上。一个实施例的用于衬底加工的湿法加工可以根据期望加工的需要使用多种不同化学成分中的任一种。 
在干法加工(例如,物理汽相沉积、化学汽相沉积、等离子体增强型化学汽相沉积、原子层沉积)中,等离子体或气体用于与衬底产生期望的相互作用,该相互作用以指定方式对衬底表面进行加工。用于衬底加工的干法加工可根据期望加工的需要采用惰性气体或活性气体。 
多种不同的化学成分或其它反应物(在此统称为成分或化学成分)中的任一种可被一个实施例的衬底加工系统用来实现衬底加工和相关工艺。所述成分可呈液相、气相、和/或液相和气相的某种组合(包括,例如,超临界流体相)。所用的成分和它们的浓度以及成分的混合物,将取决于将要执行的特定过程步骤。化学制品传送系统能够根据工艺的需要对化学成分的摩尔浓度、温度、流速和压力进行精确控制。化学制品传送系统液可以提供对污染物的合适过滤和控制。 
上文对衬底加工系统的图示实施例的描述并不意在将衬底加工系统穷尽于或限制于所公开的精确形式。尽管衬底加工系统的具体实施例和相关示例在此出于示意性目的而得到描述,但是相关领域技术人员将认识到,可以在衬底加工系统的范围内进行各种不同的等价改造。在此提供的衬底加工系统的教导可应用于其它加工系统和方法,而不仅仅不用上文所述的系统和方法。 
上文所述的各种不同实施例的元件和行为可以被组合而提供进一步的实施例。可以根据具体说明书对衬底加工系统进行上述和其它变化。 
通常,在所附权利要求书中,所用的术语不应该被理解成将衬底加工系统限制于本说明书和权利要求书中所公开的具体实施例,而是应该理解成涵盖在权利要求书下操作的所有加工系统。 

Claims (35)

1.一种衬底的混合模式加工的方法,包括:
从多种化学制品产生多种溶液,其中,在所述多种溶液的不同种类之间,组分以组合方式变化并且独立受控;以及
将所述化学制品和所述溶液通过流体传送系统分配到整片加工模块和位隔离加工模块,其中,馈送所述位隔离加工模块的传送系统与馈送所述整片加工模块的传送系统是共用的,从而使传送到在所述位隔离加工模块中的一个位隔离反应器的溶液能够独立于传送到在所述位隔离加工模块中的第二位隔离反应器的溶液而变化。
2.根据权利要求1所述的方法,其中,所述组分根据用于多个工艺序列中的一个或多个的配方而改变,每个所述工艺序列均包括所述产生和所述分配。
3.根据权利要求1所述的方法,包括同步多个如下过程,每个所述过程均包括所述产生和所述分配。
4.根据权利要求1所述的方法,包括,根据用于包括所述产生和分配的工艺序列的全局参数,控制遍历所述衬底上的多个区域的分配的定时。
5.根据权利要求4所述的方法,其中,所述全局参数包括时间、温度、pH值中的一个或多个。
6.根据权利要求1所述的方法,其中,所述多种溶液的分配包括,将溶液并行分配到所述衬底上的多个区域中的一组区域。
7.根据权利要求1所述的方法,包括,使用至少一个第一组过程控制所述化学制品向整个表面上的分配,然后使用至少一个第二组过程控制所述多种溶液的分配。
8.根据权利要求1所述的方法,包括,使用至少一个第二组过程控制所述多种溶液的分配,然后使用至少一个第一组过程控制所述化学制品向整个表面上的分配。
9.根据权利要求1所述的方法,包括,使用串行、串行及并行、和并行流动中的一种或多种,控制所述多种溶液的分配。
10.根据权利要求1所述的方法,包括,控制所述多种溶液的分配, 以使所述溶液串行流动到第一组区域中的每个区域,并使所述溶液并行流动到第二组区域中的每个区域。
11.根据权利要求1所述的方法,其中,传送到所述整片加工模块的溶液独立于传送到在所述位隔离加工模块中的位隔离反应器的溶液而改变。
12.根据权利要求9所述的方法,其中,所述串行流动是快速串行流动。
13.一种衬底加工系统,包括:
流体传送系统;
连接到所述流体传送系统的多个位隔离反应器,所述多个位隔离反应器被配置为接收来自所述流体传送系统的化学制品,所述多个位隔离反应器被配置用于独立控制用于实现晶片的位隔离加工的化学制品传送和加工参数;和
连接到所述流体传送系统的整片反应器,所述整片反应器实现所述晶片的整片加工,所述整片加工与所述位隔离加工集成。
14.根据权利要求13所述的系统,其中所述流体传送系统包括至少一个第一歧管,所述第一歧管连接到第一混合导管,该第一混合导管连接到至少一个第二歧管,其中,所述第二歧管连接到另外的混合导管。
15.根据权利要求14所述的系统,其中第一歧管的数目等于位隔离反应器的数目。
16.根据权利要求14所述的系统,其中第一歧管的数目等于第二歧管的数目。
17.根据权利要求13所述的系统,包括连接到所述流体传送系统的多个化学制品,其中,所述多个化学制品通过第一歧管分配。
18.根据权利要求13所述的系统,其中所述流体传送系统被配置为,使用第一序列将所述化学制品传送到第一位隔离反应器,并使用第二序列将所述化学制品传送到第二位隔离反应器。
19.根据权利要求13所述的系统,其中所述流体传送系统被配置为, 使用第一流速将所述化学制品传送到第一位隔离反应器,并使用第二流速将所述化学制品传送到第二位隔离反应器。
20.一种集成加工系统,包括
整片加工模块;和
位隔离加工模块,其中,用在所述位隔离加工模块中的化学制品从包括一组第一歧管的传送系统馈送,每个第一歧管的输出连接到至少一个混合导管,每个混合导管的输出馈送一组第二歧管中的多于一个,每组第二歧管的输出馈送所述位隔离加工模块的多个位隔离反应器中的一个。
21.根据权利要求20所述的系统,其中用在所述整片加工模块中的化学制品从一组第三歧管或所述一组第一歧管中的一个馈送。
22.根据权利要求20所述的系统,包括控制器,所述控制器连接到所述整片加工模块和所述位隔离加工模块。
23.根据权利要求22所述的系统,其中所述控制器被配置为,通过所述整片加工模块使用至少一个第一组过程、然后通过所述位隔离加工模块使用至少一个第二组过程,来控制衬底的加工。
24.根据权利要求22所述的系统,其中所述控制器被配置为,将所述化学制品串行流动到第一组位隔离反应器的每个位隔离反应器,并将所述化学制品并行流动到第二组位隔离反应器的每个位隔离反应器。
25.一种包括位隔离反应器(SIR)的集成加工工具,其中所述SIR包括:
一组第一歧管,其中每个第一歧管连接到多个化学制品;
多个混合导管,其中每个混合导管连接到每个第一歧管的输出;
一组第二歧管,其中每个第二歧管连接到至少一个混合导管的输出和多个化学制品;和
多个流动池,其中每个流动池连接到至少一个第二歧管的输出。
26.根据权利要求25所述的工具,其中所述第一歧管和所述第二歧管中的一个或多个连接到真空源。 
27.根据权利要求25所述的工具,包括连接在第二歧管和对应的流动池之间的管线混合器。
28.根据权利要求25所述的工具,其中所述一组第一歧管被配置为,独立改变在每个混合导管中形成的组分或每个混合导管的容积中的一个。
29.根据权利要求25所述的工具,其中每个混合导管包括搅动元件、温度控制元件、pH值控制元件中的一个或多个。
30.根据权利要求25所述的工具,其中所述一组第二歧管被配置为,对一个或多个化学制品以及至少一个混合导管的输出进行定序。
31.根据权利要求25所述的工具,其中所述一组第二歧管被配置为,使用串行、串行及并行、和并行传送中的一种或多种使化学制品流动到所述流动池。
32.根据权利要求25所述的工具,包括连接到多个套管的反应器块,其中一组套管被配置为承接每个流动池,其中所述一组套管隔离出衬底的待加工区域。
33.根据权利要求32所述的工具,包括连接到所述多个流动池的固定装置,其中所述固定装置被配置为用来控制所述多个流动池相对于所述一组套管的竖直定位,其中所述固定装置被配置为动态且独立地控制每个SIR导管的容积。
34.根据权利要求25所述的工具,包括:
第三歧管,所述第三歧管连接到所述多个化学制品;和
整片反应器,所述整片反应器连接到所述第三歧管。
35.根据权利要求31所述的工具,其中,所述串行传送是快速串行传送。 
CN2007800486003A 2006-12-29 2007-12-26 衬底混合模式加工方法、衬底加工系统、集成加工系统、集成加工工具 Expired - Fee Related CN101606226B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/647,881 2006-12-29
US11/647,881 US8011317B2 (en) 2006-12-29 2006-12-29 Advanced mixing system for integrated tool having site-isolated reactors
PCT/US2007/088859 WO2008083178A1 (en) 2006-12-29 2007-12-26 Advanced mixing system for integrated tool having site-isolated reactors

Publications (2)

Publication Number Publication Date
CN101606226A CN101606226A (zh) 2009-12-16
CN101606226B true CN101606226B (zh) 2012-05-09

Family

ID=39582389

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800486003A Expired - Fee Related CN101606226B (zh) 2006-12-29 2007-12-26 衬底混合模式加工方法、衬底加工系统、集成加工系统、集成加工工具

Country Status (6)

Country Link
US (4) US8011317B2 (zh)
JP (1) JP2010515273A (zh)
KR (1) KR101387877B1 (zh)
CN (1) CN101606226B (zh)
TW (1) TWI385715B (zh)
WO (1) WO2008083178A1 (zh)

Families Citing this family (233)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110081415A1 (en) * 2005-03-10 2011-04-07 Taisho Pharmaceutical Co., Ltd Coating apparatus
US8011317B2 (en) * 2006-12-29 2011-09-06 Intermolecular, Inc. Advanced mixing system for integrated tool having site-isolated reactors
JP5474278B2 (ja) * 2007-02-22 2014-04-16 ピーエスフォー ルクスコ エスエイアールエル 超臨界プロセス用バッチ式成膜装置及び半導体装置の製造方法
US9044774B2 (en) * 2007-12-18 2015-06-02 Intermolecular, Inc. Vented combinatorial processing cell
US8037894B1 (en) 2007-12-27 2011-10-18 Intermolecular, Inc. Maintaining flow rate of a fluid
US8220502B1 (en) * 2007-12-28 2012-07-17 Intermolecular, Inc. Measuring volume of a liquid dispensed into a vessel
US9016233B1 (en) * 2008-04-23 2015-04-28 Intermolecular, Inc. Volume combinatorial processing chamber
US20100075060A1 (en) * 2008-09-24 2010-03-25 Pravin Narwankar process tool including plasma spray for carbon nanotube growth
JP2011009362A (ja) * 2009-06-24 2011-01-13 Tokyo Electron Ltd インプリントシステム、インプリント方法、プログラム及びコンピュータ記憶媒体
JP5060517B2 (ja) * 2009-06-24 2012-10-31 東京エレクトロン株式会社 インプリントシステム
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8647446B2 (en) 2011-12-07 2014-02-11 Intermolecular, Inc. Method and system for improving performance and preventing corrosion in multi-module cleaning chamber
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103811378B (zh) * 2012-11-14 2016-08-03 沈阳芯源微电子设备有限公司 一种半导体制造设备的自动移动装置
US8893923B2 (en) * 2012-11-28 2014-11-25 Intermolecular, Inc. Methods and systems for dispensing different liquids for high productivity combinatorial processing
US20140144471A1 (en) * 2012-11-28 2014-05-29 Intermolecular, Inc. Contamination Control, Rinsing, and Purging Methods to Extend the Life of Components within Combinatorial Processing Systems
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
EP3594998B1 (en) * 2013-03-06 2022-01-05 Plasma-Therm, Llc Method for plasma dicing a semi-conductor wafer
US20140262028A1 (en) * 2013-03-13 2014-09-18 Intermolecular, Inc. Non-Contact Wet-Process Cell Confining Liquid to a Region of a Solid Surface by Differential Pressure
US9269567B2 (en) * 2013-12-17 2016-02-23 Intermolecular, Inc. High productivity combinatorial processing using pressure-controlled one-way valves
US20150233008A1 (en) * 2014-02-13 2015-08-20 Skyworks Solutions, Inc. Apparatus and methods related to copper plating of wafers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11267012B2 (en) * 2014-06-25 2022-03-08 Universal Display Corporation Spatial control of vapor condensation using convection
US11220737B2 (en) 2014-06-25 2022-01-11 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
EP2960059B1 (en) 2014-06-25 2018-10-24 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11357966B2 (en) 2015-04-23 2022-06-14 B. Braun Medical Inc. Compounding device, system, kit, software, and method
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
KR102420015B1 (ko) * 2015-08-28 2022-07-12 삼성전자주식회사 Cs-ald 장치의 샤워헤드
US10566534B2 (en) 2015-10-12 2020-02-18 Universal Display Corporation Apparatus and method to deliver organic material via organic vapor-jet printing (OVJP)
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
CN106971660A (zh) * 2016-10-27 2017-07-21 北京石油化工学院 一种基于静态混合器的多功能模块化实验装置
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7309658B2 (en) * 2004-11-22 2007-12-18 Intermolecular, Inc. Molecular self-assembly in substrate processing
WO2007046853A3 (en) * 2005-10-11 2008-09-25 Intermolecular Inc Systems for discretized processing of substrate regions

Family Cites Families (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1251283B (de) 1967-10-05 Siemens Aktiengesellschaft, Berlin und München, München Vorrichtung zum gleichzeitigen Herstellen einer Vielzahl von einkristallinen Halbleiterkörpern
US3131098A (en) * 1960-10-26 1964-04-28 Merck & Co Inc Epitaxial deposition on a substrate placed in a socket of the carrier member
DE2307649B2 (de) * 1973-02-16 1980-07-31 Robert Bosch Gmbh, 7000 Stuttgart Anordnung zum Aufstäuben verschiedener Materialien auf einem Substrat
US4743954A (en) * 1985-06-07 1988-05-10 University Of Utah Integrated circuit for a chemical-selective sensor with voltage output
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
ATE241426T1 (de) * 1991-11-22 2003-06-15 Affymetrix Inc A Delaware Corp Verfahren zur herstellung von polymerarrays
US6943034B1 (en) * 1991-11-22 2005-09-13 Affymetrix, Inc. Combinatorial strategies for polymer synthesis
US6864101B1 (en) * 1991-11-22 2005-03-08 Affymetrix, Inc. Combinatorial strategies for polymer synthesis
US5384261A (en) * 1991-11-22 1995-01-24 Affymax Technologies N.V. Very large scale immobilized polymer synthesis using mechanically directed flow paths
US5356756A (en) * 1992-10-26 1994-10-18 The United States Of America As Represented By The Secretary Of Commerce Application of microsubstrates for materials processing
US6004617A (en) * 1994-10-18 1999-12-21 The Regents Of The University Of California Combinatorial synthesis of novel materials
US5985356A (en) * 1994-10-18 1999-11-16 The Regents Of The University Of California Combinatorial synthesis of novel materials
US6045671A (en) * 1994-10-18 2000-04-04 Symyx Technologies, Inc. Systems and methods for the combinatorial synthesis of novel materials
US5603351A (en) * 1995-06-07 1997-02-18 David Sarnoff Research Center, Inc. Method and system for inhibiting cross-contamination in fluids of combinatorial chemistry device
US5646870A (en) * 1995-02-13 1997-07-08 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
JP3421887B2 (ja) * 1995-04-27 2003-06-30 ソニー株式会社 洗浄方法およびこれに用いる洗浄装置
US6063633A (en) * 1996-02-28 2000-05-16 The University Of Houston Catalyst testing process and apparatus
EP0895279A4 (en) * 1996-03-06 2006-04-19 Hitachi Ltd METHOD FOR PRODUCING A SEMICONDUCTOR DEVICE
AU4662097A (en) * 1996-10-01 1998-04-24 Symyx Technologies, Inc. Potential masking systems and methods for combinitorial library synthesis
US6576906B1 (en) * 1999-10-08 2003-06-10 Symyx Technologies, Inc. Method and apparatus for screening combinatorial libraries for semiconducting properties
US6818110B1 (en) * 1997-09-30 2004-11-16 Symyx Technologies, Inc. Combinatorial electrochemical deposition and testing system
US6187164B1 (en) * 1997-09-30 2001-02-13 Symyx Technologies, Inc. Method for creating and testing a combinatorial array employing individually addressable electrodes
US6079873A (en) * 1997-10-20 2000-06-27 The United States Of America As Represented By The Secretary Of Commerce Micron-scale differential scanning calorimeter on a chip
EP1034566A1 (en) * 1997-11-26 2000-09-13 Applied Materials, Inc. Damage-free sculptured coating deposition
US6270582B1 (en) * 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
DE19822077A1 (de) * 1998-05-16 1999-11-18 Studiengesellschaft Kohle Mbh Kombinatorisches Verfahren zur Herstellung und Charakterisierung von kristallinen und amorphen Materialbibliotheken im Mikrogramm-Maßstab
US6287977B1 (en) * 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
US6306658B1 (en) * 1998-08-13 2001-10-23 Symyx Technologies Parallel reactor with internal sensing
DE69937042T2 (de) * 1998-09-11 2008-05-29 Japan Science And Technology Agency, Kawaguchi Kombinatorische vorrichtung für epitaktische molekularschicht
EP1113991B1 (en) * 1998-09-18 2004-06-09 Symyx Technologies, Inc. Formation of combinatorial arrays of materials using solution-based methodologies
US6683446B1 (en) * 1998-12-22 2004-01-27 John Pope Electrode array for development and testing of materials
US6364956B1 (en) * 1999-01-26 2002-04-02 Symyx Technologies, Inc. Programmable flux gradient apparatus for co-deposition of materials onto a substrate
US6830663B2 (en) * 1999-01-26 2004-12-14 Symyx Technologies, Inc. Method for creating radial profiles on a substrate
KR20010042805A (ko) 1999-02-17 2001-05-25 옥셀 옥사이드 일렉트로닉스 테크놀러지, 인코포레이티드 조합 분자빔 에피탁시(combe) 장치를 사용한라이브러리의 제조 방법
US6749814B1 (en) * 1999-03-03 2004-06-15 Symyx Technologies, Inc. Chemical processing microsystems comprising parallel flow microreactors and methods for using same
US7150994B2 (en) * 1999-03-03 2006-12-19 Symyx Technologies, Inc. Parallel flow process optimization reactor
US6323128B1 (en) * 1999-05-26 2001-11-27 International Business Machines Corporation Method for forming Co-W-P-Au films
US7052545B2 (en) * 2001-04-06 2006-05-30 California Institute Of Technology High throughput screening of crystallization of materials
US6342733B1 (en) * 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6750152B1 (en) * 1999-10-01 2004-06-15 Delphi Technologies, Inc. Method and apparatus for electrically testing and characterizing formation of microelectric features
DE19959974A1 (de) * 1999-12-13 2001-06-21 Basf Ag Verfahren zur Herstellung von Materialbibliotheken durch elektrochemische Abscheidung
US6420250B1 (en) * 2000-03-03 2002-07-16 Micron Technology, Inc. Methods of forming portions of transistor structures, methods of forming array peripheral circuitry, and structures comprising transistor gates
US6491759B1 (en) * 2000-03-14 2002-12-10 Neocera, Inc. Combinatorial synthesis system
US6983233B1 (en) * 2000-04-19 2006-01-03 Symyx Technologies, Inc. Combinatorial parameter space experiment design
US6282096B1 (en) * 2000-04-28 2001-08-28 Siliconware Precision Industries Co., Ltd. Integration of heat conducting apparatus and chip carrier in IC package
US6911129B1 (en) * 2000-05-08 2005-06-28 Intematix Corporation Combinatorial synthesis of material chips
CA2344946A1 (en) * 2000-05-10 2001-11-10 Symyx Technologies, Inc. Polymer libraries on a substrate, method of forming polymer libraries on a substrate and characterization methods with same
WO2002008487A1 (en) * 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US6420178B1 (en) * 2000-09-20 2002-07-16 General Electric Company High throughput screening method, array assembly and system
US6503834B1 (en) * 2000-10-03 2003-01-07 International Business Machines Corp. Process to increase reliability CuBEOL structures
US20020079487A1 (en) * 2000-10-12 2002-06-27 G. Ramanath Diffusion barriers comprising a self-assembled monolayer
US20020105081A1 (en) * 2000-10-12 2002-08-08 G. Ramanath Self-assembled near-zero-thickness molecular layers as diffusion barriers for Cu metallization
US6482264B1 (en) * 2000-10-26 2002-11-19 General Electric Company Systems and methods for fabrication of coating libraries
AU2002230981A1 (en) * 2000-12-15 2002-06-24 Symyx Technologies, Inc. Methods and apparatus for designing high-dimensional combinatorial experiments
US7992655B2 (en) * 2001-02-15 2011-08-09 Dual Gradient Systems, Llc Dual gradient drilling method and apparatus with multiple concentric drill tubes and blowout preventers
US6607977B1 (en) * 2001-03-13 2003-08-19 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnect applications
JP4209688B2 (ja) * 2001-05-24 2009-01-14 セレリティ・インコーポレーテッド 決定された比率のプロセス流体を供給する方法および装置
JP2005502861A (ja) * 2001-08-10 2005-01-27 サイミックス テクノロジーズ, インコーポレイテッド 事前処方物を作製および試験するための装置および方法ならびにそのためのシステム
US20030032198A1 (en) * 2001-08-13 2003-02-13 Symyx Technologies, Inc. High throughput dispensing of fluids
KR100434946B1 (ko) * 2001-09-28 2004-06-10 학교법인 성균관대학 무전해도금방식을 이용한 반도체 소자의 구리배선형성방법
US6758951B2 (en) * 2001-10-11 2004-07-06 Symyx Technologies, Inc. Synthesis and characterization of materials for electrochemical cells
US7294564B2 (en) 2001-10-12 2007-11-13 Siltronic Ag Method for forming a layered semiconductor technology structure and corresponding layered semiconductor technology structure
US6689218B2 (en) * 2001-10-23 2004-02-10 General Electric Company Systems for the deposition and curing of coating compositions
WO2003054475A2 (en) * 2001-12-19 2003-07-03 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US7335153B2 (en) * 2001-12-28 2008-02-26 Bio Array Solutions Ltd. Arrays of microparticles and methods of preparation thereof
WO2003058671A2 (en) 2002-01-14 2003-07-17 Universität Augsburg Target end station for the combinatory ion implantation and method of ion implantation
US7138014B2 (en) * 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US7136796B2 (en) * 2002-02-28 2006-11-14 Timbre Technologies, Inc. Generation and use of integrated circuit profile-based simulation information
US6908807B2 (en) * 2002-03-26 2005-06-21 Micron Technology, Inc. Methods of forming semiconductor constructions
US20040071888A1 (en) * 2002-05-30 2004-04-15 Symyx Technologies, Inc. Apparatus and method of research for creating and testing thin films
US20030224105A1 (en) * 2002-05-30 2003-12-04 Symyx Technologies, Inc. Apparatus and methods for forming films on substrates
US6913652B2 (en) * 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
JP4261931B2 (ja) * 2002-07-05 2009-05-13 株式会社荏原製作所 無電解めっき装置および無電解めっき後の洗浄方法
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US7247346B1 (en) * 2002-08-28 2007-07-24 Nanosolar, Inc. Combinatorial fabrication and high-throughput screening of optoelectronic devices
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
JP4071612B2 (ja) * 2002-12-11 2008-04-02 三菱電機株式会社 銅下地膜形成材料、銅下地膜形成方法、銅下地膜および半導体装置
US6975032B2 (en) * 2002-12-16 2005-12-13 International Business Machines Corporation Copper recess process with application to selective capping and electroless plating
US6858527B2 (en) * 2003-04-14 2005-02-22 Intel Corporation Method to increase electromigration resistance of copper using self-assembled organic thiolate monolayers
US7432006B2 (en) * 2003-05-27 2008-10-07 Intematix Corporation Electrochemical probe for screening multiple-cell arrays
US7883739B2 (en) * 2003-06-16 2011-02-08 Lam Research Corporation Method for strengthening adhesion between dielectric layers formed adjacent to metal layers
US7416911B2 (en) * 2003-06-24 2008-08-26 California Institute Of Technology Electrochemical method for attaching molecular and biomolecular structures to semiconductor microstructures and nanostructures
KR101222564B1 (ko) 2003-06-30 2013-01-16 라우스테크 피티와이 엘티디 공간 선택적 증착에 의한 마이크로 및 나노 스케일의 제조물 및 제조 방법
US7008871B2 (en) * 2003-07-03 2006-03-07 International Business Machines Corporation Selective capping of copper wiring
US7071022B2 (en) * 2003-07-18 2006-07-04 Corning Incorporated Silicon crystallization using self-assembled monolayers
JP2005044866A (ja) * 2003-07-23 2005-02-17 Dainippon Screen Mfg Co Ltd 基板処理装置および基板処理方法
US6905958B2 (en) * 2003-07-25 2005-06-14 Intel Corporation Protecting metal conductors with sacrificial organic monolayers
US7179758B2 (en) * 2003-09-03 2007-02-20 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US7827930B2 (en) * 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
GB2406860A (en) 2003-10-09 2005-04-13 Univ Southampton Vapour deposition method
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US20060083664A1 (en) * 2004-06-18 2006-04-20 North Dakota State University Multi-well plates
US20060292846A1 (en) * 2004-09-17 2006-12-28 Pinto Gustavo A Material management in substrate processing
US8084400B2 (en) * 2005-10-11 2011-12-27 Intermolecular, Inc. Methods for discretized processing and process sequence integration of regions of a substrate
US8882914B2 (en) * 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US20060258128A1 (en) * 2005-03-09 2006-11-16 Peter Nunan Methods and apparatus for enabling multiple process steps on a single substrate
US7084060B1 (en) * 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US20070029189A1 (en) * 2005-08-02 2007-02-08 The University Of Chicago Combinatorial electrochemical deposition system
US8011317B2 (en) * 2006-12-29 2011-09-06 Intermolecular, Inc. Advanced mixing system for integrated tool having site-isolated reactors
US7960313B2 (en) * 2007-06-14 2011-06-14 Intermolecular, Inc. Combinatorial processing including stirring

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7309658B2 (en) * 2004-11-22 2007-12-18 Intermolecular, Inc. Molecular self-assembly in substrate processing
WO2007046853A3 (en) * 2005-10-11 2008-09-25 Intermolecular Inc Systems for discretized processing of substrate regions

Also Published As

Publication number Publication date
US20120231975A1 (en) 2012-09-13
KR20090102773A (ko) 2009-09-30
US20080156769A1 (en) 2008-07-03
US8414703B2 (en) 2013-04-09
US8207069B2 (en) 2012-06-26
US20110281773A1 (en) 2011-11-17
TWI385715B (zh) 2013-02-11
CN101606226A (zh) 2009-12-16
US8011317B2 (en) 2011-09-06
TW200845143A (en) 2008-11-16
WO2008083178A1 (en) 2008-07-10
JP2010515273A (ja) 2010-05-06
KR101387877B1 (ko) 2014-04-22
US20130065796A1 (en) 2013-03-14

Similar Documents

Publication Publication Date Title
CN101606226B (zh) 衬底混合模式加工方法、衬底加工系统、集成加工系统、集成加工工具
US9175391B2 (en) Apparatus and method for combinatorial gas distribution through a multi-zoned showerhead
US8770143B2 (en) Multi-region processing system
TWI326106B (en) Discretized processing and process sequence integration of substrate regions
US7955436B2 (en) Systems and methods for sealing in site-isolated reactors
KR101248188B1 (ko) 다중-단일 웨이퍼 처리 장치
US20140273497A1 (en) Wet Processing Systems and Methods with Replenishment
CN105304529A (zh) 用于在洁净空间中垂直定位基片处理设备的方法和装置
CN102148134A (zh) 用于隔离的与离散的工艺顺序的整合的方法
US20110062113A1 (en) Substrate processing apparatus and method
JP2002313880A (ja) 半導体集積回路装置の製造方法
US20120315396A1 (en) Apparatus and method for combinatorial plasma distribution through a multi-zoned showerhead
US20120164841A1 (en) Combinatorial non-contact wet processing
US20140133265A1 (en) Contactless Magnetically Driven Agitation Systems
US8835329B2 (en) Reactor cell isolation using differential pressure in a combinatorial reactor
US20130156530A1 (en) Method and apparatus for reducing contamination of substrate
US20140144512A1 (en) Methods and Systems for Dispensing Different Liquids for High Productivity Combinatorial Processing
US9023739B2 (en) Site-isolated rapid thermal processing methods and apparatus
US8632690B2 (en) Method and apparatus for preventing native oxide regrowth
US8883607B2 (en) Full wafer processing by multiple passes through a combinatorial reactor
CN101490834A (zh) 用于隔离的与离散的工艺顺序的整合的方法与系统
CN113544821A (zh) 基板处理装置及基板处理方法
Ryding Some Recent Developments in Industrial Ion Implanters

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120509

Termination date: 20161226